Skip to content

src: Restore DMA tracing in Snitch DMA

Sign in for the full log view
GitHub Actions / verible-verilog-lint failed Jul 12, 2024 in 1s

reviewdog [verible-verilog-lint] report

reported by reviewdog 🐶

Findings (1)

src/frontend/inst64/idma_inst64_top.sv|516 col 21| All generate block statements must have a label [Style: generate-statements] [generate-label]

Filtered Findings (0)

Annotations

Check warning on line 516 in src/frontend/inst64/idma_inst64_top.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/frontend/inst64/idma_inst64_top.sv#L516

All generate block statements must have a label [Style: generate-statements] [generate-label]
Raw output
message:"All generate block statements must have a label [Style: generate-statements] [generate-label]"  location:{path:"src/frontend/inst64/idma_inst64_top.sv"  range:{start:{line:516  column:21}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}