Skip to content

Commit

Permalink
chisel3.experimental.chiselName is no longer necessary with chisel3._ (
Browse files Browse the repository at this point in the history
  • Loading branch information
ingallsj authored Dec 15, 2020
1 parent 16ba330 commit 00d9e02
Show file tree
Hide file tree
Showing 5 changed files with 0 additions and 14 deletions.
3 changes: 0 additions & 3 deletions src/main/scala/devices/debug/Debug.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,6 @@ package freechips.rocketchip.devices.debug


import chisel3._
import chisel3.experimental.chiselName
import chisel3.util._
import freechips.rocketchip.config._
import freechips.rocketchip.diplomacy._
Expand Down Expand Up @@ -283,7 +282,6 @@ object WNotifyVal {
}
}

@chiselName
class TLDebugModuleOuter(device: Device)(implicit p: Parameters) extends LazyModule {

// For Shorter Register Names
Expand Down Expand Up @@ -691,7 +689,6 @@ class TLDebugModuleOuterAsync(device: Device)(implicit p: Parameters) extends La
}
}

@chiselName
class TLDebugModuleInner(device: Device, getNComponents: () => Int, beatBytes: Int)(implicit p: Parameters) extends LazyModule
{

Expand Down
3 changes: 0 additions & 3 deletions src/main/scala/devices/debug/DebugTransport.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,8 +4,6 @@ package freechips.rocketchip.devices.debug

import chisel3._
import chisel3.util._
import chisel3.experimental.chiselName


import freechips.rocketchip.config._
import freechips.rocketchip.jtag._
Expand Down Expand Up @@ -74,7 +72,6 @@ class SystemJTAGIO extends Bundle {
}

// Use the Chisel Name macro due to the bulk of this being inside a withClockAndReset block
@chiselName
class DebugTransportModuleJTAG(debugAddrBits: Int, c: JtagDTMConfig)
(implicit val p: Parameters) extends RawModule {

Expand Down
4 changes: 0 additions & 4 deletions src/main/scala/tile/LazyRoCC.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,6 @@ import chisel3._
import chisel3.util._
import chisel3.util.HasBlackBoxResource
import chisel3.experimental.IntParam
import chisel3.experimental.chiselName
import freechips.rocketchip.config._
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.rocket._
Expand Down Expand Up @@ -122,7 +121,6 @@ class AccumulatorExample(opcodes: OpcodeSet, val n: Int = 4)(implicit p: Paramet
override lazy val module = new AccumulatorExampleModuleImp(this)
}

@chiselName
class AccumulatorExampleModuleImp(outer: AccumulatorExample)(implicit p: Parameters) extends LazyRoCCModuleImp(outer)
with HasCoreParameters {
val regfile = Mem(outer.n, UInt(xLen.W))
Expand Down Expand Up @@ -193,7 +191,6 @@ class TranslatorExample(opcodes: OpcodeSet)(implicit p: Parameters) extends Laz
override lazy val module = new TranslatorExampleModuleImp(this)
}

@chiselName
class TranslatorExampleModuleImp(outer: TranslatorExample)(implicit p: Parameters) extends LazyRoCCModuleImp(outer)
with HasCoreParameters {
val req_addr = Reg(UInt(coreMaxAddrBits.W))
Expand Down Expand Up @@ -242,7 +239,6 @@ class CharacterCountExample(opcodes: OpcodeSet)(implicit p: Parameters) extends
override val atlNode = TLClientNode(Seq(TLMasterPortParameters.v1(Seq(TLMasterParameters.v1("CharacterCountRoCC")))))
}

@chiselName
class CharacterCountExampleModuleImp(outer: CharacterCountExample)(implicit p: Parameters) extends LazyRoCCModuleImp(outer)
with HasCoreParameters
with HasL1CacheParameters {
Expand Down
2 changes: 0 additions & 2 deletions src/main/scala/tilelink/Monitor.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,6 @@ package freechips.rocketchip.tilelink
import chisel3._
import chisel3.util._
import chisel3.internal.sourceinfo.SourceLine
import chisel3.experimental.chiselName
import freechips.rocketchip.config.Parameters
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util.PlusArg
Expand All @@ -31,7 +30,6 @@ object TLMonitor {
}
}

@chiselName
class TLMonitor(args: TLMonitorArgs, monitorDir: MonitorDirection = MonitorDirection.Monitor) extends TLMonitorBase(args)
{
require (args.edge.params(TLMonitorStrictMode) || (! args.edge.params(TestplanTestType).formal))
Expand Down
2 changes: 0 additions & 2 deletions src/main/scala/util/ReadyValidCancel.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,6 @@ package freechips.rocketchip.util

import chisel3._
import chisel3.util._
import chisel3.experimental.chiselName

/** A [[Bundle]] that adds `earlyValid` and `lateCancel` bits to some data.
* This indicates that the user expects a "ValidCancel" interface between a producer and a consumer.
Expand Down Expand Up @@ -95,7 +94,6 @@ object ReadyValidCancel {
* consumer.io.in <> arb.io.out
* }}}
*/
@chiselName
class ReadyValidCancelRRArbiter[T <: Data](gen: T, n: Int, rr: Boolean) extends Module {
val io = IO(new Bundle{
val in = Flipped(Vec(n, ReadyValidCancel(gen)))
Expand Down

0 comments on commit 00d9e02

Please sign in to comment.