forked from The-OpenROAD-Project/OpenROAD
-
Notifications
You must be signed in to change notification settings - Fork 1
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Merge pull request The-OpenROAD-Project#3386 from fgaray/clock_mix2
dft: Adding support for clock mixing
- Loading branch information
Showing
11 changed files
with
388 additions
and
5 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,58 @@ | ||
[INFO ODB-0222] Reading LEF file: sky130hd/sky130hd.tlef | ||
[INFO ODB-0223] Created 13 technology layers | ||
[INFO ODB-0224] Created 25 technology vias | ||
[INFO ODB-0226] Finished LEF file: sky130hd/sky130hd.tlef | ||
[INFO ODB-0222] Reading LEF file: sky130hd/sky130_fd_sc_hd_merged.lef | ||
[INFO ODB-0225] Created 437 library cells | ||
[INFO ODB-0226] Finished LEF file: sky130hd/sky130_fd_sc_hd_merged.lef | ||
clock_mix | ||
*************************** | ||
Preview DFT Report | ||
Number of chains: 7 | ||
Clock domain: Clock Mix | ||
*************************** | ||
|
||
Scan chain 'chain_0' has 3 cells (3 bits) | ||
|
||
ff8_clk2_falling (clock2, falling) | ||
ff2_clk2_falling | ||
ff6_clk2_falling | ||
|
||
Scan chain 'chain_1' has 3 cells (3 bits) | ||
|
||
ff10_clk2_falling (clock2, falling) | ||
ff4_clk2_falling | ||
ff10_clk2_rising | ||
|
||
Scan chain 'chain_2' has 3 cells (3 bits) | ||
|
||
ff8_clk2_rising (clock2, rising) | ||
ff2_clk2_rising | ||
ff6_clk2_rising | ||
|
||
Scan chain 'chain_3' has 3 cells (3 bits) | ||
|
||
ff1_clk1_falling (clock1, falling) | ||
ff3_clk1_falling | ||
ff4_clk2_rising (clock2, rising) | ||
|
||
Scan chain 'chain_4' has 3 cells (3 bits) | ||
|
||
ff7_clk1_falling (clock1, falling) | ||
ff9_clk1_falling | ||
ff5_clk1_falling | ||
|
||
Scan chain 'chain_5' has 3 cells (3 bits) | ||
|
||
ff3_clk1_rising (clock1, rising) | ||
ff5_clk1_rising | ||
ff7_clk1_rising | ||
|
||
Scan chain 'chain_6' has 2 cells (2 bits) | ||
|
||
ff1_clk1_rising (clock1, rising) | ||
ff9_clk1_rising | ||
|
||
|
||
No differences found. | ||
No differences found. |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,32 @@ | ||
source "helpers.tcl" | ||
|
||
read_lef sky130hd/sky130hd.tlef | ||
read_lef sky130hd/sky130_fd_sc_hd_merged.lef | ||
read_liberty sky130hd/sky130_fd_sc_hd__tt_025C_1v80.lib | ||
|
||
read_verilog scan_architect_sky130.v | ||
link_design scan_architect | ||
|
||
create_clock -name clock1 -period 2.0000 -waveform {0.0000 1.0000} [get_ports {clock1}] | ||
create_clock -name clock2 -period 2.0000 -waveform {0.0000 1.0000} [get_ports {clock2}] | ||
|
||
set_dft_config -max_length 3 -clock_mixing clock_mix | ||
|
||
|
||
set verilog_file_before_preview [make_result_file scan_architect_clock_mix_sky130_before_preview.v] | ||
write_verilog -sort $verilog_file_before_preview | ||
|
||
preview_dft -verbose | ||
|
||
# Preview should not modify the net | ||
set verilog_file_after_preview [make_result_file scan_architect_clock_mix_sky130_after_preview.v] | ||
write_verilog -sort $verilog_file_after_preview | ||
|
||
# Before and after preview, the netlist should be the same | ||
diff_files $verilog_file_after_preview $verilog_file_before_preview | ||
|
||
insert_dft | ||
|
||
set verilog_file [make_result_file scan_architect_clock_mix_sky130.v] | ||
write_verilog $verilog_file | ||
diff_files $verilog_file scan_architect_clock_mix_sky130.vok |
Oops, something went wrong.