Skip to content

Commit

Permalink
Auto-generated commit
Browse files Browse the repository at this point in the history
  • Loading branch information
stdlib-bot committed Jul 18, 2024
1 parent 68c7134 commit de553c4
Show file tree
Hide file tree
Showing 11 changed files with 29 additions and 27 deletions.
2 changes: 2 additions & 0 deletions CHANGELOG.md
Original file line number Diff line number Diff line change
Expand Up @@ -2669,6 +2669,8 @@ A total of 35 people contributed to this release. Thank you to the following con

<details>

- [`e3a3679`](https://github.com/stdlib-js/stdlib/commit/e3a3679f1e733cf02ce47cdc4bd0137bd37bef41) - **refactor:** update paths _(by Athan Reines)_
- [`ddd4403`](https://github.com/stdlib-js/stdlib/commit/ddd44032f9d8a6d318c80e3b239ff72280ffc599) - **refactor:** update paths _(by Athan Reines)_
- [`0406147`](https://github.com/stdlib-js/stdlib/commit/04061476d1036e1b8b786736b1ba1653eddff1ef) - **refactor:** update paths _(by Athan Reines)_
- [`b9703b5`](https://github.com/stdlib-js/stdlib/commit/b9703b569dc2f0a67e604e388a0d188b08138b48) - **refactor:** update paths _(by Athan Reines)_
- [`32bbcb3`](https://github.com/stdlib-js/stdlib/commit/32bbcb3b3dae1f028fd18166ee7875a93d44d0ee) - **refactor:** update paths _(by Athan Reines)_
Expand Down
2 changes: 1 addition & 1 deletion base/caxpy/lib/ndarray.js
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@
// MODULES //

var scabs1 = require( './../../../base/scabs1' );
var cmulf = require( '@stdlib/math/base/ops/cmulf' );
var cmulf = require( '@stdlib/complex/float32/base/mul' );
var caddf = require( '@stdlib/complex/float32/base/add' );


Expand Down
2 changes: 1 addition & 1 deletion base/cscal/lib/cscal.js
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@

// MODULES //

var cmulf = require( '@stdlib/math/base/ops/cmulf' );
var cmulf = require( '@stdlib/complex/float32/base/mul' );


// MAIN //
Expand Down
2 changes: 1 addition & 1 deletion base/cscal/lib/ndarray.js
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@

// MODULES //

var cmulf = require( '@stdlib/math/base/ops/cmulf' );
var cmulf = require( '@stdlib/complex/float32/base/mul' );


// MAIN //
Expand Down
18 changes: 9 additions & 9 deletions base/cscal/manifest.json
Original file line number Diff line number Diff line change
Expand Up @@ -50,7 +50,7 @@
"@stdlib/napi/argv-strided-complex64array",
"@stdlib/napi/argv-complex64",
"@stdlib/complex/float32/ctor",
"@stdlib/math/base/ops/cmulf"
"@stdlib/complex/float32/base/mul"
]
},
{
Expand All @@ -68,7 +68,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmulf",
"@stdlib/complex/float32/base/mul",
"@stdlib/complex/float32/ctor"
]
},
Expand All @@ -87,7 +87,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmulf",
"@stdlib/complex/float32/base/mul",
"@stdlib/complex/float32/ctor"
]
},
Expand Down Expand Up @@ -200,7 +200,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmulf",
"@stdlib/complex/float32/base/mul",
"@stdlib/complex/float32/ctor"
]
},
Expand All @@ -219,7 +219,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmulf",
"@stdlib/complex/float32/base/mul",
"@stdlib/complex/float32/ctor"
]
},
Expand Down Expand Up @@ -380,7 +380,7 @@
"@stdlib/napi/argv-strided-complex64array",
"@stdlib/napi/argv-complex64",
"@stdlib/complex/float32/ctor",
"@stdlib/math/base/ops/cmulf"
"@stdlib/complex/float32/base/mul"
]
},
{
Expand All @@ -398,7 +398,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmulf",
"@stdlib/complex/float32/base/mul",
"@stdlib/complex/float32/ctor"
]
},
Expand All @@ -417,7 +417,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmulf",
"@stdlib/complex/float32/base/mul",
"@stdlib/complex/float32/ctor"
]
},
Expand All @@ -437,7 +437,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmulf",
"@stdlib/complex/float32/base/mul",
"@stdlib/complex/float32/ctor"
]
}
Expand Down
4 changes: 2 additions & 2 deletions base/cscal/src/cscal.c
Original file line number Diff line number Diff line change
Expand Up @@ -19,7 +19,7 @@
#include "stdlib/blas/base/cscal.h"
#include "stdlib/blas/base/shared.h"
#include "stdlib/complex/float32/ctor.h"
#include "stdlib/math/base/ops/cmulf.h"
#include "stdlib/complex/float32/base/mul.h"
#include <stdint.h>

/**
Expand All @@ -42,7 +42,7 @@ void API_SUFFIX(c_cscal)( const CBLAS_INT N, const stdlib_complex64_t ca, void *
}
for ( i = 0; i < N; i++, ip1 += is1 ) {
z = *( (stdlib_complex64_t *)ip1 );
*( (stdlib_complex64_t *)ip1 ) = stdlib_base_cmulf( ca, z );
*( (stdlib_complex64_t *)ip1 ) = stdlib_base_complex64_mul( ca, z );
}
return;
}
2 changes: 1 addition & 1 deletion base/zaxpy/lib/ndarray.js
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@
// MODULES //

var dcabs1 = require( './../../../base/dcabs1' );
var cmul = require( '@stdlib/math/base/ops/cmul' );
var cmul = require( '@stdlib/complex/float64/base/mul' );
var cadd = require( '@stdlib/complex/float64/base/add' );


Expand Down
2 changes: 1 addition & 1 deletion base/zscal/lib/ndarray.js
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@

// MODULES //

var cmul = require( '@stdlib/math/base/ops/cmul' );
var cmul = require( '@stdlib/complex/float64/base/mul' );


// MAIN //
Expand Down
2 changes: 1 addition & 1 deletion base/zscal/lib/zscal.js
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@

// MODULES //

var cmul = require( '@stdlib/math/base/ops/cmul' );
var cmul = require( '@stdlib/complex/float64/base/mul' );


// MAIN //
Expand Down
16 changes: 8 additions & 8 deletions base/zscal/manifest.json
Original file line number Diff line number Diff line change
Expand Up @@ -67,7 +67,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmul",
"@stdlib/complex/float64/base/mul",
"@stdlib/complex/float64/ctor"
]
},
Expand All @@ -86,7 +86,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmul",
"@stdlib/complex/float64/base/mul",
"@stdlib/complex/float64/ctor"
]
},
Expand Down Expand Up @@ -199,7 +199,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmul",
"@stdlib/complex/float64/base/mul",
"@stdlib/complex/float64/ctor"
]
},
Expand All @@ -218,7 +218,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmul",
"@stdlib/complex/float64/base/mul",
"@stdlib/complex/float64/ctor"
]
},
Expand Down Expand Up @@ -379,7 +379,7 @@
"@stdlib/napi/argv-strided-complex128array",
"@stdlib/napi/argv-complex128",
"@stdlib/complex/float64/ctor",
"@stdlib/math/base/ops/cmul"
"@stdlib/complex/float64/base/mul"
]
},
{
Expand All @@ -397,7 +397,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmul",
"@stdlib/complex/float64/base/mul",
"@stdlib/complex/float64/ctor"
]
},
Expand All @@ -416,7 +416,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmul",
"@stdlib/complex/float64/base/mul",
"@stdlib/complex/float64/ctor"
]
},
Expand All @@ -436,7 +436,7 @@
"libpath": [],
"dependencies": [
"@stdlib/blas/base/shared",
"@stdlib/math/base/ops/cmul",
"@stdlib/complex/float64/base/mul",
"@stdlib/complex/float64/ctor"
]
}
Expand Down
4 changes: 2 additions & 2 deletions base/zscal/src/zscal.c
Original file line number Diff line number Diff line change
Expand Up @@ -19,7 +19,7 @@
#include "stdlib/blas/base/zscal.h"
#include "stdlib/blas/base/shared.h"
#include "stdlib/complex/float64/ctor.h"
#include "stdlib/math/base/ops/cmul.h"
#include "stdlib/complex/float64/base/mul.h"
#include <stdint.h>

/**
Expand All @@ -42,7 +42,7 @@ void API_SUFFIX(c_zscal)( const CBLAS_INT N, const stdlib_complex128_t za, void
}
for ( i = 0; i < N; i++, ip1 += is1 ) {
z = *( (stdlib_complex128_t *)ip1 );
*( (stdlib_complex128_t *)ip1 ) = stdlib_base_cmul( za, z );
*( (stdlib_complex128_t *)ip1 ) = stdlib_base_complex128_mul( za, z );
}
return;
}

0 comments on commit de553c4

Please sign in to comment.