Skip to content

Commit

Permalink
more debugging
Browse files Browse the repository at this point in the history
  • Loading branch information
tgross35 committed May 23, 2024
1 parent b475b37 commit e941ca9
Showing 1 changed file with 2 additions and 1 deletion.
3 changes: 2 additions & 1 deletion testcrate/src/bench.rs
Original file line number Diff line number Diff line change
Expand Up @@ -154,7 +154,7 @@ macro_rules! float_bench {

// Verify math lines up

println!("checking title {title}");
println!("checking title '{title}'");
#[cfg($sys_available)]
for ($($arg),*) in testvec.iter().copied() {
let crate_res = crate_fn($($arg),*);
Expand All @@ -171,6 +171,7 @@ macro_rules! float_bench {
($($arg),* ,)
);
}
println!("done checking title '{title}', now asm");

#[cfg(any( $($asm_meta),* ))]
{
Expand Down

0 comments on commit e941ca9

Please sign in to comment.