Skip to content

[WIP] fix compilation errors in pulp_idma_wrap

Sign in for the full log view
GitHub Actions / verible-verilog-lint failed Jun 21, 2024 in 1s

reviewdog [verible-verilog-lint] report

reported by reviewdog 🐶

Findings (24)

src/pulp_idma_wrap.sv|33 col 41| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
src/pulp_idma_wrap.sv|36 col 28| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
src/pulp_idma_wrap.sv|38 col 52| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
src/pulp_idma_wrap.sv|46 col 48| Unpacked dimension range must be declared in big-endian ([0:N-1]) order. Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
src/pulp_idma_wrap.sv|47 col 45| Unpacked dimension range must be declared in big-endian ([0:N-1]) order. Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
src/pulp_idma_wrap.sv|48 col 46| Unpacked dimension range must be declared in big-endian ([0:N-1]) order. Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
src/pulp_idma_wrap.sv|56 col 25| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
src/pulp_idma_wrap.sv|357 col 31| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
src/pulp_idma_wrap.sv|360 col 31| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
src/pulp_idma_wrap.sv|361 col 31| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
src/pulp_idma_wrap.sv|363 col 29| Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]
src/pulp_idma_wrap.sv|363 col 61| Explicitly define a storage type for every function parameter. [Style: function-task-argument-types] [explicit-function-task-parameter-type]
src/pulp_idma_wrap.sv|466 col 31| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
src/pulp_idma_wrap.sv|469 col 31| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
src/pulp_idma_wrap.sv|470 col 31| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
src/pulp_idma_wrap.sv|472 col 29| Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]
src/pulp_idma_wrap.sv|472 col 61| Explicitly define a storage type for every function parameter. [Style: function-task-argument-types] [explicit-function-task-parameter-type]
src/pulp_idma_wrap.sv|590 col 50| All generate block statements must have a label [Style: generate-statements] [generate-label]
src/pulp_idma_wrap.sv|591 col 19| All generate block statements must have a label [Style: generate-statements] [generate-label]
src/pulp_idma_wrap.sv|618 col 14| All generate block statements must have a label [Style: generate-statements] [generate-label]
src/pulp_idma_wrap.sv|629 col 50| All generate block statements must have a label [Style: generate-statements] [generate-label]
src/pulp_idma_wrap.sv|694 col 20| All generate block statements must have a label [Style: generate-statements] [generate-label]
src/pulp_idma_wrap.sv|694 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
src/pulp_idma_wrap.sv|695 col 77| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]

Filtered Findings (0)

Annotations

Check warning on line 33 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L33

Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:33  column:41}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}  suggestions:{range:{start:{line:33  column:41}  end:{line:36}}  text:"  // bidirectional streams: range 1 to 8\n  // queue depth per stream\n  // mux read ports between tcdm-tcdm and tcdm-axi?\n"}

Check warning on line 36 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L36

Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:36  column:28}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 38 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L38

Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:38  column:52}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 46 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L46

Unpacked dimension range must be declared in big-endian ([0:N-1]) order.  Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
Raw output
message:"Unpacked dimension range must be declared in big-endian ([0:N-1]) order.  Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:46  column:48}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 47 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L47

Unpacked dimension range must be declared in big-endian ([0:N-1]) order.  Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
Raw output
message:"Unpacked dimension range must be declared in big-endian ([0:N-1]) order.  Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:47  column:45}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 48 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L48

Unpacked dimension range must be declared in big-endian ([0:N-1]) order.  Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
Raw output
message:"Unpacked dimension range must be declared in big-endian ([0:N-1]) order.  Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:48  column:46}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 56 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L56

Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:56  column:25}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}  suggestions:{range:{start:{line:56  column:25}  end:{line:57}}  text:"); // verilog_format: on\n"}

Check warning on line 357 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L357

Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
Raw output
message:"Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:357  column:31}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 360 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L360

Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
Raw output
message:"Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:360  column:31}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 361 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L361

Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
Raw output
message:"Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:361  column:31}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 363 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L363

Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]
Raw output
message:"Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:363  column:29}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 363 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L363

Explicitly define a storage type for every function parameter. [Style: function-task-argument-types] [explicit-function-task-parameter-type]
Raw output
message:"Explicitly define a storage type for every function parameter. [Style: function-task-argument-types] [explicit-function-task-parameter-type]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:363  column:61}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 466 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L466

Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
Raw output
message:"Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:466  column:31}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 469 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L469

Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
Raw output
message:"Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:469  column:31}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 470 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L470

Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
Raw output
message:"Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:470  column:31}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 472 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L472

Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]
Raw output
message:"Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:472  column:29}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 472 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L472

Explicitly define a storage type for every function parameter. [Style: function-task-argument-types] [explicit-function-task-parameter-type]
Raw output
message:"Explicitly define a storage type for every function parameter. [Style: function-task-argument-types] [explicit-function-task-parameter-type]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:472  column:61}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 590 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L590

All generate block statements must have a label [Style: generate-statements] [generate-label]
Raw output
message:"All generate block statements must have a label [Style: generate-statements] [generate-label]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:590  column:50}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 591 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L591

All generate block statements must have a label [Style: generate-statements] [generate-label]
Raw output
message:"All generate block statements must have a label [Style: generate-statements] [generate-label]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:591  column:19}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 618 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L618

All generate block statements must have a label [Style: generate-statements] [generate-label]
Raw output
message:"All generate block statements must have a label [Style: generate-statements] [generate-label]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:618  column:14}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 629 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L629

All generate block statements must have a label [Style: generate-statements] [generate-label]
Raw output
message:"All generate block statements must have a label [Style: generate-statements] [generate-label]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:629  column:50}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 694 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L694

All generate block statements must have a label [Style: generate-statements] [generate-label]
Raw output
message:"All generate block statements must have a label [Style: generate-statements] [generate-label]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:694  column:20}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 694 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L694

Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:694  column:101}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}

Check warning on line 695 in src/pulp_idma_wrap.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] src/pulp_idma_wrap.sv#L695

Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]"  location:{path:"src/pulp_idma_wrap.sv"  range:{start:{line:695  column:77}}}  severity:WARNING  source:{name:"verible-verilog-lint"  url:"https://github.com/chipsalliance/verible"}  suggestions:{range:{start:{line:695  column:77}  end:{line:696}}  text:"                         // interface goes straight to TCDM masters 5 and 4.\n"}