Skip to content

Commit

Permalink
VCS root
Browse files Browse the repository at this point in the history
  • Loading branch information
thommythomaso committed Oct 4, 2023
1 parent 1e252a1 commit f9e0077
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 3 deletions.
4 changes: 2 additions & 2 deletions src/backend/idma_error_handler.sv
Original file line number Diff line number Diff line change
Expand Up @@ -136,7 +136,7 @@ module idma_error_handler #(
// FIFO: read address
// the read address FIFO is synchronized with the `i_w_last` FIFO in the backend. So at this
// point now full handshaking is required.
idma_stream_fifo #(
stream_fifo_optimal_wrap #(
.Depth ( MetaFifoDepth ),
.type_t ( addr_t ),
.PrintInfo ( PrintFifoInfo )
Expand All @@ -157,7 +157,7 @@ module idma_error_handler #(
// FIFO: w address
// the read address FIFO is synchronized with the `i_w_last` FIFO in the backend. So at this
// point now full handshaking is required.
idma_stream_fifo #(
stream_fifo_optimal_wrap #(
.Depth ( MetaFifoDepth ),
.type_t ( addr_t ),
.PrintInfo ( PrintFifoInfo )
Expand Down
2 changes: 1 addition & 1 deletion src/backend/tpl/idma_transport_layer.sv.tpl
Original file line number Diff line number Diff line change
Expand Up @@ -479,7 +479,7 @@ ${rendered_write_ports[write_port]}
// Insert when data write happens
// Remove when write response comes

idma_stream_fifo #(
stream_fifo_optimal_wrap #(
.Depth ( NumAxInFlight ),
.type_t ( idma_pkg::protocol_e ),
.PrintInfo ( PrintFifoInfo )
Expand Down

0 comments on commit f9e0077

Please sign in to comment.