Skip to content

Commit

Permalink
src: Guard tracing logic during synthesis (#58)
Browse files Browse the repository at this point in the history
  • Loading branch information
fischeti committed Aug 28, 2024
1 parent 0a0c113 commit 9cbcd30
Showing 1 changed file with 2 additions and 0 deletions.
2 changes: 2 additions & 0 deletions src/frontend/inst64/idma_inst64_top.sv
Original file line number Diff line number Diff line change
Expand Up @@ -513,6 +513,7 @@ module idma_inst64_top #(
// DMA Tracer
//--------------------------------------
// only activate tracer if requested
`ifndef SYNTHESIS
if (DMATracing) begin : gen_tracer
for (genvar c = 0; c < NumChannels; c++) begin : gen_channels
// derive the name of the trace file from the hart and channel IDs
Expand All @@ -530,5 +531,6 @@ module idma_inst64_top #(
`IDMA_TRACER_RW_AXI(gen_backend[c].i_idma_backend_rw_axi, trace_file);
end
end
`endif

endmodule

0 comments on commit 9cbcd30

Please sign in to comment.