Skip to content

Commit

Permalink
lrsc: Cut critical timing path, bump common_cells
Browse files Browse the repository at this point in the history
  • Loading branch information
micprog committed Apr 29, 2024
1 parent 3617819 commit 659e3e8
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 2 deletions.
2 changes: 1 addition & 1 deletion Bender.yml
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package:

dependencies:
axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.35.1 }
common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.11.0 }
common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.35.0 }
common_verification: { git: "https://github.com/pulp-platform/common_verification.git", version: 0.2.1 }

sources:
Expand Down
3 changes: 2 additions & 1 deletion src/axi_riscv_lrsc.sv
Original file line number Diff line number Diff line change
Expand Up @@ -515,7 +515,8 @@ module axi_riscv_lrsc #(
.ID_WIDTH (AXI_ID_WIDTH),
.CAPACITY (AXI_MAX_WRITE_TXNS),
.data_t (b_cmd_flat_t),
.FULL_BW (1'b1)
.FULL_BW (1'b1),
.CUT_OUP_POP_INP_GNT (1'b1)
) i_b_status_queue (
.clk_i (clk_i),
.rst_ni (rst_ni),
Expand Down

0 comments on commit 659e3e8

Please sign in to comment.