Skip to content

Commit

Permalink
LRSC: Cut critical timing violation (requires common_cells:#220).
Browse files Browse the repository at this point in the history
  • Loading branch information
Yvan Tortorella committed Apr 19, 2024
1 parent 019a092 commit 06d8256
Show file tree
Hide file tree
Showing 3 changed files with 4 additions and 3 deletions.
2 changes: 1 addition & 1 deletion Bender.yml
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package:

dependencies:
axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.35.1 }
common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.11.0 }
common_cells: { git: "https://github.com/pulp-platform/common_cells.git", rev: bf98ae5e1832fa75565152837f0c3c3bf8d89759 } # branch: master
common_verification: { git: "https://github.com/pulp-platform/common_verification.git", version: 0.2.1 }

sources:
Expand Down
2 changes: 1 addition & 1 deletion src/axi_riscv_atomics.sv
Original file line number Diff line number Diff line change
Expand Up @@ -491,7 +491,7 @@ module axi_riscv_atomics
.AXI_USER_ID_MSB (AXI_USER_ID_MSB),
.AXI_USER_ID_LSB (AXI_USER_ID_LSB),
.AXI_ADDR_LSB (AXI_ADDR_LSB),
.FULL_BANDWIDTH (FULL_BANDWIDTH),
.FULL_BANDWIDTH (FULL_BANDWIDTH)
) i_lrsc (
.clk_i ( clk_i ),
.rst_ni ( rst_ni ),
Expand Down
3 changes: 2 additions & 1 deletion src/axi_riscv_lrsc.sv
Original file line number Diff line number Diff line change
Expand Up @@ -517,7 +517,8 @@ module axi_riscv_lrsc #(
.ID_WIDTH (AXI_ID_WIDTH),
.CAPACITY (AXI_MAX_WRITE_TXNS),
.data_t (b_cmd_flat_t),
.FULL_BW (FULL_BANDWIDTH)
.FULL_BW (FULL_BANDWIDTH),
.CUT_OUP_POP_INP_GNT (1'b1)
) i_b_status_queue (
.clk_i (clk_i),
.rst_ni (rst_ni),
Expand Down

0 comments on commit 06d8256

Please sign in to comment.