Skip to content

CI: Lint sources

CI: Lint sources #8

Triggered via push July 1, 2023 15:13
Status Success
Total duration 1m 16s
Artifacts 1

lint.yml

on: push
Verilog Sources
1m 8s
Verilog Sources
Fit to window
Zoom out
Zoom in

Annotations

39 warnings
[verible-verilog-lint] src/axi_riscv_atomics_wrap.sv#L41: src/axi_riscv_atomics_wrap.sv#L41
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
[verible-verilog-lint] src/axi_riscv_lrsc_wrap.sv#L29: src/axi_riscv_lrsc_wrap.sv#L29
Line length exceeds max: 100; is: 121 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_lrsc_wrap.sv#L35: src/axi_riscv_lrsc_wrap.sv#L35
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
[verible-verilog-lint] src/axi_riscv_amos_alu.sv#L37: src/axi_riscv_amos_alu.sv#L37
Line length exceeds max: 100; is: 118 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L36: src/axi_riscv_amos.sv#L36
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
[verible-verilog-lint] src/axi_riscv_amos.sv#L144: src/axi_riscv_amos.sv#L144
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
[verible-verilog-lint] src/axi_riscv_amos.sv#L145: src/axi_riscv_amos.sv#L145
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
[verible-verilog-lint] src/axi_riscv_amos.sv#L151: src/axi_riscv_amos.sv#L151
Line length exceeds max: 100; is: 109 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L188: src/axi_riscv_amos.sv#L188
Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L190: src/axi_riscv_amos.sv#L190
Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L191: src/axi_riscv_amos.sv#L191
Line length exceeds max: 100; is: 109 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L279: src/axi_riscv_amos.sv#L279
Line length exceeds max: 100; is: 104 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L283: src/axi_riscv_amos.sv#L283
Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L380: src/axi_riscv_amos.sv#L380
Line length exceeds max: 100; is: 104 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L827: src/axi_riscv_amos.sv#L827
Line length exceeds max: 100; is: 104 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L941: src/axi_riscv_amos.sv#L941
Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L1003: src/axi_riscv_amos.sv#L1003
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/axi_riscv_amos.sv#L1007: src/axi_riscv_amos.sv#L1007
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/axi_riscv_amos.sv#L1023: src/axi_riscv_amos.sv#L1023
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/axi_riscv_amos.sv#L1030: src/axi_riscv_amos.sv#L1030
Line length exceeds max: 100; is: 113 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L1031: src/axi_riscv_amos.sv#L1031
Line length exceeds max: 100; is: 113 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L1034: src/axi_riscv_amos.sv#L1034
Line length exceeds max: 100; is: 104 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L1035: src/axi_riscv_amos.sv#L1035
Line length exceeds max: 100; is: 104 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_amos.sv#L1044: src/axi_riscv_amos.sv#L1044
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L41: src/axi_riscv_lrsc.sv#L41
Line length exceeds max: 100; is: 121 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L47: src/axi_riscv_lrsc.sv#L47
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L156: src/axi_riscv_lrsc.sv#L156
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L683: src/axi_riscv_lrsc.sv#L683
Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L767: src/axi_riscv_lrsc.sv#L767
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L804: src/axi_riscv_lrsc.sv#L804
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L898: src/axi_riscv_lrsc.sv#L898
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L977: src/axi_riscv_lrsc.sv#L977
Use blocking assignments, at most, for locals inside 'always_ff' sequential blocks. [Style: sequential-logic] [always-ff-non-blocking]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L978: src/axi_riscv_lrsc.sv#L978
Use blocking assignments, at most, for locals inside 'always_ff' sequential blocks. [Style: sequential-logic] [always-ff-non-blocking]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L979: src/axi_riscv_lrsc.sv#L979
Use blocking assignments, at most, for locals inside 'always_ff' sequential blocks. [Style: sequential-logic] [always-ff-non-blocking]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L981: src/axi_riscv_lrsc.sv#L981
Use blocking assignments, at most, for locals inside 'always_ff' sequential blocks. [Style: sequential-logic] [always-ff-non-blocking]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L982: src/axi_riscv_lrsc.sv#L982
Use blocking assignments, at most, for locals inside 'always_ff' sequential blocks. [Style: sequential-logic] [always-ff-non-blocking]
[verible-verilog-lint] src/axi_riscv_lrsc.sv#L983: src/axi_riscv_lrsc.sv#L983
Use blocking assignments, at most, for locals inside 'always_ff' sequential blocks. [Style: sequential-logic] [always-ff-non-blocking]
[verible-verilog-lint] src/axi_res_tbl.sv#L31: src/axi_res_tbl.sv#L31
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
[verible-verilog-lint] src/axi_riscv_amos_wrap.sv#L31: src/axi_riscv_amos_wrap.sv#L31
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]

Artifacts

Produced during runtime
Name Size
verible-linter Expired
4.9 KB