Skip to content

fix: crdb enum casting error when executing the same prepared statement twice #10608

fix: crdb enum casting error when executing the same prepared statement twice

fix: crdb enum casting error when executing the same prepared statement twice #10608

Triggered via pull request November 15, 2023 12:59
Status Success
Total duration 3m 6s
Artifacts

formatting.yml

on: pull_request
Fit to window
Zoom out
Zoom in