Skip to content

Commit

Permalink
added temporary test
Browse files Browse the repository at this point in the history
  • Loading branch information
GillesDuvert committed Nov 12, 2023
1 parent 978a8ec commit 6d85f21
Showing 1 changed file with 3 additions and 1 deletion.
4 changes: 3 additions & 1 deletion testsuite/test_box_axis.pro
Original file line number Diff line number Diff line change
Expand Up @@ -64,7 +64,9 @@ pro test_box_axis, no_exit=no_exit, test=test
a=tvrd()
w=where(a eq 255, count) & if count gt 0 then a[w]=1b
t=fix(total(a*b),type=15)
print,t
; visual check if error
q=fix(total(a),type=15) & r=fix(total(b),type=15)
print,t,q,r
if (t - v[0] ne 0) then nb_errors++
erase
axistick,-0.1,2,["Numeric","Numeric","Numeric"],[8,20]
Expand Down

0 comments on commit 6d85f21

Please sign in to comment.