Skip to content

Commit

Permalink
Tests: Add TagLib FLAC tests
Browse files Browse the repository at this point in the history
  • Loading branch information
Serial-ATA committed Jan 13, 2023
1 parent 371e16f commit 9a98812
Show file tree
Hide file tree
Showing 6 changed files with 716 additions and 0 deletions.
Binary file added tests/taglib/data/empty-seektable.flac
Binary file not shown.
Binary file added tests/taglib/data/multiple-vc.flac
Binary file not shown.
Binary file added tests/taglib/data/silence-44-s.flac
Binary file not shown.
Binary file added tests/taglib/data/zero-sized-padding.flac
Binary file not shown.
1 change: 1 addition & 0 deletions tests/taglib/main.rs
Original file line number Diff line number Diff line change
Expand Up @@ -4,3 +4,4 @@ mod test_aiff;
mod test_ape;
mod test_apetag;
mod test_fileref;
mod test_flac;
Loading

0 comments on commit 9a98812

Please sign in to comment.