Skip to content

Commit

Permalink
Splitting off timing tests
Browse files Browse the repository at this point in the history
  • Loading branch information
Shihab Suliman committed May 23, 2024
1 parent 6d47f1e commit b139cfd
Show file tree
Hide file tree
Showing 14 changed files with 2,603 additions and 0 deletions.
129 changes: 129 additions & 0 deletions modules/counter/counter_documentation.timing.ini
Original file line number Diff line number Diff line change
@@ -0,0 +1,129 @@
[.]
description: Documentation timing diagrams for COUNTER block
scope: counter.block.ini

[Count Up only when enabled]
3 : ENABLE=1
8 : TRIG=1 -> OUT=1
10 : TRIG=0
13 : TRIG=1 -> OUT=2
17 : TRIG=0
18 : TRIG=1 -> OUT=3
19 : TRIG=0
20 : TRIG=1 -> OUT=4
27 : TRIG=0
28 : ENABLE=0
29 : TRIG=1
30 : TRIG=0

[Non-zero start and step values]
3 : START=6, STEP=4
6 : ENABLE=1 -> OUT=6
10 : TRIG=1 -> OUT=10
11 : TRIG=0
16 : TRIG=1 -> OUT=14
19 : TRIG=0
23 : TRIG=1 -> OUT=18
24 : ENABLE=0
25 : TRIG=0

[Setting direction]
3 : ENABLE=1
6 : TRIG=1 -> OUT=1
9 : TRIG=0
12 : TRIG=1 -> OUT=2
13 : DIR=1
15 : TRIG=0
18 : TRIG=1 -> OUT=1
21 : TRIG=0
24 : TRIG=1, DIR=0 -> OUT=2
27 : TRIG=0, ENABLE=0

[Setting trigger edge]
3 : ENABLE=1
6 : TRIG=1 -> OUT=1
9 : TRIG=0
12 : TRIG=1 -> OUT=2
13 : TRIG_EDGE=1
15 : TRIG=0 -> OUT=3
18 : TRIG=1
21 : TRIG=0 -> OUT=4
24 : TRIG=1, TRIG_EDGE=2 -> OUT=5
25 : TRIG=0 -> OUT=6
27 : ENABLE=0

[On-Disable mode counting]
2 : OUT_MODE=1
3 : START=6, STEP=4
6 : ENABLE=1
10 : TRIG=1
11 : TRIG=0
16 : TRIG=1
17 : TRIG=0
23 : TRIG=1
24 : TRIG=0
26 : ENABLE=0 -> OUT=18
29 : ENABLE=1
30 : TRIG=1
31 : TRIG=0
36 : TRIG=1
37 : TRIG=0
41 : ENABLE=0 -> OUT=14

[Overflow]
2 : START=2147483645
3 : ENABLE=1 -> OUT=2147483645
10 : TRIG=1 -> OUT=2147483646
13 : TRIG=0
17 : TRIG=1 -> OUT=2147483647
23 : TRIG=0
24 : TRIG=1 -> OUT=-2147483648, CARRY=1
27 : TRIG=0 -> CARRY=0
28 : ENABLE=0

[Overflow negative]
2 : START=-2147483645, STEP=3, DIR=1
3 : ENABLE=1 -> OUT=-2147483645
10 : TRIG=1 -> OUT=-2147483648
13 : TRIG=0
17 : TRIG=1 -> OUT=2147483645, CARRY=1
23 : TRIG=0 -> CARRY=0
26 : ENABLE=0

[On-Disable mode counting with overflow]
2 : OUT_MODE=1
3 : START=2147483645, STEP=1
6 : ENABLE=1 -> CARRY=0
10 : TRIG=1
11 : TRIG=0
12 : TRIG=1
13 : TRIG=0
16 : TRIG=1
17 : TRIG=0
23 : TRIG=1
24 : TRIG=0
26 : ENABLE=0 -> OUT=-2147483647, CARRY=1
29 : ENABLE=1
30 : TRIG=1
31 : TRIG=0
36 : TRIG=1
37 : TRIG=0
40 : ENABLE=0 -> OUT=2147483647, CARRY=0
46 : ENABLE=0

[Disable and trigger]
3 : START=20
6 : ENABLE=1 -> OUT=20
9 : TRIG=1 -> OUT=21
11 : TRIG=0
15 : TRIG=1,ENABLE=0
16 : TRIG=0

[Change step and trigger]
3 : START=20, STEP=1
6 : ENABLE=1 -> OUT=20
9 : TRIG=1 -> OUT=21
11 : TRIG=0
15 : TRIG=1,STEP=2 -> OUT=23
16 : TRIG=0
18 : ENABLE=0
78 changes: 78 additions & 0 deletions modules/counter/counter_functionality.timing.ini
Original file line number Diff line number Diff line change
@@ -0,0 +1,78 @@
[.]
description: Functionality timing diagrams for COUNTER block
scope: counter.block.ini

[Overflow when counting on falling and both edges]
2 : START=2147483645, TRIG_EDGE=1
3 : ENABLE=1 -> OUT=2147483645
10 : TRIG=1
13 : TRIG=0 -> OUT=2147483646
17 : TRIG=1
23 : TRIG=0 -> OUT=2147483647
24 : TRIG=1
27 : TRIG=0 -> OUT=-2147483648, CARRY=1
28 : TRIG=1 -> CARRY=0
29 : TRIG=0 -> OUT=-2147483647
30 : ENABLE=0, TRIG_EDGE=2
32 : ENABLE=1 -> OUT=2147483645
35 : TRIG=1 -> OUT=2147483646
37 : TRIG=0 -> OUT=2147483647
40 : TRIG=1 -> OUT=-2147483648, CARRY=1
43 : TRIG=0 -> OUT=-2147483647, CARRY=0
45 : ENABLE=0

[Setting Max and Min Values]
3 : START=5, STEP=4, MAX=10, MIN=-10
6 : ENABLE=1 -> OUT=5
7 : TRIG=1 -> OUT=9
8 : TRIG=0
9 : TRIG=1 -> OUT=-8, CARRY = 1
10 : TRIG=0 -> CARRY=0
11 : TRIG=1 -> OUT=-4
12 : TRIG=0
13 : TRIG=1 -> OUT=0
14 : TRIG=0
15 : TRIG=1 -> OUT=4
16 : TRIG=0, ENABLE=0
17 : DIR=1, START=0, STEP=4, MAX=10, MIN=-10
18 : ENABLE=1 -> OUT=0
19 : TRIG=1 -> OUT=-4
20 : TRIG=0
21 : TRIG=1 -> OUT=-8
22 : TRIG=0
23 : TRIG=1 -> OUT=9, CARRY=1
24 : TRIG=0 -> CARRY=0
25 : TRIG=1 -> OUT=5
26 : TRIG=0
27 : TRIG=1 -> OUT=1
28 : TRIG=0
29 : ENABLE=0

[Carry resets on falling enable]
3 : START=8, STEP=4, MAX=10, MIN=-10
6 : ENABLE=1 -> OUT=8
9 : TRIG=1 -> OUT=-9, CARRY=1
11 : ENABLE=0 -> CARRY=0
12 : TRIG=0

[Positive Min Value]
3 : START=5, STEP=3, MAX=10, MIN=2
6 : ENABLE=1 -> OUT=5
7 : TRIG=1 -> OUT=8
8 : TRIG=0
9 : TRIG=1 -> OUT=2, CARRY = 1
10 : TRIG=0 -> CARRY=0
11 : TRIG=1 -> OUT=5
12 : TRIG=0
13 : ENABLE=0

[Negative Max Value]
3 : START=-5, STEP=4, MAX=-1, MIN=-10
6 : ENABLE=1 -> OUT=-5
7 : TRIG=1 -> OUT=-1
8 : TRIG=0
9 : TRIG=1 -> OUT=-7, CARRY = 1
10 : TRIG=0 -> CARRY=0
11 : TRIG=1 -> OUT=-3
12 : TRIG=0
13 : ENABLE=0
160 changes: 160 additions & 0 deletions modules/filter/filter_documentation.timing.ini
Original file line number Diff line number Diff line change
@@ -0,0 +1,160 @@
[.]
description: Documentation timing diagrams for FILTER block
scope: filter.block.ini

[Difference mode]
3 : MODE = 0
4 : INP = 5
5 : ENABLE = 1
10 : TRIG = 1, INP=8 -> OUT=3, READY=1
11 : TRIG = 0 -> READY=0
15 : ENABLE = 0

[Difference mode positive ramping input]
3 : MODE = 0
4 : INP = 5
7 : ENABLE = 1
10 : INP = 7
16 : INP = 9
22 : TRIG = 1, INP=11 -> OUT=6, READY=1
23 : TRIG = 0 -> READY=0
27 : INP = 13
33 : INP = 15
39 : TRIG = 1 -> OUT = 4, READY = 1
40 : TRIG = 0 -> READY = 0
45 : ENABLE = 0

[Difference mode negative ramping input]
3 : MODE = 0
4 : INP = 50
5 : ENABLE = 1, INP = 45
6 : INP = 40
7 : INP = 35
8 : INP = 30
9 : INP = 25
10 : TRIG = 1, INP=20 -> OUT=-25, READY=1
11 : INP = 15, TRIG = 0 -> READY=0
12 : INP = 10
13 : INP = 5
14 : INP = 0
15 : INP = -5
16 : INP = -10, TRIG = 1 -> OUT = -30, READY = 1
17 : TRIG = 0 -> READY = 0
18 : ENABLE = 0

[Average mode summing inputs]
2 : MODE = 1
3 : INP = 20
4 : ENABLE = 1
5 : INP = 40
10 : INP = 20
14 : TRIG = 1, INP = 10
15 : TRIG = 0
49 : -> READY=1, OUT=31
50 : -> READY=0
53 : ENABLE = 0

[Average mode positive ramp]
3 : MODE = 1
4 : INP = 5
5 : ENABLE = 1, INP = 6
6 : INP = 7
7 : INP = 8
8 : INP = 9
9 : INP = 10
10 : TRIG = 1, INP=15
11 : TRIG = 0
15 : INP = 12
20 : INP = 13
25 : INP = 14
30 : INP = 15
35 : INP = 16
45 : -> READY=1, OUT=8
46 : -> READY=0
47 : TRIG = 1, INP = 17
48 : TRIG = 0 ->
58 : ENABLE = 0
82 : -> READY=1, OUT = 13
83 : -> READY=0
85 : TRIG = 1
86 : TRIG = 0

[Average mode negative ramp]
3 : MODE = 1
4 : INP = 19
5 : ENABLE = 1, INP = 18
6 : INP = 17
7 : INP = 16
8 : INP = 15
9 : INP = 14
10 : TRIG = 1, INP=12
11 : TRIG = 0
15 : INP = 8
20 : INP = 4
25 : INP = 0
30 : INP = -4
35 : INP = -8
45 : -> READY=1, OUT=15
46 : -> READY=0
48 : TRIG = 1, INP = -10
49 : TRIG = 0
58 : ENABLE = 0
83 : -> READY=1, OUT = 1
84 : -> READY=0
86 : TRIG = 1
87 : TRIG = 0

[Average mode trigger before calculation ready]
3 : MODE = 1
4 : INP = 5
5 : ENABLE = 1, INP = 6
6 : INP = 7
7 : INP = 8
8 : INP = 9
9 : INP = 10
10 : TRIG = 1, INP=11
11 : TRIG = 0
15 : INP = 12
16 : TRIG = 1
17 : TRIG = 0
18 : -> HEALTH=2
20 : INP = 13
25 : INP = 14
30 : INP = 15
35 : INP = 16
54 : ENABLE = 0
55 : ENABLE = 1 -> HEALTH=0
56 : INP = 7
57 : INP = 8
58 : INP = 9
59 : INP = 10
60 : TRIG = 1, INP=11
61 : TRIG = 0
65 : INP = 12
70 : INP = 13
75 : INP = 14
80 : INP = 15
85 : INP = 16
95 : -> READY=1, OUT=8
96 : -> READY=0
100 : TRIG = 1
101 : TRIG = 0
135 : -> READY=1, OUT=13
136 : -> READY=0

[Zero division]
2 : MODE = 1
3 : INP = 20
4 : ENABLE = 1
5 : INP = 10
6 : INP = 5
7 : INP = 0
8 : INP = -5
9 : INP = -10
10 : TRIG = 1, INP = -20
11 : TRIG = 0
45 : -> READY=1, OUT=0
46 : -> READY=0
53 : ENABLE = 0
58 : TRIG = 1
59 : TRIG = 0
15 changes: 15 additions & 0 deletions modules/filter/filter_functionality.timing.ini
Original file line number Diff line number Diff line change
@@ -0,0 +1,15 @@
[.]
description: Functionality timing diagrams for FILTER block
scope: filter.block.ini

[Difference mode 0 output - fun]
3 : MODE = 0
4 : INP = -10
5 : ENABLE = 1
6 : INP = 0
7 : INP = 10
8 : INP = 0
9 : INP = -10
11 : TRIG = 1 -> OUT=0, READY=1
12 : TRIG = 0 -> READY=0
15 : ENABLE = 0
Loading

0 comments on commit b139cfd

Please sign in to comment.