Skip to content

Commit

Permalink
Add xu5_st1 MGT pin constraints + change name to *_pins.xdc
Browse files Browse the repository at this point in the history
  • Loading branch information
glennchid committed May 22, 2024
1 parent bb9823e commit 6adac90
Show file tree
Hide file tree
Showing 9 changed files with 37 additions and 1 deletion.
2 changes: 1 addition & 1 deletion common/python/configs.py
Original file line number Diff line number Diff line change
Expand Up @@ -185,7 +185,7 @@ def combineSiteInterfaces(self, interfaces):
def generateInterfaceConstraints(self):
"""Generate MGT Pints constraints"""
self.interfaceConstraints = []
constraint = self.site_LOC + "_MGT_pins.xdc"
constraint = self.site_LOC + "_pins.xdc"
if constraint not in self.interfaceConstraints:
self.interfaceConstraints.append(constraint)

Expand Down
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
9 changes: 9 additions & 0 deletions targets/xu5_st1/const/FMC_MGT1_pins.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
# -------------------------------------------------------------------
# FMC MGT1 pins - Bank 224
# -------------------------------------------------------------------

set_property PACKAGE_PIN Y2 [get_ports {FMC_DP_M2C_P[0]}];
set_property PACKAGE_PIN Y1 [get_ports {FMC_DP_M2C_N[0]}];
set_property PACKAGE_PIN W4 [get_ports {FMC_DP_C2M_P[0]}];
set_property PACKAGE_PIN W3 [get_ports {FMC_DP_C2M_N[0]}];

9 changes: 9 additions & 0 deletions targets/xu5_st1/const/FMC_MGT2_pins.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
# -------------------------------------------------------------------
# FMC MGT2 pins - Bank 224
# -------------------------------------------------------------------

set_property PACKAGE_PIN V2 [get_ports {FMC_DP_M2C_P[1]}];
set_property PACKAGE_PIN V1 [get_ports {FMC_DP_M2C_N[1]}];
set_property PACKAGE_PIN U4 [get_ports {FMC_DP_C2M_P[1]}];
set_property PACKAGE_PIN U3 [get_ports {FMC_DP_C2M_N[1]}];

9 changes: 9 additions & 0 deletions targets/xu5_st1/const/FMC_MGT3_pins.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
# -------------------------------------------------------------------
# FMC MGT3 pins - Bank 224
# -------------------------------------------------------------------

set_property PACKAGE_PIN T2 [get_ports {FMC_DP_M2C_P[2]}];
set_property PACKAGE_PIN T1 [get_ports {FMC_DP_M2C_N[2]}];
set_property PACKAGE_PIN R4 [get_ports {FMC_DP_C2M_P[2]}];
set_property PACKAGE_PIN R3 [get_ports {FMC_DP_C2M_N[2]}];

9 changes: 9 additions & 0 deletions targets/xu5_st1/const/FMC_MGT4_pins.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
# -------------------------------------------------------------------
# FMC MGT4 pins - Bank 224
# -------------------------------------------------------------------

set_property PACKAGE_PIN P2 [get_ports {FMC_DP_M2C_P[3]}];
set_property PACKAGE_PIN P1 [get_ports {FMC_DP_M2C_N[3]}];
set_property PACKAGE_PIN N4 [get_ports {FMC_DP_C2M_P[3]}];
set_property PACKAGE_PIN N3 [get_ports {FMC_DP_C2M_N[3]}];

0 comments on commit 6adac90

Please sign in to comment.