Skip to content

Commit

Permalink
Connect UVWT signal
Browse files Browse the repository at this point in the history
  • Loading branch information
tomtrafford committed Aug 8, 2024
1 parent b296b68 commit 5e765eb
Show file tree
Hide file tree
Showing 4 changed files with 13 additions and 2 deletions.
2 changes: 2 additions & 0 deletions targets/PandABrick/hdl/PandABrick_encoders.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -84,6 +84,8 @@ port (
ABSENC_HEALTH_o : out std_logic_vector(31 downto 0);
ABSENC_HOMED_o : out std_logic_vector(31 downto 0);

UVWT_o : out std_logic;

-- Block Outputs
abs_posn_o : out std_logic_vector(31 downto 0);
inc_posn_o : out std_logic_vector(31 downto 0)
Expand Down
4 changes: 4 additions & 0 deletions targets/PandABrick/hdl/PandABrick_encoders_block.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -58,6 +58,8 @@ port (
INCENC_CONN_OUT_o : out std_logic;
ABSENC_CONN_OUT_o : out std_logic;

UVWT_o : out std_logic;


clk_int_o : out std_logic;

Expand Down Expand Up @@ -362,6 +364,8 @@ port map(
ABSENC_STATUS_o => ABSENC_STATUS,
ABSENC_HEALTH_o => ABSENC_HEALTH,
ABSENC_HOMED_o => ABSENC_HOMED,

UVWT_o => UVWT_o,
--
-- Block Outputs
abs_posn_o => abs_posn_o,
Expand Down
3 changes: 3 additions & 0 deletions targets/PandABrick/hdl/PandABrick_encoders_top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -80,6 +80,7 @@ port (
posn_o : out std32_array(ENC_NUM-1 downto 0);
abs_posn_o : out std32_array(ENC_NUM-1 downto 0);

UVWT_o : out std_logic_vector(ENC_NUM-1 downto 0);

PMACENC_PROTOCOL_o : out std32_array(ENC_NUM-1 downto 0);
PMACENC_PROTOCOL_WSTB_o : out std_logic_vector(ENC_NUM-1 downto 0);
Expand Down Expand Up @@ -202,6 +203,8 @@ port map (
ABSENC_PROTOCOL_o => ABSENC_PROTOCOL_o(I),
ABSENC_PROTOCOL_WSTB_o => ABSENC_PROTOCOL_WSTB_o(I),

UVWT_o => UVWT_o(I),

pin_ENC_A_in => pins_ENC_A_in(I),
pin_ENC_B_in => pins_ENC_B_in(I),
pin_ENC_Z_in => pins_ENC_Z_in(I),
Expand Down
6 changes: 4 additions & 2 deletions targets/PandABrick/hdl/PandABrick_top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -1286,6 +1286,8 @@ port map (
posn_o => incenc_val,
abs_posn_o => absenc_val,

UVWT_o => uvwt,

PMACENC_PROTOCOL_o => PMACENC_PROTOCOL,
PMACENC_PROTOCOL_WSTB_o => PMACENC_PROTOCOL_WSTB,
INCENC_PROTOCOL_o => INCENC_PROTOCOL,
Expand Down Expand Up @@ -1332,10 +1334,10 @@ port map (
-- Data to be passed to PIC...

pass_thru_gen: for chan in 0 to ENC_NUM-1 generate
serial_pass(chan) <= '1' when (PMACENC_PROTOCOL(chan)(2 downto 0) = "000") else '0';
serial_pass(chan) <= '1' when (PMACENC_PROTOCOL(chan)(2 downto 1) = "00") else '0';
end generate;

uvwt <= "00000000";
-- uvwt <= "00000000";
pic_data_out <= ( uvwt & serial_pass );


Expand Down

0 comments on commit 5e765eb

Please sign in to comment.