Skip to content
This repository has been archived by the owner on Apr 19, 2024. It is now read-only.

Commit

Permalink
DOC #563 TODO item
Browse files Browse the repository at this point in the history
  • Loading branch information
prjemian authored Oct 19, 2022
1 parent fa85cfc commit 1fbd70c
Showing 1 changed file with 1 addition and 1 deletion.
Original file line number Diff line number Diff line change
Expand Up @@ -26,7 +26,7 @@ class MyDcmEnergy(PVPositionerSoftDoneWithStop):
setpoint = Component(EpicsSignal, "9idcLAX:userCalc5.A")
egu = "keV"
stop_signal = Component(EpicsSignal, "20id:MonoSTOP", kind="omitted")
stop_value = "on"
stop_value = "on" # FIXME: find the correct value for STOP!!


# simple enumeration used by DCM_Feedback()
Expand Down

0 comments on commit 1fbd70c

Please sign in to comment.