diff --git a/spiOverJtag/Makefile b/spiOverJtag/Makefile index 5efdb6e94a..1036668fa6 100644 --- a/spiOverJtag/Makefile +++ b/spiOverJtag/Makefile @@ -2,6 +2,7 @@ XILINX_PARTS := xc3s500evq100 \ xc6slx9tqg144 xc6slx9csg324 \ xc6slx16ftg256 xc6slx16csg324 xc6slx45csg324 xc6slx100fgg484 \ xc6slx150tfgg484 xc6slx150tcsg484 \ + xc7a15tcpg236 \ xc7a25tcpg238 xc7a25tcsg325 \ xc7a35tcpg236 xc7a35tcsg324 xc7a35tftg256 xc7a35tfgg484 \ xc7a50tcsg324 xc7a50tfgg484 xc7a50tcpg236 xc7a75tfgg484 \ diff --git a/spiOverJtag/build.py b/spiOverJtag/build.py index fdd6b50307..eda9b189e4 100755 --- a/spiOverJtag/build.py +++ b/spiOverJtag/build.py @@ -73,6 +73,7 @@ "xc6slx100fgg484" : "xc6s_fgg484", "xc6slx150tcsg484" : "xc6s_csg484", "xc6slx150tfgg484" : "xc6s_fgg484", + "xc7a15tcpg236" : "xc7a_cpg236", "xc7a25tcpg238" : "xc7a_cpg238", "xc7a25tcsg325" : "xc7a_csg325", "xc7a35tcpg236" : "xc7a_cpg236", diff --git a/spiOverJtag/spiOverJtag_xc7a15tcpg236.bit.gz b/spiOverJtag/spiOverJtag_xc7a15tcpg236.bit.gz new file mode 100644 index 0000000000..04e3ce89fc Binary files /dev/null and b/spiOverJtag/spiOverJtag_xc7a15tcpg236.bit.gz differ