From 66c47fe3bdde1c06d2c34dfa5261d5fa2de9e78e Mon Sep 17 00:00:00 2001 From: Evan Kahn Date: Tue, 30 Apr 2024 14:51:29 -0400 Subject: [PATCH] Add support for EP4CE6E22 and EP4CE10F17 --- spiOverJtag/Makefile | 2 +- spiOverJtag/build.py | 2 ++ spiOverJtag/spiOverJtag_ep4ce1017.rbf.gz | Bin 0 -> 17622 bytes spiOverJtag/spiOverJtag_ep4ce622.rbf.gz | Bin 0 -> 17759 bytes src/part.hpp | 1 + 5 files changed, 4 insertions(+), 1 deletion(-) create mode 100644 spiOverJtag/spiOverJtag_ep4ce1017.rbf.gz create mode 100644 spiOverJtag/spiOverJtag_ep4ce622.rbf.gz diff --git a/spiOverJtag/Makefile b/spiOverJtag/Makefile index 36f2aa580d..8070236984 100644 --- a/spiOverJtag/Makefile +++ b/spiOverJtag/Makefile @@ -21,7 +21,7 @@ XILINX_PARTS := xc3s500evq100 \ XILINX_BIT_FILES := $(addsuffix .bit.gz,$(addprefix spiOverJtag_, $(XILINX_PARTS))) ALTERA_PARTS := 10cl025256 10cl016484 10cl055484 \ - ep4ce2217 ep4ce1523 ep4ce11523 ep4cgx15027 5ce223 5ce423 5ce523 5ce927 + ep4ce622 ep4ce1017 ep4ce2217 ep4ce1523 ep4ce11523 ep4cgx15027 5ce223 5ce423 5ce523 5ce927 ALTERA_BIT_FILES := $(addsuffix .rbf.gz, $(addprefix spiOverJtag_, $(ALTERA_PARTS))) BIT_FILES := $(ALTERA_BIT_FILES) $(XILINX_BIT_FILES) diff --git a/spiOverJtag/build.py b/spiOverJtag/build.py index cdd605f435..b0ea1ac82a 100755 --- a/spiOverJtag/build.py +++ b/spiOverJtag/build.py @@ -179,6 +179,8 @@ "ep4ce11523" : "EP4CE115F23C7", "ep4ce2217" : "EP4CE22F17C6", "ep4ce1523" : "EP4CE15F23C8", + "ep4ce1017" : "EP4CE10F17C8", + "ep4ce622" : "EP4CE6E22C8", "5ce223" : "5CEFA2F23I7", "5ce523" : "5CEFA5F23I7", "5ce423" : "5CEBA4F23C8", diff --git a/spiOverJtag/spiOverJtag_ep4ce1017.rbf.gz b/spiOverJtag/spiOverJtag_ep4ce1017.rbf.gz new file mode 100644 index 0000000000000000000000000000000000000000..eab856c0055f05a078799a2039fbfecd4949d2c1 GIT binary patch literal 17622 zcmeF2Rd5{5vga+!VjPQ^nVHceW@ct)CX1PwnVDI#m?evunVD(zopbKJvHP$Q`@Z?m z9UVPWQJJ05U0M16;e|s&I&U8seg}21HIs2RvKM#MGoiKDH~w~>3H8TN$f=}!(&uAr z|4<}d&yVP;Aow{I*1Y#O_v5}vN(3k@Wk1-jJVenwJ>=#`?T9>{KK$^y5?x5Yu&Cb_ zb3g3#0(6-vs{kwjMMzR#d|CrnYQGMRN9A>mQ>`|e$IbPOnQiCI?)J7WPNib$Oa-OK z+~CpKk;j7L#qMRh%_yDsn=TK$j>oRew}%agi_XuFVBEG#UkKcm$BnPUXY=Ex&u5qe zURp%|izs=#`vkb8Ch5Jq>b_~5OT7K^zG{M4hsq+WLWyP>b|x{6%uLM~fKsJ|7ucGW zOw5{BFiJ4WoL(U7rxsKipj6QtdtTzShT6?;2>BEHXOvRqyi zJ0u>lu6Oq;@uuFWmW<t ze97+8mx@)Fl{pKeHsJ8xIC1Evb~drzX*d=5jCpGRY35hBVbnS4#quIUy{WWac*NeM zwC?Rx>4JyLE3lnkv{DsY&saN^N$*!^<0*q(y^P|3uPD2|!!mRt3B;B$#)i=M*^H~# z_hOtJVr@KBXDUWRgI=UM-LzD@BwyivKY1c*13$NT4bBVez)0?b?83+ZD!$tmMzXmhyefqA_?Ti;11Fy)bPZ-ZLLIR-80XKQUy{z?VIygS4VIxj6Fh1xpGUAk(_7kM~hq+ zBKy@PNu8f@eh>U=ar1vB4O|d`=LjgA?UTOgX0D1cUL8@L#mZb~S75ratc%$zKO|&_ zpEf|wqYhty8`OUJ&T2GqVc{c~=KJh1mLm-0sTLe44&Yt7E@8kor-8~7@iK#jo+-<9 z8R?vh4k=ubph1|8IFoOaj82}A8{`8i4wDh}0UP4R)fDp~d1L>+qjsqO~>rnb&RT2bu>2_r{NxJWU z#s~@FCfnm6ApEklzOaO<4ib#m2w~2_A}-HjQBmZ^g6j}Vo4U@Cs&q%fu`H$UX#2f~^eC6bH zNrm5k>tMo5*7t|(3r6@>@YZ6PH`MFEe$Oj zeA-H$wq0KBXHPSEhW^*AhaCj7kp4YBVH$qk1~bSsRIU2|H3qh;>B6f2`ODYIy#+wx z5QEheAMsyfhOFQjL}%6klImSHh2$hoM1a61w#GIw(mZrLKx9lvUQ)s7S0=1I;QG%U zaFkDdvidq{cZ*#YE8iN~=v z^7S}F-Dd?88s3eha%EJQ)S*SBO+w&v8|1^vqiP(9(}q%pQ{rwmyadM=ruN?G+cu7_f@fea)~Kd zx(U)jEz#z4Ax2CrGcfQy1TP+z0Wwwp{13uZ|FBI-)_!xkd`cyZ|fmwWBLqs)9+$fIYn86TaA zoMICeYq>Gu?o8S1bvDxMOEVqZ_>w|iv)d16eh%A?EecDF2)#MRM$_@S6#k;~ngMFt<${5}qmSPM$zIF~ z67{#po!Rd4{7h^-i+Gs5Vo@0-A7>z zjaE52QqNIRM7ZD9sV&ahEWS4xY@&MnYM(nY);xr$`kb6m8)ruz@p+>YxkR}JD!-q? zcbw;x;XaL6MO8-%{&8FWV?mqaOy;J*=03uAV{LraSfRDlW70XY#)617@!hLcUi4DG zbC|;9b5|Qc5fLt`SCjKl7D*!+&Nj&6!0?;ik2;wqW}gvaj4)Or4dmhnG}p70(|vK4 za*~F(LbHIt^Xoww0SWZbdR+Gc>C9{qn+%zpO<2eQZ%+}m+?+jQ$d2!>d2ivWI;~}m zrZl&~TZnBADw@AQoQ(#~4W@TifPjwHdbk?4%jD{ja#O6YAtyWs}y7q0&2dA@5q}Gquw5uI&@ldKZD~=X|o^F zVQFOKa*<(ID#BqlI53;PM@TAOz8)YJIY@OwMq)_tGCUr4iNnkbJ$P)?yx<<)t{vd! ze*P)K55>Vw`#d#0>XYh%av!O|#F+PNwqCh?mr6^xb29|HeVS`%kL3#VtOCF5?3Zss=xPN20b2!0owsAOcm4TL0Ish)H4FUj8NyYlQ#=^7eAn$TX z+7f~5YO1fw(PjJh!l2Zh?jTf#w-W>cnSNLk@Vn@!K@NtQzDB}7@f|4wA+Pcw<8GYK zyzms*IyS1@a`eo;&=gVQ2J;3i1{(GKegQEzg@sMqHf0vRqQ<`|s=W0ot)t}Q4VWM@ z70^pgZCd499T)x$&4f}m_Py>KBKnl%va7^zl9P)+C==G*;IE%vn4#qCr3OupjbX5+jh)QIN z95!hAr8IXy(jYNkI>xMcmQF{Ofy+Xpc#Sf5KU-Q=7p|*icqPXqQPa@+@h%xYDp50D z65CIJArsEb0g1Vw&C^)wf;G%0^haqe06nP5!UNl^7S)BuBLP)A%wo5^McQOatvA(_ zlx9AdO58uELnKgnsj25gC|Q`A-%k8@T&KxYQ7M3}i}LRcov#xuq(X|43LzDJ0P25}&nq^B~Hz@~!R1I&T_1rS)5Ur?czPXiDRq2FA zvT^_394`VktQ*nfH^=E+Nx4*pYG&{vk^aBLjAQygk0H9>r@bRX6e~rPZ>ck~N(qQJ zfpL8DZ&eX{F7>atg!AJGmSv&NuXT-!bz!0kwt=R9Ysv9d9E?+RMg_~kq=dJKMvai? z@pnSF(lQ+gLlJ-b%GeFJO)2FUPwuH1s=;}MwlrO!s+ zIJlf61=4ur$?RU9WV1B?WTJSoK)ZtyN5lL2(jUp-J#; zy5+q+o-kKqUmb*MXG0}DVrBa;M-}YDp@-|ALMpFhqZw^mH}cmY_)6sg^c1PVg~<~E zu6G?ny`ol|?k@!nUDY=|b%KB5ek5_t*F<3$y8Z;@#ZZI5bW@*HuAAAYy(Gs}?G~0< z=b%9FK2jvSsN||g?g8mu&FiNT%M&9N@$|fc#+5$(OB|WBZhq^)Iw8pRcR~NYmkd{R zA1es+)m%)Pe=DbT?l|;C4fd4-w@AeF>vE&N6cn}dqgYXWH7RJ;A>nOn<--zMl$0%8 zzt6!@hMJ4Ye1R5TgXkY2aDXGZ5Dd@XmN z2`U@0Rf@m^P5Wco@-6rKs^V5uxZ0&YXZXeq-g{WyOvg&7MYaf;dZ2X**o5^r)dS2P zU4z@e!TOabwTPk*@{i=VPpN1cGpUcjQd4PEzh4w-0Bfq8m_+!RqpPjjvvXau$gL{^ zvbiGyC90R4AfEzz;@`5;(t&~mSTa_d&S5;AH>Q--yfwF%S!mn$wtaUf*8w!mo|A!(fqK9Q%@ts zm!!r_++6qy?gRk_p|1zzb$@HRi!$$8>OCLnuM9bdo%mLuj z(!MXl=2vtLpg6pW*MO8g;ZPon2=Vg+N?Fd#CV&*QlME@@2C4c;j<*=w895OcpLg91 zfyC70lNDZ(`r19ISPXaC1kHWiK0=SYC4W=ievg9P+l-L=#%c)0P~5P?tn`~lgmGNB zm8_ABv{>Q^anp=h22D+xb4}f_hlQwD$?Qgs*%5@Z8Oup*$BYni$KtlfpKwN>8_kpK zM!tr*?)Gwz*^72U0|Dci6LmQ0*Mptc3NFja-s*UOy+w>kx2$mL zJk-KjBIB5Lkoo5Q1XeoG;Q?97@5VsxhT&8u5Skwq1E%uR=cC14%m1Ck2BDX@cX3INgi_Td?Q)`D9M}MJ+ zO4R9^rqI3NwZu)4^26ZDwaXrfh%hJDkOCXq0jeyCBf}X)gJ(WfjziY4;9S$TEr%;q zx`FBMd8U!7cSL{HiNJ9%ze)dsbi>kjp3me(LaU^=-ncn;QZ&WJ4ULMhWF&uy#TZ~V zLSB-}AR1_j*y%;&yT(ej3c!7ZRuel@G3-Jynw#kcrPHm-C3|QcUi!Y$%D?opNdqgq zUUP@`^(nnUlwfipqh(YAVf_euZ@N|9#x<9a9wSaZj~GqY!F>I1#jDV_#Y&`8AQpy3 zU)KE!xSWKBQ;924o_2dZfe=!Pv{|jpU!pO+A@-$68s%1EM)g}mj7IK)C5u*bmW!tL z2=viY>#oiuDy*}{HRKHs3I~pf@%@{xhsnqWs2tBryY4Din!8!j38PG5*kb}sNr4&; z%p~`_8cF1`8;&byMG#t4Lx^%AW@7&4r3Ifi?#_x9ZZ0<}3Xc@asB+PEzwIe#`G+d9 zHF!Pk$=6I*kCti97=QSCtQ5^$ZoB+EW&RR*a1#y>Ppi>Z3T@j)nf@$t3W!Jzj|(;O zQvaGie2EDZ2%dM6v*igWh*#IQXu{dvo+Dwo=`x6lU4$u<{#pXo$djY^jIN`Kzcfur zIYVH+8`&(Wu}T$+CUC!+!oC@(A=qs^Y_OBvYv8kjyc|G~N6WrY&8do5SlX8phN#gW z9cyXCzb{ZlPi{;eF@jjdIux)4lQ*yog{fomftJ|d-?Tn6%;hlKY+LX3q7u+uWI7RVc*J|g_7hAP=h>= z0SWk-&HaQk$P&y`F=s)&xZ)O$!pAms@aPflI?I$E>(XqnjtoEb42=e_s#P3#2xVYyt8hZ)z&KvG-3&sju5O3cESOftC*+(XOfo%F;uJSudlkM8o(yEYROUE#g{D|+TZSmN@i|DJHLCI%;X$Y zn z>w&?zSCB_JU5hhOMSEKV9|hFH zwL(A|CE8n|A1s0yM#7RZ?Sr*IL_p2n!CLA_`@M~r{c;Q2DKDpz*~?O&u}SUKT$ucX zJ|@O&AGy03fF^RAS9Np=C3xT}e}HlvQRbSUQlS(zW#FL>Meul?1I1UG^8V1}2)Ml2 zddIO_VaZ=VU@dkP!J(WX8a~OG9Z|t9Pr!5#`jlf6t!W9=_!-qoSTrfUq=b0K9E^0h z{0Mwf3W*3;E*_i40G_W@LTcr1hRUi4i>sNKsx`2|rHz4YkX`OWnGUbr3??6QR*}Y8 zOCk{|=)Y*m@aY?uQ-L-w7MfK`pNc#qqD)7-KZ zwRgK$!B{0xm}TUIpnx>QcPH9&?$n!#x-R53Cb<+hgOjDR%O%*G(@=#9hXQ=uh5Y#t zE@8;L8_;7Cl6RM-0JrZ^2X06q*(FI}yDZ0lXmAlYH+}}FdL$P(2%eeS%-z`gbl4?g zxFpDpNeQ1hC?t)6pDVm5?H4_$SFILgBEDw7*8E&7yi4-^+(wi=Muz4BPNz9>c^kvN z&lbb;nmlu4nnkEX$NQF(+;GDw>TX0SRsVfWk>61rhxo%79$}WyB=~a-E?E6mZQK}% z(2=4O<2lIRP}pT*m%9iKJs!;#p)V{VX`h{T!r2gh;-f^oFdU5M^G(}Vp@57px@Ek^ zl7l#TU)E>q_s3sQ_A-k2XHRYgtQtq75+UX$_gLB<*jcOW!=o9oAr)@OK2mp;Fp^NCNxd2s6b@R zt1}V#oGW+u5ruKi&mS!@ zW%@|GMbA$cyf(`_G+b)*?t z8a?lpDLtp{S4WS*bRc;gj)q+TCsJw^v)oy7VXw=(JP&+0qBDIEVr#-5!-a|`U|mZw z6XAG^RMRaXui;Mt;~+JXeq+wZQ1&L5xD_GL?_?_NRMT&Qqu`tS%4i8_x4HL<@3|uT97dx?iTZBsqQ75AX`{at=-a=IuN_fwo zBX{^JlNW=u7ysJbRuaCggnplPN%XsQd8-hyGhLC+G5ld+it5^p>0wNidiYe8-$B(( zU$1l8U{$hylH+Faqu9$L-Vfc23&{HczumhVG|?B&bsR!Wb}aZ?QwIe;3l{=+C=3(z zZ=-%}?O#?kdZ$FA@N&5QnDL3jr4ChJv{EDA<>iLpeJ(pUJ!25y;-0Jz2PqvG*cKX&PKW6I=@<%h-wZx%<`4g;BgKD)|qSzDB14?mwZ378ksjrhImuCD_O%=gpL0yukvDsXNK(1 zmFBy_XdsapJ#WfTAD15WIa_#|8xS~)N(m#70)csgG-iD$wT`)uc7?Lvu7g>7{x4rt2}zVnsX2;Qdg&3N{0=eVKbzNtouHtj~JXeq_pXJz@W+ z)u?nxWXDwFT$Ngb{C?NSt)|41sq;?^%~%khanR3~yLt9TB@Df-%mj<68fM-|<+&M$ zIAnFy><`{aRD+sl^gYZq!D*kfxzcru5ifT*SLZZ>$0#t>DYdg~C1!i!rzFCD)ACTq zKv<9S3y}G7CJ3semK&;&9AU%~1VYdp(c2TM%lCZyRS|delZdKDyiL{|oeo0P>*L2$ zJ5}Y5?a|axHJx%VaB9^F%pZ%Xj_2{gD(sdxdD5JRRz<3s7^PtTUURJQiNhQftnFQg zR8ni{Qa*^ME?*r`JKN?`(L*iu%I#INX!bDel)3rOHlq|q%2%YzW&y$Hv?!C0YeZtE z1jS}|I+WD zan8ygVc0UT5j630zhWFcWPibkL+tynYQem*HX>;tK@5hF8l366C z1eyr%8-)p<_8G5#j^m!*qb~2*RkSBLHE6QiEa<6LMlAb3=`{=Y?4ih4E-L1C; zYI?s3ErbqO;|8P-W}RzS522!=wXa(j=i7s9!C4edY2wF>!LT7$MxDyYUZwGHsJ51qSztdR2>gX2x`{N7mYgg4tgJCr^V_)c;CI#@cwCA z{eSy^jlgtBh^t++2k+2O_0d+h*KTB4%^Ed(wj##4L#&dkcE?sc3DNLHhHI&UHt)#K z?+?|Vypd_XX!_gBSQo7Ap)m-==NA|E%(zm*`TmQ3aj1O;0)sPi<7;A|l?xi% zzU7t=PJb?j3BZMa@^0hobZfjZf<%YBwL#CL)d==~*g^i1WhsN9aX7pHow*i)|5b(< zsCiTMgXM%zX={Gq#_9BG6BHC3Q>hf{9wT_Z8AR{zq!KHtp4NF08_Z^>&Rf0~uWeeo ziKZXFTW8y`NbiCZW0H#}HCjR;3Xt>Q9j?Ji-Qeh; zNls^~A`~mllBNLpdINb|ld0-09PBiZe19=BKWZ0H)LjrjmxR1kO<_r#e=+&5^lKN0$}Zp!>o& zWD3Kt?^c-MYC$;`^wi$C>vp)}gHIixDzw2zqbhi1B=Qn5XSdWOxT2N=i zyF!I+dNdhNjBm0b&!OU#*mpIuG$r8zlz01YsD`Sm4R8$Yvzkfy<6uB=zz(&-gd7#4J78ART}{pU z39D0WzZc|coV?*gmazO}K8XBWT*zi$Lw)EO>d?XTB&hkVOX-J*Fz&j)vehdK@=K^C zGSDNULDZb3Td+FgI_AlS&altd`msd{O=4WWQdHHvT92ujn6dsox2ik-!TVv`nG2-+ z-VH*vghLm^Rowak5?1o!e&c{dq+X?LRi*MS2?RSGc@1@Kd|SN%6KDgNrrU{`ad?UC zIJ;?AV!XiweAB~%JoiREc~(7f5xQ*VRuCzt!@HhUJk`G-XbP$DHawtf+a#1oD4JP6 zMsqEdwjWD4^cz!Bi_ z4_uj?TPM&52HO`TEnbD*ktx6{v??1=_O5%3Mi^a&maOJ{D#1AhD(ho0Ksk(a0tXre*U1;p;0+wTnTO`3iG!tDut`)v2F|!K|>b=N%P-od4 zlRr5UfO||x50qW`#~dGPJPYa*mO3LmW4H77<$ErWkLa4Ck^cG`~RkqFC#>F*eft*5n=3vEeIs12D z6Q;d6FM^f4J3+sqH&h!h2hGGLxf(L{zR{o+?0!(s!rFayW33v6u&oVO91*)}J&>GZ z)b`d|2%B4CB+BSff%-{Xow3(3fA>?O!GbuSp<#_-r@1Os0h2(=HJe+G#cELe;eLYq zei1IEa)~h){LNyQUa93d8kuuqEK&>g>ux>Th`3G{tF#AGpKrUp=fGH%gue8 z<310H2wAUKN$>E<%soc%UfBJa6$6^X!EQcJou(Loi$D@^XQ0NQKJy!K6^^c*Kz##O z#LWkQEwKvqsT@*dYAD;>IqyAc`E6_n*?_pt(GEj_>$~3z_%H1QwvN%c zHaTVc^;Q_t$i@Kw#1`y7Sz!9}Vf0rNYII_Gs{yt<-{F{uE}K9ri||6d^H;m+C#KV@c!)AuWJ*LPM z$v>djy@NZ&M&T^s z>nJ<#^;DQ>?iDL&3X(ZJAy#S#ec}NspwSa1M3jK`YX^6Ys4!_AFVu~s5oh0ZI0q)W$|-}{dxf=n%}wKwD~41R}Qhj0b*{G zq&|P%QmAVVw(;jBDG7@Rx|_?*i!CFCt6@P1GzLfb?F#Ye;m^GB>q!Q#Yr)HkIdi8d zo5={|JfEUeN^hPOyhg(x19lba=>QJN`IoZ@Beb2rAGdAo|W|Zo+TLn&Z6%la}g;hQt&+BQ#L@5bx38mGs0@A!NqCw}F#ApqD$YP1^foa!V7uH04K&%@_-8r?G`rGoK zg+EQ#00E@}dX42pn1Z@n#6$$^ph<`f#4yF6kJp=xN4oNb)u z7#+s3f6vAn?J7y$BdX2v{b$X22Gq}`wi5|V=`=fMmqj-V-`BO)lRdYiJ_~^ zu`_D^fUw`x=Ct!5;uWTjdMa$iJI^ zhZs6vKZr`=7fq`-vZ2Z5*T}NzSLZd;QOtldijI0+ee-D22c;U7J!gRx0_G721}MYf zzZRcLvZT3`K^S(mOXr41X#+A+xA#XT

Rd@IIx6l4?la`9!Jy?S+p>WmsiMaQ?0gU4ABa@P*$= z4GoA}y<8u@(QFdUdkoif7a%lrI9)m$B~A_?pD1Ji6adY<@k3t5jD!)-DIHQ1fmd_> z%L#?yGER@w?MPB37z(Bn{#p_YrrO$4a^u}gShXiMRL&y@^4M8z^#_b9+!Ik<;(T45 zEg+gY-bi`QMg`S)!ci zZibb^B?1~}GQbbX3!i}+$9TFKUd?#&c2BeBupBwO6)WTuE^14L2NLr_MlVmRZ7)EXqk*1Yt|r#udAGh55#XEorvCFAZ0Eh% z!Ieq3#!LKrwj*PkbI1X7%Wn9S>vW^QI_Q;<*J-Z1|LfnIY37h9sIC)oa2}Z9B?5#O z*gn2YdNeZr788Nx-VbA7iH-9@U+G&`{5j7UrM#(lk@r!tWGcVM1bvIi^FT8L&knD` z_=vAPWdTHK*K4{XUR)>ui1Q`P!} zwUe`6PBtxEpEB%rX5Ex`KrcIwO)U>Xp@407TXI9S%Ze?;|p(cHU$#aUw+Rh=6XjY+ZWMFo@J&tr|rsHcJ{g)Ix08sfub zmHvZu9Idmk=p+uI2nrL$*YTxo&Kh(FhK9>ETV=KP6p5k6*@5qEy}QOCL0S+G4}&ti zh$-N$HnjqUCqt#MT8pV*TstlJzDDH0z=#frUunQU37)#cf^}%=I-dHG*-ShxNAK9` zyMQH3m_F)jU2@|y_koE>6zQ0f?h77Q2PU8Wu%U(nl)#)@K-u+|C?~#n49`^l?#1hy zTV|!4KMNP%HpZ#B1cbQE99vV8pBd=`OKtU~3d?1sC#b>SZX-6_Xk+q)dHW!U&277t zl-D}(H-T^Yia$`i#Jaj3?;8t2#ux88q$W^y3!BJtBq+Q1dWjoi_e{D%(^&4XNQN>LZ z?X=pafTy({)3JIPMk)pE$BKzQ1aBs3nIhSBH;Le`)iXK>ew#z7dm&Zh%x-7`R^UR! ze3JXlpv(o&;q!iLXuH0{llv{>A>raUraiWY^98>(xw+0U-?19eRrZAN%0 z!Svx-MnVeQCDQLa{pQ?=mA4pgQUAgbH>#Klxv@h}3X{T6x!^C49>?Z#nR8F*Igxt@ zAS`o=dzHJ)rtvpp@X43NmpLzK?0VQDY;Y@_D77je05YO85fPI3SM9t!mkLTyL1(IW z+ch07j=k>^2(i~AgM0KcBUdg({sNZPR)LYBgAELjrt<<9K@Q&;b~(vNLipGG+OpV~ z>lT6(m`;x$zC_0T9NEyrUOSy#ZybohCe^!_p5B=SLr1t{=? zpPK(qL|{zj$u3^m<6i3~<4$BE<9ChwDhUI%u?kh?oDsoOISEI5jg2FrxP$>9}LfN|>?pMelfYBn)?WH)$Qw(6zG%D5F<`Ur=oC|ir3kOZ|+!$ z>va{M~U z5T_yb0DtoAi^Z$o$a20-CIS100+0Nn;Wqx*LS~#RS8T9@uMkaZ` zKxdGz-uHU}&sco$nT;o3kFN&LRXew^(d03bymN2Z_ISMqg(^7s?~sO#eNWiiMdke~ z$5X$;hDi+%QHixn*X}nZU36hK9H~nN?^6XiJg{n3Tf{!rP5K}C>%31e6CxrN_eX8w zziPn9WpwtVpM&|cp$@lk-00VQ>>A)MscdX1z9Z<3q13vyVXjn;zo^z$=L*p~A_yrk zzDzbsZW?a!6ie~GNv#H*iDIoo{p1wK)*!y&Z6<%;9=hzaFBsLb@kLtT{(0jAt)U}+ z=C;u<1W{PrS^M^EPHiiXUY}hxZ!i!Q9O&jU{7^`b@M2a%oggvIy%t@a;oh{vc(cb)42HhLz+9dFu+OfRN74$k?1E{d z302Y?W!+5hTH{(Y`s#<658n;*L zD&xc0T(+H%59e)_t@k0CY09zE>XJ`SmSRT@ti-Q3@r85@A4kpzltt3;Ez-hYa*1JM z)5Kwl@X`HgKENxfaa|{L`M#M=dF|P=`EI?9zW*9qt)4=Y4dIHTvCXzxbHp6aQq{-9 ziu2()Q)Y1|80)7;))22K&o1QKK!(=q#Hd@q;@DrETg-vUP!paqLt#aSY4`Q~$e>$T zVy;CU9v4D)h=tF-W*0q$BvOMwaMq`>=nc+L139&o#~=cu4#P2C;|JXHOE|o{m}p70 ze1mA8J;#%_(%Q2)j$w}K-0S}m*bn;u!z=hY+>kAsK5g($5Cy9JoTnG9+o(yRZqSbWEOTFa{=3Z$AK+{vHufhkDOh?x;8bPyN z?vCPM%Z_?!P&Q&@aG)d{6{PM3z@VGC?ZLJ=g?j#4e73F{ux3(sz%L znwue&B52C%&@xBv1I*8SYM!tSAgFL2XrJp3_O@AfQB zAU>XKQT-PN4r$pzGB&fuCzAIEk^sqUS9GTNIovo$Cw#;xZv%Zh~i$ z-sX9n7Kjn$NngB-)|@<7EkKBtT;@ynnLLGMB4<`i8Z^~~gM^VvmVK~j;uDT8{H_9tncT`z@Ep0Tf!?-B((;{ENr z7ix^?=T+pNIgr5(Kgf)P&pZ`%xf0TEr6*b^At_!jN3lMqQ(#L4h++lY$&crp$BcCj zZWlU|CUcBAOO~tFYsi^i0q!p!Y4vzXa$~hwFR4T6_RfpAEM3eO8#D$$&WGgL*)7Hz zm(4@yA72a71Arl~ES7yG=qB@mn|8leHP)O-?C#f^}~uM8~isxDdgnJn$(F8u$Rt7E$w4~|WZK^zF3iigF%M^(?z|UWKnTN~Gu%9w3Zg4{W zh7#2}RlBkUHB7bc;zs{02`%skh?Ut72gn1jjFZ1dYH?(i*4q5mkm6|Q2&`<{IoG_2 zDc59%z9ws1i#qDRRQ#8>`U~M@{Yiy1O!7(M4QkVkEvt72+K)`e&l1!omO|& zL>>O{{Ps@HHEG-#9p304^U+(zft#cVrQ^lHggc}{WIodRiTT%Qve+&s3DvWxC`>)e zlb>8366IY~@z<@Z!FNOMU$x1a^U812<#o?^sJgm5pbF6%fD%yPwHvD}QOx`Gy-dnZl3yf!L5hWN_p z4TQLC>yeHnsLyHcMnj_D`kp+s66KX+F-Lxy^496*uC$nL&co# zP=3rGs-}p(76`rcEf$Zzu8J_ao>4`8WbVGB%obfXYSFBWmCo)!|LbG~t+{t|O2@>i zg19{Ga|xNg%9>6BNS+Dc@4W2;cExEum}Znsk{jT?-#pYE3lS zm(HQP(Rz59iRC3>v7#)Vg(j%c$|a2zQKP*pyfiYKyNz-U4!bRhbMCK{MyOlYp0_b> zCfR)NNgbl-mH4=)P;lw>O>2!h-m^%al1%tf(>Bm`GZBQY9tW^d6IMMS7QTJw-PH3< zbc}k$f+eG;uRHaE(h6NT ziJ*VzZ=fQpXH`iG`#8y#=KpwW?EO`EkbAP*haT2}n?QrcY^9~z47DxFqMMav`Zfz_FpT%X48edPqN86X zG*Vn0-aZP|$5fp6a9pzF;FDfTlbxe&s&9YpN#H6Q+1JZS@l#4$fTeNr5E~tcR-!IdDo1Gm+v3ZJ!m+7pe+YJsM}*SX6(Do z#i7)Olobb;Xr^5@&NXHXldM`S>*2L;P&U;M{46U==OQr9_esq*mjE8Izs$cUd)4}p zFX3}s2A3#xhbAAL(S^xBViTJfgcp}6Hy@%_H_zK`FvekUNZfc|l%go)cey;=VM%cVD^ z!|zn>NGz{{T5~|J)%eZsKy#IVa)GPF)H(^q`}WSg)pgz_yKyxtLa?>tfJLEYac0R4 zuFHp{iomEf4Yk5+@#Tk&97oRkW%D$$FbzyRNJe6l?DiFu>-JNKvHQ{6?%7(pAVZQd z5TzVlIJp18GbEAyRQ;+T!c*P*jVjdy#DpDwtg}cqU-daA@ZRsUgzJqukgYcRuKIO3 zt(8!D(rXg;!hw)FHsWKy9}|9OVU!`PWyv6GSlq?GN4Lx`x+`$QZ8OCsWssnUh?jE) z#Oud6*F}k*RWl=Rr1)Q-Pmit}!A(rBm!}TpBT27ot;LY*0e9DkN)+U$R+aPCOf0nrDvfc0<|WMl^x;dQSFwN=760%f})p zctN#FAif00{%AEQ)LAAeSusz-pPJqxC}L|fU|Z?lx&i7MnJ7mCbL94 zxw|itBvv52TCR}j2~_9VZ-13&%bXYB;TZH-8`raNJ+8)*IW(_T9k0?`j zTYsEg1kHALLQ8Xo;dKO^w`NRD)tV+vB*2}FZ2dv?SWMjOb;hAiUT!p_&7(F2Yn5)R zDN>(k9%QMsNgWecu4Acc4MT7Vt=8TP6ZO1wi&)<u?qYbXZion|1S|R5dCrNgWnB@qO)6Q zBXQXjFZz&V$PsY4Ek}>PZAej;<$0(SV=TiSLyTK508Ep}{t-sim(x~OqDwufxVekM_-vEw}hnf4~ zSch#e^qUn>Nd0M3<^E#e9yHd}zZ!kN9)G3Re{tE`m#bELKV9Zo#`ecIdM%Q_ z!0M*QpG#k5$j|?g3Ajm@%>U|6-%ld@XHatv`^z?yEz8(bs_K;m|K+y6G`w_B>cBb>xpVcl7^;G%vYH zf8GC2+zfzo>7@8!>gHmKph7hN2@QY-=U@2o-WPsM{7+fcV`YP>_up9cKQ#Ck<^FFo z9SL!t{Q;Ddpb0h4DIxiHeMXvBNc1lrI^)aHe_(T-mUAg0>i-GI7X+=2^Pfu^3^|*$ z-{j_{B>$9H|3RRE4URzMU820$ga5Td|Nr!BW?8;dzO?c7%a$9Q8}??ls6vARgId%m z9ClB_?boaAlm3@j-JBh9H}+y;;V-rZUA;|t;s3izm4A9nnq(cR*YSz(bpDrZGj=|> zHgBf)zqvNkZQ_lmzfnHqc<*A-#CS&^`@cQSLK7rHK3WwXoMu$|sY$zh_qnutCyHLq zia)h!>h*b3xxcN6*Rd$N9D0b6FVxfEnG? literal 0 HcmV?d00001 diff --git a/spiOverJtag/spiOverJtag_ep4ce622.rbf.gz b/spiOverJtag/spiOverJtag_ep4ce622.rbf.gz new file mode 100644 index 0000000000000000000000000000000000000000..154e1e80984d80f6e0651e83d63381e2491548c9 GIT binary patch literal 17759 zcmeFYV{~Nkw=J5EZCl;3)v;~cwylmU9oz2MsHEeLZ95$&72A2~bI$$W`#!!g-pBi) z_Ndxewboqw$2BKO6f7*^(EXo2L%7|AtoL)`=`WxyS?w)t+AAkAvp9T3hI4(3V7AikK&WAb9J~K?N&rj8Y zA9quM&q;K44ySeCKRYr*Bb<3 zi_)`WwqN6YraTqnFFaKq>%Gf%#}0O}ewWs;be%lb(e$U$2DU`s4<9K>|152L zHZx76l{_@mgGuy~$YM2NBOi#UAQ*^vRM3ZVmM(f78+oOwwXiN=pkQg_W`LD5#*tjt^l|aWtN&&~@ zUumUM8@yyi%j%90&4i+N#y4bTX*2bB_6=6k@g~n-Yb<5a^TH7Oc@;;>ie?fxD05pb z;0#daq~6|3S)Uywcoff~Z$V*r`tb>m^e4g{AFa{NR=2ItlmUu*_S_3VqD}{9ilE~_ zHuP>Lw=x=%pDJd*0t31!2O_*AjaJE|FUcR#ALcp2>;#_iisvKZqqaro_a9i%@0?5} zbm>)iYTh?@3c81-(){7=$E4Dtw)KxAepmRQE1?YH z?HwvH841k;)E4cg-S2kO{WY@tgN4Ewi}jsCx>TxS_lj0}=R(q)ay52xXpOZ^p{R|s z=y7)Ka+hsYdY1oddH2GbD`jN&MQVcAAp+i8@vmw;eKzoN&YPjcP(m^N<*r?a#Aa#q zht1@f89buA8Gm*jgCfg?_=d)xC<|O=oDH>y(r+8{5$bnjt994Pq33i2 z_}9t?7AQv~Y8g;QCAonz8B!L(wRB^ZZoPFJA%r!MN2cvL5!UoE;GteZrUPWD@p;9 zm3TW6@vFkqjB6rHed^D@Jctgxpnjdx=kWO%apJeilP7;DtLMI@d{ip1iPqIywwuEv zV5h>09$2|Nrj75fKdX%ti6$Qws*h%G-MmP*+3mwH0x%N; zz$&YXJzvtgNx!D8DW1oRsh_mE!irxLc3m~lI%VwW*w*$=YzLO-! zmAzE|Od=NoUAvUo^U9()wM5++4sV!(Bn}uXLT;`V+WEgXnQt0(?Zw{>7eqAp0j3&1 z_Pu{}Xg!Gqgj_6|(8A}(13B++y48h!pecQ6cFm18j7|ZHbzfE6r#h1DHXwDi5>43i z^^|Jc694%)^ZV=wN0YJ895>>gvRd~MZCku_BJn}H48+tW2oqkyLoawR-p*dgF*D2f zNU06ou;~dU=2ubtaW^I<5eh)9Ru)rryCfEJh8AJJQ66wM)KkDiN`q4P_G(|M#7i#r zc?$!7;iB^%R5je^1^8Kc$-3g;d6T6rCKR(h?Q;(b92K=bqv2<}KkB`H;Ke_vp(L2X z>J{Ik=^>sr8%qcnDXJ;NZbauD1YW0NEKbZy_f(8JR08hNM4F&cfiEvj-$@Wx-vXj8 z0+#cEig{Q|p2)jP0Uq`!oQ{*vC#B6V7pr>7*OL=hEgp6(wRE~aRWyWBXR(S;zi)ip z#xhjt>uVe8Yc>PmLi_%XQt*yh;SE0+*tMM#o*2WSk^NTyXyhVpX;Ilqi;1ZJzG~w4 zB}Pk0u$`IS=slssMK+|)lO$ZocX2(r+Y+JeKVsv}IF+L!O=%5QeSO;8^PO6MC?WP> zWe0x!6?h4r_#dM`vz7KjSO5KN6cHJUh6NX3e@$*=l)C%NaFbRiEohygUD zMmMB33eWT0deYdo9`Vn9v1BZ+*5%yF^3f9c%;Eo7RNf11iv8zde=0LY^RG{fGx&9g zvXqpgIx2x;pYCn$EmgaVB?BqN*hJ=^bJAv!>!UU)W{weUdp|u){rBkqSi|Hd`$YXS zcPuD|20f~iXimD7<>x5E?kJYLOYvz-Ok4K4bL1g6`a+(c{y&h3 z0nTjz4J^Yr)QO7!@ln1YPQO{tJ1XZx^wX=)_v16j#cK2a!;spDkK*9NH$3^DC2kG- zuHC|{|0@Sg)PQhVOzwiG%}>YB$OQ}kvVJKfGLe?JY4{hVj;-_?+CRLL3|kh_lw;#& zT0cP(JJd=1%*}(DpX2{4p<)RbvpoM5u5NF%R=pwWXOm^06X4A2=@Jtu{15yA8#l6E7bO3O+BImAr?A|-zs{J()S_P_VhmvQU0=+u1YlLYpLy45yeh~$(2)1^ zle-nsPsbfN)OIXumI}aqxeM18{)1P3aP=~Yg`|KODE;8W^5jlg6xm!2>2uX71(K3r z-zge~6Ib}J*TEW)YNMuL%OEY`dSsgBTK$!okWO`2n*^scIbu`jA5Q;iT0)_whYMnu zzREJ*Q&6AofK#kAq=}_mqszN=UP?64g3^&-tQZ% zh==+mi%iM%nZm*Z>5`@MR9Ee{lcnJW*#&^y7 z+tB94MV0~2G6$OAJD!+DW+}F~QPP5LexL4%7O_)!>{cEmI98#m7c(ovSIYctPXTyg z7%+AX>0w5f@+HMel``Pap9;mr9l+~9R*K(sN{S>Ozhrdl4Zd5Q`4kKITw{$<7jf@3 zJc`L&UAvsHVZ)(u$^50by56--qqki->56Yi#W=A^Ccuegzoh*(lP~GB9g*scNPvkh zH{x&;ZqXhTX;ptG80*JhtA|TcYS?z!yuvnC`ivmi91=XkX)WeEB+-;-bg!%R3KL!W z`wb>8bVz`^H|HHH{LhM`>rIJ|et?jJU_lFg)eL)6&HAI_)uaN|3jJC& z48!8J;Hjq{K*=Lbt8=lh@IV$!FA3^Ft&)Rr2+XNw;g5fp>2at{~oT!i@~)-4aUYL zmL#QJQF}y+$EP4jwJVf?h7f$3hmv8nzt;amA>vz?&*hoC#d+9@0BZN z7|w^`XFxZ$Q?OZbSYnIM%14vs@7mp;3n>Sa7N+rQQ`Yl5%#i3wzm9E3N`jpy*jiq) zn!;}Z@)))t4t|c7Hmoq@&WY*fk@60w`-=~3pDz%63gh`#HckBmZ9D5neiIjlZp1h2 zI8|6!oY+)DmrlJv8a`&|#pM}!g2T*dj(b8o3 z)(8WU4icgK+`+TxpsLlf1LD1!!Iy$3f2Lb|r$+}QC4+0vJN<)xKd^K1{)(}BB2tHD zjuPLN1hW;0Zml~BEtpPOlH9 z;9BKgFNd$8NQX^U&5KI=ZSx=ccwD6^ou0xysJodj79`vU*m|Bd-pCzPwQUXe@bhg; zMm{Lj{2s7rUov!SV`^|+zDF;9H7O}OMm+@VKp&pyvqRFR=Sc1py=9x!)*tv6;DI_znwuMF>) z5x*Xtr#>3*h*|9CwsnHjDMcuDzYSAF1`C14gxNkS=_3lQ2N!a+V?8KO`Q?U6Q2*xV zPg9HXq$_RFP=O2Qf6tlO3h-5EjznoZ0C8EJ7d2cLJHPm}WZds!#D0c1-e`=oJS@}NWRwPCXhE49r z9Ip3we8I2)ZBr7ePfp(}LpTSVwGe^vZN?(|T9m67%Mq4qk+mo@geXc_L4BJ^NU*>| z3>5%T9qo6kn-|pW*CVi?OSqD{Y8Q%}xM(-ysIlW!V>0fB*;kXyn1$fR9;6g^JW`>f zIS3`;G!^MOi%G9=x2Vi8LTPhx3|WR!jj5d9BWI;SBbCySpSK1TVmc)EMyYriA@1dY zag3iI7H9i8$Shu;j_eWmHn88cCF=7R+coCiUl%r{cGB9m4&fw8fLr-kmBpRKbKqU6 z3slUr663F03sewqRlr@;w`8{^^R^%+6_u6uNHK&3IsBO+_H*GP*dtoJQZk)~#;~JM zgnQ+T@h5v0#Ylo97Vni=>BiAhnPQKHocJi|?EjOsc{t-;!Qp*K@|rY;LE3n2QijB; z?Su+~*6(!>QhJ4a2rV63Pv0xZI?><1ZN}LP8v>EAhn6h#wOtlN;QtU-Hi~1psOIml6h6=(^du#S%`cIPgUW5! zEx%YIL^Lbf)b3VOv>*V)*LnB^D}lT!W~~UwJB?5f`%L*50|?pt(83_zF*%N_xDQ-9$45jrl86y$t04kbKPQ!1y1_VK=D%g->kw45OrnVp++bsZm{ zX=ii)5TM@iOF=pCXcvQOG*U4f%RR}^|LkTQj8kSeMrk#3bQ3DW;SayjFa6?zg+PN6U$H3Y2O$^Vs=~3Epp+kZ*F(}i!7PSUt=2`O;x=6U~*}Zs(jI1dL&!g45x?5wp z?M_mPGGeb`%XN9&u2*S5XnNALYtspeqU+}ZhcwWEO5UdV+5!L+{B+(Zx>qyg&{B2c z-@9=&7SKvj)}uppcO|uL&j&mevDG4}>{)|J(32k#P_(&ML&7ZxK11_!RW4YN9=4HL zt*g9Sm4Pb>fo?M5!@p9csXZU;lynLS<(YMw@#wNtaChnrN2}&VbT|9@$1m<3?1O>~29os^%X8AU``VPw-jPaGR zXeEjqn*sU->EF)_=QBmxE4v|Chx0Jk4;+JV!)}u|4qK_m#3UGNYVm34sB4t;5agaL znG@X{;34?S5vC5w;St4Q*E&);6-kWow=@*TdZXEsI+~YdYg8&Jm!hN&c$BM&g1``{ z#mNR1#@)-SipROIi`{g}hN^&knbxkp-WFA3zTPxzPd!>y%k;ese1`R{x>ss=7Y7yW z2H^2{jUCDIqMMeL{2p5#`{KsxEGlpClydqLi1|wpEvx~t z+#fAkc)?Zpi8)VS^2Uf{#Z2vLl(k>P zli*lI;oV);SO|}IHdr~vca_D*ju+WU?$&+YpW+m5uE0{pl|uH|OgPQ}8D{{HFmxou zyy5nQg2>Wu@E_LNKsmQ}@0+6D&5UGaW~L}OlsEn0XT|=cyaB)F!^Jpd4 z#vd6hGV`u}mGq!QKY$-A8e4>%6q0vA+Zqg*O}_o#&UL`SPnhLB6kAb;Q3Q zENz@Ak+bWt;Z9s7)*l$=zlmO&=jl?kL6v_yG5$cWQoN*co81|r7^W_$ypGYgWB3^L zw3)|y6Jja;8ciNnf7aiLT=|5Ro{Qc?9ZxndO5Jwaqgqv4t_BWS?QrER>4;si&4erA zi*ni&w8A{;OQ?HecCw)>&?eOEO|J&O6!*S~bNM6G(3+SNuQQnkYeBa426^yHJ<_yI zi*{v-LzEbeCW>|-^Y)v7iz5vjfV3cabyNLt9~dz)z$oWz_WBwZnXD2~pQG(tDgmUk zvG`6{*8^A8op9BGnJz`Md)2V(Qc}XkFsNZ7?=9S(9CM*2oa#l!%(hEfBCb&^w5#&G z#2QP4CS%pIR&9|u3CV93(v!b+fiuDYhTER(W58@M+T%$FE~q^*E({V%0avFP>ChT( zmNB^ZJ<$Qlf<_5Y*$aZNw@epn0e@$zL+&ko-B%b1mM1~W3M@;cAi`xMFJMcuJHZg6c^}-*GzATr z#iQtr>D(rU;tfx#qgEE)jKouhln&;BsJN~xk{Uq2Zx(L5H7txi|8iwuFXI~5dGydZ zB#I62!K}JH%9~Kx3M$+<59vlFT^XLB8?(gAm|*XIh3D*ruh~qjv>g5467+xV3jFUy z{eP{R|L>Lm&wwBRU@H_!Ke!<=UW6}`q4rT8y}qPj-KNkx<~o_euQB)V+&beue)-V9 zg-|iv^qlH1Gz#_tZ~5bilZZRR-1S$ngdE^pA3G=;3%VZ4@BwoThc4O{)|cVRE07t< zqWW`M45iK=eE;#SBVR03o4|L-v`i3x2zETU>uD5>YdCrl${Aw!4gM|e3+Pv`J5p|K zj_dB6B?%fWJkSF^DFtB_r5Ez+#}Zep0cwdh2_iS_v0#+)oWrP9_ea(sxt6%mt@RTT zPjP0IvGt5!_f+H5`ho6ii)GRFbIjDo>J*+>R`?TWeZM$S^+_J(ZfnvJqQ$Ehm4Z*7 z|NSpz=O!}%Hk*pp!oMn?uDrtriA;D!LsraaUUuqPPvH2~0P3l%MeMG`s{j6FxQHX{ z%4V-jo&2G0klzvWfhYG_OqF{j>%#&wYYOAViRmsA%h2x#+I{oA!1N;5#BsVRtqzr0 zd4mi#n5$*uptj?rf7;PhAEOG-d!b{<9g^c9dr2c#14VGPCA|K&3qt#+%I|yT?XQ=0 zU@VVg*;lGesI@3>w2;bG%RgTk$sS??|uQxkr4sGxqv?hHo z;MnH3^+F3GUJFzAS_9HHotN8bxGoe8Sq~efO`|rL-xHrsRt(T@?m$}V4WENU&d#uC zNv3UFxLrq^`%UvnX~FF^QHwSKX;=k*(h1Stqo68>Q$TSY#JYo}Q7i2?)RzMq(5V73 ze*o%SW!Rh85Yna`Zi@ ziFpNB)CD7dS2_9XF_Glz+hF*r5i})%QJJzNiNfuzf=Z5cEoGWC+D7;?up z>~BAmYkXRRc&+`)jqDMF_qB{S0#4{%Mu-E7$zHfnzjh4x;a-( zIPssuXW#?@atcic6>41Yf-F?(ww2W&8hYaIR=^rRhE;f~PX)zk8rc3+sBR|?op$T3 z<1AILxX49qEX1(g$n`pk{;v9{l>EMclyj8L@f z=uRjq?Kc$_UkM{SSe5M@2vOZUMN`!AEZn{`$RwSp%$Fo8I}36# zPEQa-3eC@<)e|Oo$#QOWxU@exdVnRz>=c_TcWaQIL^(!;rPA2X?Z$p_B}hU$Jh+tM zq|)^&6vhIsF=W}AV-+9$S~Oph;}rLI8#_?9(&z9K8Q;6dvRox~cw{{^Jbm1sMz zMHIQx<@YWfiuRyn23{>?sY|h&mWvQKe4Y*1-b~0~I)bZSVVedwUA5${euXV$H zTuo?6T4D%US#`^h=ABPDgZWA4Mi=SMYL9_k2qAt3A4ST6;nuEutXdE@{QhRLpcc9S zJVBV}*gl3W%2*leI*~J@nduQR9=sMHOG?>xm-R5kx)R?1k-ZBY>Q28T0Jbm)KLG<) z%5W%Sa2fp47{{--Yo1dK239}wl~X8mu}q#0Cwzs2&Rjgaj^1Fjl*2VlJ*}prIy+5$ z@ZQq!1KCtJ$!2M{Ljz!jAf1q~n`=|-buv(-f;8 zv2@WmOH;!;siA40*D^e%`*VtJ1=#6xaF8;&U4HiN%Z2kRECP=;oqye#K+Z@mV7&m17VOd~6H zJ%X~1o*jJ1E^LQyEzUdl!%EI#8yLwP+s2Pz4uOdG?uFiHrD91DlR~^7sl1j`>)`_Z z)qU__#7&Mn)78~WMP!NK{J@~+fX8bX+hc+CtEsfbUp z|FbUhThaIZ9J5ay8XDM`TrVvvOyT^e)0_MJmT#Yb5(4Ea-z(2s#`*verRy=`nSKjD zMdp@N?au;H*!2&XO$4Cfyd0#a0eY@;jrHGKt1x`c?~Q6Rwj(S8r@JveEQlHI4j&tBpO^3+~t`ul(b8*C5=gD(!oIJBP!j-ZORHo$LO00l! z{N>K%TT3^OIqS$>xcaP1L~FS&z?m9g)iTao^=7xn-uk{t70iA*7}5&abIQcIIjZ_M zw(i@}bksu&Ue@J_?lbF;?>4*Y8_rlClCf;H{X=nxAUnQ=DIG#>{3p4i3^!P^^FW2 z>G-Ns&L{SQ-$FE~s==D>st8leitkOXU5lb&o|m4$LXR-EaE?%_m4Tq!+4N!&UNr6o z*;{8p!&56~!SV)}*A+LApZfcqRRw_fOVOufRHx7pobUTa1Vd4qFvfE>lPkbzr`&9h zbSF03yt(By`}hUPv+}}zdX`7-IBvQw&cYyiXnoLHFlcv{BMFQ@Jaa+d21&Fj`YkgFiUn; z-kn3WIrNwkLs)Im6?)j3NcB0E+oC~W4ra=GhgFzeBNLb|xu}23Y`6}g>ZbypQWJ5g zcwyY-x5O}que4c|U7>?@Wpc4wWFp65#7mQz{6~iAOOf2ieOOTK<2$@0Z{PVe!T@-8 z=v<@*24XaEPg=RaJ@G}CoNCu^` zX?rAkt3AqommfFx0_my#Wl=bBI9f>JiD;&dM1INEV6sSh5HXd-hGXxb`wMe0@w~(b zTkXIP$iZ`_Z*@PPC;YnZe*mAk|D4u$Y6n8BZJK;HnzlT%gQfqrjmY{UXs2F`7*c#$ zIQQp$((X6HE=03uo;_}Tvce>SYKK|Nq-j-Fipw<)omg!e;9Vk__4Z~q{0yXhuXNyW zI=a%9fM&lX{vI?ihuhMzR;~g3y zHkKn{o;F^PCztYP63TiveQo|8^NJe#%3Hc+>!SgK;oTFtxPbCOTK}ckOEBH;(qz$0 zE+NnBg#H<}3aAEf$B&3S-)(0yd4k+eXd-y)K1DPAxmqx#X2PcU{pOt5+m0{<)HYYW| zctqgit%LyTx44#iGy;0}FeiUB-#7MS?H??t?e^pgI!3{VMg(tk&85~^o_(3|7Dm}2 z7Opg(^9l(Q!PQe?<@O*9PR)z>EFv52qZI32jju0{cG(y^!Q?lD4Zpfl_J{dm+1h*s z^DXXxBB<5EP|s~Td0Ec$EDeEBR8pm6^retmSYeaIR$3EOo++r683*Iiu|AtZ)ghG! zb;iH*G4H>2bJhZ-LwZA{434)4 zlbwvEt^{x7#<|fQNs>+CdkVs30e87uHIkUyLB`AWi}_t z3b2airrD0*(tv3uHrr-VE0KuC{9Xx6B3C0)g=9;)?)O?6yeuO|5~#k#m;-lXhAHcqxdqmj=iDSW=`wlk2|5HyJ@i8B?}>fq z8OGa={`WdwSDSIU)d8Zqji<{zm#&(j^_>~}tJ^^-EjU59E=0HvuvHcARAecvNyVpA z#N8DXHs7bONE>9N@yLf&?lra5<2Qi2$IN#M+vz^Yl*;q6^}TtObQrV-NC~rSF1UeSL>YJ8dO0H?w*VUq z3WbB%ex4>j*)95oIV&BgL%@#r_N59b%*ZNxl01v0Kkz6|QHmFz?DrMo>Xfdj)|-53 zV)Yk2)xgp4v?=$Go}g8*LRcH zjF9Xf)r%oCxPU-$x4CJltNpvJ3N+bB?9LR8s-r8WBBw(8)k4y|<4U$n>zPK^cV_7+ zQZv4pN_^Zi!BSt!KU5@~B8R5xwCr1Ni|l7W-!k@u8Qyc2nk$8Ed{g3yUpKRYug`$U zND(a}>?~cAtU95OkYj!KVM;3$q7QY8cH!Lc6JW^EWw=39C|V%3vj?ICs@4~&dyd=j z%DYs&lk<$FfZv=M#zL$D`RTbUPCjc%_*~gS-#E^jW0-v*{c|+lEZT9lFlAc9vwmlf z@F*k=vW&}4+>oqSXM`cVd{vsV8``ww$d|J!=Z0pV0W}NUo}xx=x1hs%EC|aj6m;#d zl(jj(oYV8umehR=_*}SMq&t^sqTvD?V}OhO;JQPvW%qb>yhxfif2d689>Q>=$-No^ zfE?T0-1)!VA1!mfiw-%aDzp8uDW$DT0$9Z)Os9`Ta<)w)D%w_i{XHB5URrrdy2x65 zEs7vAnFpSi2L;rQbCM4Ohiz^|Aa8CHcHoq7^YIOT%*h)M)DpW+H)b7~8I9%Sv|3N@ z$4YJSW{z--9pHz^WXzMx`5&6U=oIHGr|bv}?6fL24gR6`m=LyZ7pS~KYhI?iPs|Yc zwg}hPW)ryMg67c*ZAZbFF6UAD6nSZpD|Jgm&ffQpd=74sk*-udX`rm9Q4q?c-_p5K^ zz`dD;*xeOEGWn2P=P|-SKU&u3T3bGoFTTu3EZ$ERHU*BQFb}VI>^M)pMV8WsOQ!Cj z3-#SB4L{wRxV|L8GiyY71+zH3c6__NNYDd$j&cXqyGwTYE9CQuAgq&`ttuG(wH^#V zb;El?Q+I7y&O0+N$6l3W3AZ5jy4Sgz%%8`WO=sh;fYSqJMSmZfysA5e?rxd~pRhCd zB`%T}?0s%*syn^CPT;paR+O2t$bPa!`d4Zz7Bl>mzwBLKswQvPQi^k2YwAl}^7Ws} z%5U%s&OA0QsuAAfPC^BIue#vTgPL!ZZ)X?3`J7547FyZ$cyFOrxZ_eFN+A1=2foC( zvs;}{wYo-~=HH$9^UGp#Lrot#=CXc(G(F5*EP;fYpR1N4T#iHyPq6yFfa z{Jk(%PLjDo0e0Vs%EWm!DV7j{)_41f4*hsw1XLq?__bN)Ga(cJKpxJQhnL!B9n`i` z!SFON(OopD(RE)-Z$kX=qCsGEEBYc~B zQm6KNPs9W+hgr=CWC!N+XyL0D(bN!VQSba0Zi`{}WAmw};0{lke)}P(J?pKHncX%# z*!B^*%eYBCB2r%pBclK%RPin4_rW@JH?gSZZ107u^=H4q`g&}MPL0C(t3r^a6IV`l z)1fqvDDD|eVO}j4R1xgjalMSDyJKey6zh@@ThINeoYHEyBrG|{!{G;24-mJXtdv$~ zwmM|DsaGgWf|TqWy2Z0+2i50wK93$2HdEhy)q|#5aWu;HxC-v0$EJM7ysw`42NJ9Y zpN#veh&k<<7Uiq>Br?7vFHrjy5-PWbrOya^{9&=(W^twgFrR1oAa(FSC=jWu=Wc0M zRsO!;HIH1S4JjOtRl!glQQBQL#p%G{sjn){U)o-~84ZM$w*W_tnLDiz=N*piG8pMn z@n-j?V+jK4W2voe2vbrUFUU5r-NBCsS5E%e)u5KPGA_CJ@C&T8s}=?QSl>sg0upib z8F(TAzA8I*A3I}oRMPcxo6e%DS$dThF*OPj2WA>bZN{SJ2!%urAMjHb)a^0Pe0hkA zj2?)5{+qb7%dzDa06g8{vDt0=5e<&sAi|_{Qsqc)<|Yogn0IKGCj>afh){18u?h>O z0_(ajbP1!&ul4$o8d%8qNG?2F)$vt!ME5z>BCXi(!@1(Yb}WEW<%c~mVub`8Ed-aT z&C1_W?6Y+AP?c2|%hnG-6sLLeN%TCes?O5FxrIyj{Lvov)X)neWv!7~JF^ezbRfU= za2KQti(0^`4pG26u4=I;ZMxf`8syOCQVv{PV=^!n3kK)i>YOG-mVRAd(6oxB7xBiU;2Xbj$A}|{ zCKe4{)oNv4Y-7&smM$qy2zkvyArwFlPgr-sf(WG9TeAS!3bq)$6ULO z(M%7gqB4^*idGY5#tXa37Fs=c$}J^+&1DbZDFBEBmy1y8=rtDiMM~&^QP5Ex9J`{M-17#LeAANEdj>utV=r@#Te0yj@!9RUt&Lvl z3=Twn{Z@396g+Whct{E)p76?B(V0ZPWrQr^2|v3`WQ>NkCjST^8&WTo=c5r{*n8-g zQ89c+L^~y6`@Zy2eg>k})N-1KtVz46xHAPb)?5rjZ0TMAXHDR{6}X2jK{^E-T~3g6 zPuMN?eyQM_L#HadsfO-ee=f8YvvPbMFVhAC1ojop$+R%0_b6kU)=gK(!vfAgr|KKy z=Q>K*i8o_3uSpUkeVkQdfw;O%=6%T4fJkI-Ol;AvD5{GS{C)i#@|oEby72jI(SPe; z!4rT80p6Fk>R~F+H^&7n8s1~-v=P8@lD&HdI+3h=B9!DF0N+2bOwm?->5f#)pVSA> zD44%R2g=VYZGBACV!=4*Eu);WaTXe*Z*o|jgk{AfX~VQ-MUM(N<`vgvvE$<^`)?56tx!Ifd-)uYV2k zT~wm(KgExi6~rLk%L&pb9Pi)}<=;vb66P2${|KJcv9lyA|fe3J2k+XlGO1QR( z4=Kr5_^aqF!Ex|*kG}$T;wx&|(8f?72cB8! zsN~<(34FN?*BjzEo>da_ zq}?M^-t$E{%yd5Q%}g0k-n3v?&RmWE1ne_Mtb;<1f!D)<0pH>cphtkhAJ`ALei;^= zC`|n#xq4?$$+HJn)V-GRohu2r+6Wb8@} zdkaHJcB*}%-~1<8ztK`OI9sMYR&T5+5X7p!&)%?9K( z2z}4H3-V?+v?}Y6U+?R=sP{&ou`ZD2iE<1)qVDb-&XNgy-n1Jj8zDYr{)s-G9dK=E ztBhQ)(S8>1{r=1@^K{yYK|y7wBAN4-y^?|;gwXw+T?Z=m_3aVee}u895g<>Tp0P^o zeDl~HY}y%yQ4_os;O~lKW+EC|E{Dc**VV|Zm&EN&c2@7$ZLz3fyjq+c@R}TeR~k%P zFrW0{w?`ti#tKgHFdNWl4FB9=VGMnHd0f+gl)-!f4UL<5h^lQ(1Ty8i`${PVbpIRX z^}JbA;O$nxN9p4^6l<@Ab+x7g14FoaLzYGR~94<6qf&t+J;hOJ{q$ zMaKE5DA~G`eoKH!;Np!qn$m|tD-i5krF68Ic`@wF2853VQ_nppcAnPo(NcZtaMX?t zmbtq|%;1L@_hV(L!eObBUkDqMeXEz2bYlC)3bO@#-ijl&W!bitWknZfV7hQg_tYUtf zI0OgRNJ;hZ!#u49>8=#4;qVUQrzFmp72=_K{Zu zIn9!13h3Xz)i3Aam5y{=8FuLN3D^O^N`85MuQ@S)@5K;IUv~nP^7i%H`ax>pblWzp zesOgWk0x>km0`gb^$@U0XKcd=281gpSYl^-^~IRtZZ7m zIJVc*)i6DHFn9tuG}fV#^7>9&T_Toab6?boy%tHhy~!QV8%K2{T+{~&+!vASAqEw` zPnNk!Nn#q%ndc(nNMdSbSRIbppdP!OSdT?o{iX-7ZoP0*)qkZJ)v5U^hB0hjFFvfZ zd4r-Z$N?u-2c|uS(&;_YkXn6?a96d@=z{dsYmu9ndlI>RKXXjloB@TtA*C~Bz>>k% zd!E7EkA%ninWbP%IP$_-W6I8XEI=hH; zI5iY%aeRaAJ6|MU;OUtmG}Fx&G@*L-jbzW1*MO@TfNl48e_~1( zlF=yG{qD5$&=l)iIz!Wu=1@IeyYRM_mDT)WVRDSH!%JG&jqf1lns}Z8MmCq%JsC*` z27Y>MC~o@{-61`ZkpueBnLpXaT>Iav8%$)mFLMSFwj1tBqU7-Ilr${-RujPD)a%TR&09b1;0GSrpbrbLdQ#iw5P1) zMqMg9E!5jnULJ<2QjR@7e%~{F0DqP5E4MCd=8zpbgY9uYUU0;sXhrm<-`wh@is_+9 z#{8OVbBzpJ zAB<|eSv~Wp%=pD7jIv_HeDv^=9j6YjR_xY)oV$B@T`ibbxft|Wij#^<1y?q3@S=Qj zcXfgm%F@=8ZP~QUg_Yoi{q}xoyP-Yx*%wrc1GYiXzCdZ16=iOSlk%E>--;%Ab)Y)CzJBBm(weM z<5s`H{r{2x$;JNv#6U+uOv#tRg-a%Fy$$w`hvhro#+7-qkO=rigoTvgk|8NtP#P$_ zux*PGp~S^#!>Y=s`z`s2VpMqeLq?4BgZiASaPnou2cu95-vKIPu_+rT1QBDIM4HT6tW@pkR^ETw78)jA*DcP@E|ox zr|A`++9%63e0LYcpkKh8Fv;_8Gsl2;FG6?s$Lb~IY<-^B0RCTIyx9@1s1M}B!!HY0 zAOh;JJO>sJkS30T|DapM`Ena(jAYT80Uwu!F^{?6Hid0PqcrJj=M!!i8U#Pw!c|LP zcL@rML~q1$>3Wh|92!X#AYB*5U}}z!!_+oeo)>t zrb-n5lS4E_A7==*?V*7fZKMq@qIMqAchD|w@gE~u1Nd9s2F!0VLGC&~3fg?ojyw2v zSDy$Vqs(RF4_d(7eLUx!UHid{NGoimd<_L)w$}DmQD|Nn#In#{{w5&Ip$PA^aEP?+ zm2pd#K*!soj@ec(>NoPV+(Vp<{;|I)a0D^*Q3+&wS9)WyH@2nBm}ShE`6a7RPU(^| znL`QDiLaike7oluA8TaHB_Y=aiADnIYr@M0=w;JK$50HWmKVK8%9Lrg9mA2CU^>Hr zFECAB|4k-}{nQ?xJo{_p|2|(v@2)XhxL&WHl5c5Svhcbl_y{4BEZhz3pIsm%i_z^dyx44$&fwr zRYj2nd=o}OuXpsp9QmIuacUQl|7d2($i?7ioHD=|EcZeiQq4Xk)5J**zoS2$n1J}F zfF_w)EEaKlD{fkJnX%@!bgzLTE2fIS_XfYKi(>rs*XREfLCd96+e-hVE4SH_(rR=j zL}MFB^c4pu4+W>fe(GjNSmXa`tG$J7anQ^e;AY0rW^~3ljPO!NA4-6!^L_uD?EEPW z9)|lAk$yICs|hXM&$$ZH|14^gOQHQClYJ~U~xDQp}EI-7rL2m_a1292`o8%U z)9s^|$5$_2q;zMs<(;FwjejSVE?#@;spIy&xwVEmUMF9&_lx=$c^|BrllX7p-Lz)L z*U~Z(=C>+8-kiHggrTy1s(IUbbMHo7=gm_-&D}I*Q_SWmk0vrn<=oWsp17XjiGTf{ zS3DfkW>|*ZDDtV=%Jar*b6$&f_WF6ZzydRy1JKk=@8n_d*)%KEna-6Ty}>u)u$ k`XTGdU+A@DShy~Wdc0>(;n#B)U;by7+IXR}doC*j0RO3A;Q#;t literal 0 HcmV?d00001 diff --git a/src/part.hpp b/src/part.hpp index a536578f06..b2754d41b5 100644 --- a/src/part.hpp +++ b/src/part.hpp @@ -168,6 +168,7 @@ static std::map fpga_list = { /* Altera Cyclone II/III/IV/10 LP */ {0x020b10dd, {"altera", "cyclone II", "EP2C5", 10}}, + {0x020f10dd, {"altera", "cyclone III/IV/10 LP", "EP4CE6/EP4CE10", 10}}, {0x020f20dd, {"altera", "cyclone III/IV/10 LP", "EP3C16/EP4CE15/10CL016", 10}}, {0x020f70dd, {"altera", "cyclone III/IV/10 LP", "EP3C120/EP4CE115/10CL120", 10}}, {0x028040dd, {"altera", "cyclone IV GX", "EP4CGX150", 10}},