Skip to content

Most appropriate way to stub out unused GPIOs #687

Answered by NikLeberg
jeremyherbert asked this question in Q&A
Discussion options

You must be logged in to vote

Hi @jeremyherbert !
I recommend connecting the gpio_o to an intermediate signal of appropriate size. And then simply just use the lower bits of that signal.

So something like this:

library ieee;
use ieee.std_logic_1164.all;

library neorv32;
use neorv32.neorv32_package.all;

entity neorv32_verilog_wrapper is
  port (
    -- Global control --
    clk_i       : in  std_ulogic; -- global clock, rising edge
    rstn_i      : in  std_ulogic; -- global reset, low-active, async
    -- primary UART0 --
    uart0_txd_o : out std_ulogic; -- UART0 send data
    uart0_rxd_i : in  std_ulogic;  -- UART0 receive data
    gpio_o : out std_logic_vector(11 downto 0)
  );
end entity;

architecture neorv32_v…

Replies: 2 comments 5 replies

Comment options

You must be logged in to vote
1 reply
@jeremyherbert
Comment options

Answer selected by jeremyherbert
Comment options

You must be logged in to vote
4 replies
@jeremyherbert
Comment options

@stnolting
Comment options

@jeremyherbert
Comment options

@stnolting
Comment options

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Category
Q&A
Labels
None yet
3 participants