diff --git a/.gitignore b/.gitignore index 21d3476f..7205d946 100644 --- a/.gitignore +++ b/.gitignore @@ -4,3 +4,10 @@ mm/* *.deb .vscode +VLSI24/submitted_notebooks/Open3DFlow/tsv.spice +VLSI24/submitted_notebooks/Open3DFlow/*.mk +VLSI24/submitted_notebooks/Open3DFlow/Open3DFlow +VLSI24/submitted_notebooks/Open3DFlow/HotSpot +VLSI24/submitted_notebooks/Open3DFlow/Results* +VLSI24/submitted_notebooks/Open3DFlow/Thermal_sim* + diff --git a/VLSI23/accepted_notebooks/3LFCC/3LFCC_v2p0.ipynb b/VLSI23/accepted_notebooks/3LFCC/3LFCC_v2p0.ipynb index ebc8ef8d..539009bd 100644 --- a/VLSI23/accepted_notebooks/3LFCC/3LFCC_v2p0.ipynb +++ b/VLSI23/accepted_notebooks/3LFCC/3LFCC_v2p0.ipynb @@ -16606,7 +16606,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.6" + "version": "3.10.3" } }, "nbformat": 4, diff --git a/VLSI24/submitted_notebooks/Open3DFlow/Open3DFlow.ipynb b/VLSI24/submitted_notebooks/Open3DFlow/Open3DFlow.ipynb new file mode 100755 index 00000000..3d81b9a8 --- /dev/null +++ b/VLSI24/submitted_notebooks/Open3DFlow/Open3DFlow.ipynb @@ -0,0 +1,2103 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": { + "id": "jBy32oOy7kLe" + }, + "source": [ + "# RISC-V 3D Chip Design with TSV and Thermal Modeling" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "EsV6NCAC7kLg" + }, + "source": [ + "RIOS Lab, TsingHua University, 2024\n", + "\n", + "SPDX-License-Identifier: Apache-2.0\n", + "\n", + "
\n", + "\n", + "#### Team Members\n", + "\n", + "|Name|Affiliation|\n", + "|:--:|:----------:|\n", + "| Yifei Zhu (zhuyf20@mails.tsinghua.edu.cn)| RIOS Lab, Tsinghua-Berkeley Shenzhen Institute, Tsinghua University|\n", + "| Weiwei Chen (weiwei.c@rioslab.org)| RIOS Lab, Tsinghua-Berkeley Shenzhen Institute, Tsinghua University|\n", + "| Lei Ren (ren@rioslab.org)| RIOS Lab, Tsinghua-Berkeley Shenzhen Institute, Tsinghua University|\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "-PxyzVcX7kLh" + }, + "source": [ + "## Abstract\n", + "Amid the escalating need for high-performance, low-power, and densely integrated electronic systems, 3D-ICs emerge as a promising \"more than Moore'' integration solution. Nevertheless, the scarcity of specialized EDA tools and standardized design flows tailored for 3D chiplets hinders silicon innovation. To address this gap, we propose a 3D RISC-V processor, mimicking AMD's 3D V-cache architecture. To realize this architecture, we develop 'Open3DFlow', an open-source 3D IC design platform that leverages existing openEDA tools while incorporating tailored abstractions and customizations optimized for 3D chiplet designs. Besides OpenROAD, we also integrate other open tools to enable Through Silicon Via (TSV) modeling, thermal analysis, and signal integrity (SI) assessments. Our CPU consists of two tiers: a cache die and a logic die, stacked face-to-face (F2F) using different processes. The interconnects converge at the central bonding layer, where the bonding pads are located. Our ambition is to establish a fully open-source realization of cutting-edge technologies, not only to facilitate the resolution of future challenges within this platform but also to pave the way for the exploration of novel packaging paradigms." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "KBmxNk3B7kLh" + }, + "source": [ + "## 1. Introduction and Spec\n", + "In the realm of microelectronics, the pursuit of superior performance, energy efficiency, optimized space utilization, and economical solutions has spurred the development of novel integration techniques. Given the need for semiconductor memory chips with higher density to support recently released CPU components, the technique for advanced packages has emerged as a promising solution.\n", + "\n", + "The technological trend can be roughly divided into four stages: \n", + "- 2D package, with solder balls or bumps as the representative connection method. (Flip chip, wire bonding)\n", + "- Wafer Level Packaging, utilizing Redistribution Layer (RDL) as the representative connection approach. (INFO-PoP, eWLB)\n", + "- 2.5D package, typically based on interposer or Embedded Multi-die Interconnect Bridge. (EMIB, CoWoS-x)\n", + "- 3D stacking, employing hybrid bonding and Through Silicon Vias (TSVs) for signal and power transmission. (3D SoIC)\n", + "\n", + "As depicted in the following diagram, the trend consistently leans towards achieving greater integration and shorter interconnection distances:" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "_kS-Ky3Y7kLh" + }, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "obhqaVq57kLl" + }, + "source": [ + "Currently, the 3D IC flow faces several limitations. Primarily, it relies heavily on 2D tools and lacks comprehensive thermal analysis as well as power and signal integrity (SI) assessments. And because there are knowledge gaps between chip design and packaging. Modeling for 3D items such as TSVs and bonding pads hardly exists in IC back-end processes. Additionally, due to the immature and closed nature of existing 3D design EDA tools, future designs face challenges in integrating with large models and cloud platforms. Commercial licensing costs and limitations on processor scalability in cloud environments further complicate the matter.\n", + "\n", + "To address these issues, in the work, we present our own 3D IC design methodology. We develop a 5-stage-pipeline RISC-V CPU with its cache die stacking on the logic die, which mimics AMD's 3D V-cache architecture. We incorporate openPDKs and openEDA tools while doing the hardening. For that end, we propose an open source 3D chip EDA design platform with TSV and thermal modeling named 'Open3DFlow'. It is also the first fully open-source process for designing 3D chips, which is poised to significantly contribute to the vibrant growth of the open-source community. Our goal is to tape out this design through the OpenMPW program, and the process will be easily extended to include logic+DRAM or logic+logic configurations. " + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "3729xYlj7kLl" + }, + "source": [ + "The significance of this work can be summarized:\n", + "1. We build a 3D RISC-V chip in fully open-source mode, leveraging open EDA tools, incorporating hybrid bonding and TSV technologies.\n", + "2. we propose 'Open3DFlow', an open source EDA platform that offers comprehensive TSV/Chip's SI and thermal analysis, ensuring reliable and efficient 3D IC designs\n", + "3. The open-source nature facilitates seamless integration with AI, large models, and cloud computing, boosting design efficiency for complex 3D ICs." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + " Architecure Specification:\n", + "We employ a five-stage pipelined RISC-V CPU equipped with an L1 cache to demonstrate our 3D IC design flow. In the future, we will transition towards more intricate designs to co-optimize our toolchains. The core was created for an OSU undergraduate course in July 2019, we have modified it to fit for our 3D design flow. Here we summarize the key features:\n", + "- 32-bit CPU RISC-V supporting I extension \n", + "- 5-stage pipeline in-order execution\n", + "- 1KB L1 cache concatenate by 4 sram macros\n", + "- PDK for logic die:SkyWater 130nm (Sky130A)\n", + "- PDK for cache die: GlobalFoundries 180nm (GF180)\n", + "- Connection methods: bonding pads for hybrid bonding & TSV\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "uny9edJf7kLm" + }, + "source": [ + "Next, we will demonstrate the operations step by step with this article. For quick start of our flow, you can turn to section [Quick Start](#Simulation_Flow)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 2. Architecture Design of 3D RISC-V Processor with V-Cache\n", + "### 2.1 Chiplet Consideration\n", + "There are some typical structures for 3D processors. As depicted in the following figure:" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "f8tFw3rG7kLm" + }, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "(a) depicts combinations of multiple packages; (b) represents 2.5D IC, applying passive or active interposers; (c) illustrates for DRAMs on logic dies; (d) stacks one logic circuit on another; and in (d), SRAMs are positioned beneath the logic but for (f), the logic is on the upper side. \n", + "\n", + "However, modern 3D processor architectures often combine multiple stacking approaches. The prevailing trend in 3D architecture evolution is towards increased density integration, reduced micro-bumps and TSV pitches, as well as shorter chiplet distances. For instance, AMD's 3D-Vcache does not follow the traditional approach of placing caches alongside the processor; instead, it stacks additional cache layers on top of the CPU. This architecture enables AMD to compress more cache without fabricating larger CPUs, resulting in improved speed and power efficiency in gaming applications. To achieve broader bandwidth and faster transmission speeds, the hybrid bonding technology even eliminates bumps. In our design, we select the \"CPU + Caches\" structure for experimentation. We aim to develop a fully open-source 3D-Vcache structure utilizing openEDA tools and openPDKs. Our aspiration is also to establish a platform that can be flexibly extended to other stacking modes in the future." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 2.2 V-Cache Structure\n", + "The L1 cache is composed by concatenating 4 GF180 256*8-SRAM macros, utilizing a 7-bit address for both reading and writing operations. As shown in this figure:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "All signals related to this cache die, excluding the clock signal, establish direct communication with the logic die via bonding pads and TSVs. The floorplan for the macros is manually crafted. The two ties possess their own independent power supply networks, but converge on the logic die. Detailed insights into the back-end design are outlined in the subsequent sections." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 2.3 RISC-V CPU with 3D Stacking SRAM\n", + "The overall stacked architecture is illustrated as:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In our study, two dies are stacked in a F2F configuration, which means that their corresponding connection signal points converge onto the same bonding pads on the bonding layer. Additionally, the TSVs are via-last fabricated, where they reach the topmost layer of the top die and penetrate through all the metal layers of the sub die. Finally, the entire 3D chip is mounted on the substrate using flip-chip packaging with solder bumps." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 3. Front-end Design\n", + "First you should clone our platform. The source codes are in 'designs/src'. The top module is 'riscv_top'." + ] + }, + { + "cell_type": "code", + "execution_count": 47, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Cloning into 'Open3DFlow'...\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "remote: Enumerating objects: 824, done.\u001b[K\n", + "remote: Counting objects: 100% (34/34), done.\u001b[K\n", + "remote: Compressing objects: 100% (27/27), done.\u001b[K\n", + "remote: Total 824 (delta 7), reused 27 (delta 5), pack-reused 790\u001b[K\n", + "Receiving objects: 100% (824/824), 113.77 MiB | 2.58 MiB/s, done.\n", + "Resolving deltas: 100% (197/197), done.\n", + "Updating files: 100% (787/787), done.\n", + "Already up to date.\n" + ] + } + ], + "source": [ + "!git clone https://github.com/b224hisl/Open3DFlow.git\n", + "!cd Open3DFlow\n", + "!git pull\n", + "!cd .." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 3.1 Logic Processor Die RTL\n", + "This processor was originally created for an OSU undergraduate course in July 2019. We have edited it for 3D IC design flow. The hierarchy of the logic processor RTL code can be shown as:\n", + "\n", + "- core_without_dmem (# the top module)\n", + " - ROM (# boot )\n", + " - dmem_communicate (# the module to communicate with SRAMs)\n", + " - riscv (# logic)\n", + " - contoller\n", + " - aludec\n", + " - maindec\n", + " - datapath\n", + " - alu\n", + " - adder\n", + " - comparator\n", + " - regfile\n", + " - shifter\n", + " - signext" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 3.2 V-Cache Die Design\n", + "In the original processor design, there was no L1 cache, and the original author used an RTL model named 'fakeram' as a substitute. Our design incorporates four GF180 SRAM macros, specifically 'gf180mcu_fd_ip_sram__sram256x8m8wm1', to form a 1KB cache, with the module named ‘dmem’. During read operations, the four SRAMs simultaneously receive the address from the ‘core_without_dmem’ and collectively output 32-bit data. For write operations, the enabling signals control the writing to one or more SRAMs.\n", + "\n", + "It is noteworthy that apart from the clock signal, ‘dmem’ does not have any signals originating from or exiting the entire CPU. Consequently, the total transmission signals between the V-cache die and the logic processor die can be summarized as follows:\n", + "- writedata[7:0]\n", + "- ce_mem[3:0]\n", + "- we_mem[3:0]\n", + "- dataadr[7:0]\n", + "- inter_dmem0[7:0]\n", + "- inter_dmem1[7:0]\n", + "- inter_dmem2[7:0]\n", + "- inter_dmem3[7:0]" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 4. 3D Back-end Design with Open3DFlow\n", + "### 4.1 Noval 3D Back-end Design Flow\n", + "To achieve this design, we developed a platform called 'Open3DFlow'. 'Open3DFlow' integrates a range of open-source tools and provides apt abstractions, augmented with specialized modules designed to simulate the 3D structure of hybrid bonding. Notably, the 2D backend of the chip leverages certain components from the existing workflow in OpenRoad.\n", + "\n", + "The overall workflow of 'Open3DFlow' is depicted in this figure:" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "28MSpfYY7kLn" + }, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "ZGY1iQbL7kLq" + }, + "source": [ + "In the initial phase, we synthesize the RTL codes of the processor and V-cache to generate netlists respectively. They are synthesized using distinct PDKs.\n", + "\n", + "Subsequently, we proceed to do floorplanning of both dies. The footprints of the two dies must be aligned with each other.\n", + "\n", + "The next step involves the placement of standard cells and bonding pads. A key aspect of hybrid bonding is its transition from solder-based bump technology to direct copper-to-copper connections. So we introduce a dedicated 'bonding layer' for bonding pads. However, modifying the technology file of the full metal stack can introduce complexities in Design Rule Check (DRC) rules and RC extraction schedules. To maintain a reasonable F2F stacking structure, actually, the top layer of the V-cache die serves as the bonding layer. Consequently, this metal layer is not allowed for routing. Simultaneously, this bonding layer is integrated into the metal stack of the logic die. We have extracted the RLC characteristics and related dimensions of two die's all metal layers to construct the 3D chips' full backend-of-the-line (BEOL). Compared to other monolithic-3D methods, this approach simplifies modifications to the logic die's tlef, as we do not require actual routing on the bonding layer, just sacrificing the routing resources of the cache die.\n", + "\n", + "Besides, the placement and legalization of stand cells are also involved at this stage, ensuring that the bonding pads of both dies align automatically.\n", + "\n", + "Then, we model the delays and impedances introduced by 3D items such as bumps, TSVs, and bonding pads. The TSVs connect the upper bonding pads to the bumps, which interface with the RDL or package substrate. Delays can be abstracted and concentrated at their IOs. Detailed modeling will be discussed in the next section.\n", + "\n", + "Next, the logic die and V-cache die are routed separately to obtain the final GDSII files. Although routing is performed individually for each die, the alignment of bonding pads, the parasitic parameters and time delays for 3D structure, obtained in the previous step, validate this flow for the final F2F-stacked 3D chip architecture. Even though it is relatively straightforward to change the two back-end processes to run in parallel, the main consideration is that the positions of the bonding pads should be routable for both dies. However, at present, we manually select their positions.\n", + "\n", + "In the last two steps, we perform thermal simulation and SI analysis based on chip dimensions, power consumption, and other relevant parameters. Thermal simulations allow us to accurately assess the heat distribution within the chip, enabling us to optimize the cooling system in the future. The SI analysis helps identify potential electromagnetic interference issues." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 4.2 Logic Processor Die Back-end Design\n", + "Here we write the configuration file for the core die. Note that our 'Open3DFlow', supports some new parameters such as 'BONDING_LAYER', 'MOTHER_PIN_GEN', etc. We will discuss the use of the entire tool chain on the platform in detail in the following chapters." + ] + }, + { + "cell_type": "code", + "execution_count": 48, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing core_die.mk\n" + ] + } + ], + "source": [ + "%%writefile core_die.mk\n", + "export DESIGN_NICKNAME = riscv32i_3d\n", + "export DESIGN_NAME = core_without_dmem\n", + "# top = dmem + core_without_dmem\n", + "export PLATFORM = 130_180 #sky130 & GF180\n", + "export PLACE_DENSITY = 0.5\n", + "\n", + "export SYNTH_HIERARCHICAL = 1\n", + "export RTLMP_FLOW = True\n", + "export MAX_UNGROUP_SIZE ?= 1000\n", + "\n", + "export VERILOG_FILES = $(sort $(wildcard ./designs/src/riscv32i_3d/*.v))\n", + "export SDC_FILE = ./designs/130_180/$(DESIGN_NICKNAME)/constraint.sdc\n", + "\n", + "export DIE_AREA = 0 0 1200 1000\n", + "export CORE_AREA = 20 20 1180 980\n", + "\n", + "export PLACE_DENSITY_LB_ADDON = 0.12\n", + "export MACRO_PLACE_CHANNEL = 6 6\n", + "export TNS_END_PERCENT = 100\n", + "export MIN_ROUTING_LAYER = met1\n", + "export BONDING_LAYER = Metal4\n", + "export MAX_ROUTING_LAYER = $(BONDING_LAYER)\n", + "\n", + "export IO_CONSTRAINTS = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/io.tcl\n", + "export IS_CHIP = 1\n", + "export MOTHER_PIN_GEN = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/pad_gen.tcl\n", + "export TSV_DELAY = $[from_3D_times]\n", + "export PDN_TCL = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/pdn.tcl" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 4.3 V-Cache Die Back-end Design\n", + "Here we write the configuration file for the core die,similarly, there are also some unique parameters:" + ] + }, + { + "cell_type": "code", + "execution_count": 49, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing sram_die.mk\n" + ] + } + ], + "source": [ + "%%writefile sram_die.mk\n", + "export DESIGN_NICKNAME = fakeram4\n", + "export DESIGN_NAME = dmem\n", + "export PLATFORM = gf180\n", + "export MOTHER_PLATFORM = 130_180 #sky130 & GF180\n", + "export PLACE_DENSITY = 0.7\n", + "\n", + "export VERILOG_FILES = ./designs/src/riscv32i_3d/dmem_real\n", + "export SDC_FILE = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/constraint.sdc\n", + "\n", + "export DIE_AREA = 0 0 1200 1000\n", + "export CORE_AREA = 20 20 1180 980\n", + "\n", + "BLOCKS = gf180mcu_fd_ip_sram__sram256x8m8wm1\n", + "\n", + "export MACRO_PLACEMENT_TCL = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/macro.tcl\n", + "\n", + "export MACRO_PLACE_HALO = 1 1\n", + "export MACRO_PLACE_CHANNEL = 0 0\n", + "\n", + "export PDN_TCL = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/pdn.tcl\n", + "\n", + "\n", + "export GPL_ROUTABILITY_DRIVEN = 1\n", + "\n", + "export IS_CHIP = 1\n", + "\n", + "export MIN_ROUTING_LAYER = Metal1\n", + "export MAX_ROUTING_LAYER = Metal3\n", + "\n", + "export MOTHER = riscv32i_3d # mother die is logic part\n", + "export MOTHER_PDK = 130_180\n", + "\n", + "export IO_CONSTRAINTS = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/pad_placer.tcl" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 5. EDA Toolchain in Open3DFlow\n", + "### 5.1 Open3DFlow Infrastructure\n", + "’Open3DFlow‘ incorporates a range of open EDA tools tailored for distinct stages of the design process. As shown in the following figure:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Within green boxes are existing open-source tools/algorithms such as Yosys and RePlace, which have been integrated into OpenRoad. The purple boxes represent the new open-source EDA tools we add to our design process. While the red boxes highlight our self-developed modules: \n", + "1. Pad placer generates a bonding layer, placing bonding pads and ensuring alignment between two tiles; \n", + "2. 3D Times extracts the delay introduced by TSVs, incorporating it into the subsequent CTS process. \n", + "3. Route helper manages routing connections between metal layers and bonding pads, while also extracting parasitic parameters associated with the chiplets. " + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 5.2 Simulation Results\n", + "We have tegrated all of them into our docker environment. For simulation:\n", + "\n", + "- Firstly, you should install docker (https://docs.docker.com/engine/install) and pull our image: (ps. Colab may not support docker)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "latest: Pulling from b224hisl/open3dflow\n", + "Digest: sha256:9c3d749d616d89b7e1b938f5bdd9cd7446bfb51aecb905d1962a49de9aef2942\n", + "Status: Image is up to date for b224hisl/open3dflow:latest\n", + "docker.io/b224hisl/open3dflow:latest\n" + ] + } + ], + "source": [ + "# pull our image:\n", + "!docker pull b224hisl/open3dflow:latest #For it's about 15GB, it may take a while\n", + "!docker tag b224hisl/open3dflow test # rename your environment" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Note that \"vncserver\" (https://www.digitalocean.com/community/tutorial-collections/how-to-install-and-configure-vnc) should be installed to save the image from OpenRoad. if you don't care about the images, just want to get the gds, you can skip this step." + ] + }, + { + "cell_type": "code", + "execution_count": 50, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "New 'server1:1 (yzhu)' desktop is server1:1\n", + "\n", + "Starting applications specified in /work/stu/yzhu/.vnc/xstartup\n", + "Log file is /work/stu/yzhu/.vnc/server1:1.log\n", + "\n" + ] + } + ], + "source": [ + "!vncserver" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "- Secondly, write the script executed in the docker environment: " + ] + }, + { + "cell_type": "code", + "execution_count": 50, + "metadata": {}, + "outputs": [], + "source": [ + "!rm -rf Results\n", + "!mkdir Results" + ] + }, + { + "cell_type": "code", + "execution_count": 51, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing Results/script.sh\n" + ] + } + ], + "source": [ + "%%writefile Results/script.sh\n", + "#!/bin/bash\n", + "source /home/dependency/oss-cad-suite/environment\n", + "export QT_QPA_PLATFORM=offscreen\n", + "cd /Flow\n", + "\n", + "# sub die\n", + "export DESIGN_CONFIG=./designs/130_180/riscv32i_3d/config.mk\n", + "make clean_all\n", + "make\n", + "cp ./results/130_180/riscv32i_3d/80MHz/6_final.gds /Work_dir/core.gds\n", + "cp ./reports/130_180/riscv32i_3d/80MHz/final_routing.webp.png /Work_dir/core.png\n", + "\n", + "# top die\n", + "export DESIGN_CONFIG=./designs/130_180/fakeram4/config.mk\n", + "make clean_all\n", + "make\n", + "cp ./results/gf180/fakeram4/80MHz/6_final.gds /Work_dir/sram.gds\n", + "cp ./reports/gf180/fakeram4/80MHz/final_routing.webp.png /Work_dir/sram.png\n", + "touch /Work_dir/script_completed.txt" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "- Next, harden the design: (ps. Since Jupyter Notebook is not convenient for displaying GUI, here we will only run a simple chip back-end script, and the specific analysis of some steps will be presented in the following chapters.)" + ] + }, + { + "cell_type": "code", + "execution_count": 52, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "4e4ea0b4f5d90f5747a8f5050e69c3db79f725fc5adf4817109e1c71a7ade9b6\n" + ] + } + ], + "source": [ + "!docker run -d --rm --name Open3DFlow_s -e DISPALY=${DISPLAY} -v /tmp/.X11-unix:/tmp/.X11-unix -v ${HOME}/.Xauthority:/.Xauthority:ro -v $(pwd)/Open3DFlow:/Flow -v $(pwd)/Results:/Work_dir --network=host --privileged=true --security-opt seccomp=unconfined test:latest /bin/bash -c \"bash /Work_dir/script.sh && echo succeed!\"" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "\n", + "The docker script runs for a relatively long time in the background (about 30 minutes), and you could use the following codes to monitor whether the harden process has ended:" + ] + }, + { + "cell_type": "code", + "execution_count": 53, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Waiting for the script to complete. Checking again after 20 seconds...\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Waiting for the script to complete. Checking again after 20 seconds...\n", + "Script execution in the container has completed!\n" + ] + } + ], + "source": [ + "import os \n", + "import time \n", + " \n", + "# Define the waiting interval and the maximum waiting time.\n", + "wait_interval = 20 \n", + "max_wait_time = 3600 \n", + " \n", + "container_name = \"Open3DFlow_s\" \n", + "marker_file = \"./Results/script_completed.txt\" \n", + "marker_file_exists = False \n", + "start_time = time.time() \n", + " \n", + "# Poll to check if the marker file exists. \n", + "while not marker_file_exists and (time.time() - start_time) < max_wait_time: \n", + " if os.path.exists(marker_file): \n", + " marker_file_exists = True \n", + " else: \n", + " print(f\"Waiting for the script to complete. Checking again after {wait_interval} seconds...\") \n", + " time.sleep(wait_interval) \n", + " \n", + "if marker_file_exists: \n", + " print(\"Script execution in the container has completed!\") \n", + "else: \n", + " print(f\"Script did not complete within {max_wait_time} seconds.\") " + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Looking in indexes: https://mirrors.aliyun.com/pypi/simple/\n", + "Requirement already satisfied: gdstk in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (0.9.50)\n", + "Requirement already satisfied: matplotlib in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (3.2.2)\n", + "Requirement already satisfied: typing-extensions; python_version < \"3.11\" in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from gdstk) (4.4.0)\n", + "Requirement already satisfied: numpy in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from gdstk) (1.18.5)\n", + "Requirement already satisfied: cycler>=0.10 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (0.10.0)\n", + "Requirement already satisfied: kiwisolver>=1.0.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (1.2.0)\n", + "Requirement already satisfied: pyparsing!=2.0.4,!=2.1.2,!=2.1.6,>=2.0.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (2.4.7)\n", + "Requirement already satisfied: python-dateutil>=2.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (2.8.1)\n", + "Requirement already satisfied: six in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from cycler>=0.10->matplotlib) (1.15.0)\n" + ] + } + ], + "source": [ + "# insall the dependencies\n", + "!pip install gdstk matplotlib" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This is the die image of the sub die (Core Die):" + ] + }, + { + "cell_type": "code", + "execution_count": 54, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import matplotlib.image as mpimg\n", + "core = mpimg.imread('Results/core.png')\n", + "#core.shape [:3]\n", + "plt.imshow(core)\n", + "plt.axis('off')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This is the die image of the top die (Sram Die):" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sram = mpimg.imread('Results/sram.png')\n", + "#core.shape [:3]\n", + "plt.imshow(sram)\n", + "plt.axis('off')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 5.3. Discussion\n", + "We have zoomed in on the detailed images to further demonstrate that the two dies can be coupled. The following image shows the core die and cache die in the sky130A and GF180 process respectively." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Our objective is to mimic the AMD 3D Vcache model. Both dies have identical footprints, with corresponding signal bonding pads positioned internally within the die.\n", + "\n", + "To simulate their respective real-world conditions as accurately as possible within the entire 3D system, we have conducted separate simulations for the two dies. As you can see, we have modified the BEOL of the core die, with Metal4's parameters derived from the top layer of GF180, while the RC parameters for the ‘F2F’ via layer are calculated by the average of two PDKs' cut layers. To avoid potential debugging complexities related to the interaction of two different PDKs, Metal4 is not used for routing for the cache die. Instead, it serves as a bonding layer, where the routing endpoints of both chips converge." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 6. 3D Timing Analysis with TSV Modeling\n", + "### 6.1 3D Parastic Extraction\n", + "We extracted parasitic parameters based on chips' geometric dimensions and material properties of the TSV structure. In this model, copper is chosen as the filling metal, and the relevant structure and parameters are depicted in this figure:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The parasitic resistance $R_{TSV}$ consists of two components: the direct-current resistance $R_{dc,TSV}$ and the alternating-current resistance $R_{ac,TSV}$. The former one follows Ohm's law, while the other is determined by skin depth and proximity effect:\n", + "$$R_{TSV}=\\sqrt{R_{dc,TSV}^2 + R_{ac,TSV}^2}$$\n", + "\n", + "The external inductance $L_{ex,TSV}$ arises from the electromagnetic fields present in the dielectric region, making it dependent solely on the geometry of the interconnect and the dielectric material. The internal inductance $L_{ex,TSV}$ is related to the distribution of current within the conductor. The total inductance can be calculated by relevant literatures, where $\\delta$ represents the correction term accounting for skin effect and proximity effect:\n", + "$$L_{TSV}=\\frac{h_{TSV}}{2} + \\delta$$\n", + "Finally, we calculate the insulator capacitance $C_{insulator}$ by the coaxial capacitance formula $f(h_{insulator}, d_{TSV}, t_{ox})$" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 6.2 Timing analysis of TSV\n", + "We have developed a symmetric H-type equivalent circuit model, as depicted in this figure, which incorporates both signal TSVs and ground TSVs:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Due to the conductivity of the substrate material, $C_{si}$ and $G_{si}$ represent the parasitic capacitance and conductance, respectively, arising from electric field coupling. Additionally, $C_{IMD}$ is the capacitance of the metal interconnect layer, and $C_{RDL}$ denotes the parasitic capacitance of RDL interconnections. In this model, we solely consider the impedance contributed by the bumps." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 6.3 SPICE Simulation in KiCAD" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "v3sqOBn77kLu" + }, + "source": [ + "To investigate the impact of tsv on timing and RLC characteristics, we perform Spice simulations utilizing Kicad.\n", + " (ps: Kicad has been integrated into our environment but we cannot open its gui in this notebook, so we just put the code and simulation results here):" + ] + }, + { + "cell_type": "code", + "execution_count": 26, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "executionInfo": { + "elapsed": 580, + "status": "ok", + "timestamp": 1711026986099, + "user": { + "displayName": "Yifei Zhu", + "userId": "15764558996168935466" + }, + "user_tz": -480 + }, + "id": "W5PwiyIK7kLu", + "outputId": "dcc94b41-1da7-445c-8a91-dfdbc0d74b82" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing tsv.spice\n" + ] + } + ], + "source": [ + "%%writefile tsv.spice\n", + "## take frequency of 80Mhz for example\n", + ".title KiCad schematic\n", + ".save all\n", + ".probe alli\n", + ".probe p(C_insulator3)\n", + ".probe p(C_insulator4)\n", + ".probe p(R_TSV3)\n", + ".probe p(L_TSV2)\n", + ".probe p(R_TSV4)\n", + ".probe p(V2)\n", + ".probe p(C_insulator2)\n", + ".probe p(L_TSV1)\n", + ".probe p(R_TSV1)\n", + ".probe p(C_insulator1)\n", + ".probe p(V3)\n", + ".probe p(R_TSV2)\n", + ".probe p(C_IMD1)\n", + ".probe p(C_Si1)\n", + ".probe p(C_RDL1)\n", + ".probe p(R_Si1)\n", + ".tran 31.25p 12.5n 0\n", + "C_insulator3 unconnected-_C_insulator3-Pad1_ Net-_C_IMD1-Pad2_ 164.343f\n", + "C_insulator4 Net-_C_RDL1-Pad2_ Net-_C_insulator4-Pad2_ 164.343f\n", + "R_TSV3 Net-_C_IMD1-Pad2_ unconnected-_R_TSV3-Pad2_ 0.00347282\n", + "L_TSV2 Net-_C_insulator4-Pad2_ Net-_L_TSV2-Pad2_ 6.19242p\n", + "R_TSV4 unconnected-_R_TSV4-Pad1_ GND 0.03\n", + "V2 Net-_C_IMD1-Pad2_ GND PULSE( 0 1.8 0n 0n 0n 6.25n 12.5n )\n", + "C_insulator2 Net-_C_insulator2-Pad1_ Net-_C_RDL1-Pad1_ 164.343f\n", + "L_TSV1 Net-_C_insulator2-Pad1_ Net-_L_TSV1-Pad2_ 6.19242p\n", + "R_TSV1 Net-_C_IMD1-Pad1_ Net-_L_TSV1-Pad2_ 0.00347282\n", + "C_insulator1 Net-_C_IMD1-Pad1_ Net-_C_RDL1-Pad1_ 164.343f\n", + "V3 unconnected-_V3-Pad1_ GND PULSE( 0 1.8 0n 0n 0n 6.25n 12.5n )\n", + "R_TSV2 unconnected-_R_TSV2-Pad1_ GND 0.03\n", + "C_IMD1 unconnected-_C_IMD1-Pad1_ Net-_C_IMD1-Pad2_ 4.11862e-16\n", + "C_Si1 Net-_C_RDL1-Pad1_ Net-_C_RDL1-Pad2_ 1.27899f\n", + "C_RDL1 Net-_C_RDL1-Pad1_ Net-_C_RDL1-Pad2_ 2.79443e-16\n", + "R_Si1 Net-_C_RDL1-Pad1_ Net-_C_RDL1-Pad2_ 27188\n", + ".end" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "UTQkhymp7kLv" + }, + "source": [ + "Signal delay detection is determined by a threshold set at 90\\% of its peak value. The figures and table exhibit the delay profiles for signal frequencies spanning from 25MHz to 2GHz, where the normalized delay signifies the proportion of delay to the time period:" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "ksIYK-hM7kLv" + }, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "q89AZQ6H7kL0" + }, + "source": [ + "| Frequency (Hz) | Time period (ns) | Delay(ns) | Normalized Delay |\n", + "| ---------------- | ---------------- | --------- | ---------------- |\n", + "| 25M | 40 | 0.60 | 0.015 |\n", + "| 50M | 20 | 0.45 | 0.023 |\n", + "| 80M <-our design | 12.5 | 0.41 | 0.03 |\n", + "| 100M | 10 | 0.40 | 0.04 |\n", + "| 500M | 2 | 0.30 | 0.15 |\n", + "| 1G | 1 | 0.26 | 0.26 |\n", + "| 2G | 0.5 | 0.18 | 0.36 |\n", + "\n", + "Notably, as the frequency increases, the delay introduced by TSV becomes increasingly significant, particularly exceeding one-third of the entire signal duration for frequencies above Ghz.\n", + "\n", + "We have integrated the corresponding delays into our design flow by 3D times, ensuring that subsequent steps such as CTS and routing take into account the influencing factors of TSVs." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 7. 3D Thermal Simulation and Signal Integrity Analysis\n", + "### 7.1 Thermal Modeling and Simulation\n", + "When stacking chips, a major issue arises as to how to dissipate the heat generated in the middle of the two chips. Hence, during the development of chip packaging, engineers should employ CAE calculation and multi-physical field coupling simulation to analyze heat flow within the packaging. This allows them to manage heat transfer paths, reduce chip die temperature, and enhance thermal reliability.\n", + "\n", + "In this work, we divide the core die and cache die into 30 module grids and 5 unit grids respectively, as shown in the following figures:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Core Die:\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Cache Die:\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "We proceed by computing the number of active cells in each grid and allocating the overall power consumption accordingly. For thermal simulation, it is imperative to extract critical parameters related to the 3D stack structure, encompassing material properties, electrical characteristics, dimensions. These parameters include chip thickness, thermal conductivity, volumetric heat capacity, package model, floorplan/stacking information, and etc. Subsequently, we establish a model of the external environment, configuring factors such as heat sink, heat spreader specifications, ambient temperature settings, and so on.\n", + "\n", + "HotSport, an open-source pre-RTL thermal simulator, serves as the primary EDA tool for executing this step. Within our simulation, we have established five material layers to facilitate the transfer of thermal effects: heat sink, heat spreader, cache die, core die, and package layer. The geometric arrangement of these layers is depicted in the accompanying figure:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "We use HotSpot to do that simulation, a demonstration flow is shown here:" + ] + }, + { + "cell_type": "code", + "execution_count": 55, + "metadata": {}, + "outputs": [], + "source": [ + "!mkdir Thermal_sim" + ] + }, + { + "cell_type": "code", + "execution_count": 56, + "metadata": {}, + "outputs": [], + "source": [ + "!cp -r ./Open3DFlow/thermal ./Thermal_sim" + ] + }, + { + "cell_type": "code", + "execution_count": 57, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing Results/script2.sh\n" + ] + } + ], + "source": [ + "%%writefile Results/script2.sh\n", + "#!/bin/bash\n", + "cd /Thermal_sim/\n", + "git clone https://github.com/uvahotspot/HotSpot.git\n", + "cd HotSpot && make SUPERLU=1\n", + "mv ../thermal/ ./examples/\n", + "cd examples/thermal\n", + "sh run.sh\n", + "cp outputs/*.png /Work_dir/" + ] + }, + { + "cell_type": "code", + "execution_count": 58, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Cloning into 'HotSpot'...\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c hotspot.c\n", + "hotspot.c: In function 'read_names':\n", + "hotspot.c:190:7: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 190 | fgets(line, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "hotspot.c: In function 'read_vals':\n", + "hotspot.c:224:7: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 224 | fgets(line, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 hotspot.c > hotspot.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c microchannel.c\n", + "microchannel.c: In function 'microchannel_build_network':\n", + "microchannel.c:296:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 296 | fgets(line, MAX_LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "microchannel.c:324:5: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 324 | fgets(line, MAX_LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 microchannel.c > microchannel.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c materials.c\n", + "materials.c: In function 'materials_add_from_file':\n", + "materials.c:31:5: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 31 | fgets(line, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "materials.c:82:5: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 82 | fgets(line, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 materials.c > materials.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c temperature.c\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 temperature.c > temperature.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c RCutil.c\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 RCutil.c > RCutil.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c package.c\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 package.c > package.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c temperature_block.c\n", + "temperature_block.c: In function 'read_temp_block':\n", + "temperature_block.c:631:4: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 631 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_block.c:659:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 659 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_block.c:677:2: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 677 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_block.c: In function 'read_power_block':\n", + "temperature_block.c:744:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 744 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 temperature_block.c > temperature_block.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c temperature_grid.c\n", + "temperature_grid.c: In function 'parse_layer_file':\n", + "temperature_grid.c:631:7: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 631 | fgets(line, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_grid.c: In function 'count_num_layers':\n", + "temperature_grid.c:770:7: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 770 | fgets(line, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_grid.c: In function 'read_temp_grid':\n", + "temperature_grid.c:1573:11: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1573 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_grid.c:1605:7: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1605 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_grid.c:1623:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1623 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_grid.c: In function 'read_power_grid':\n", + "temperature_grid.c:1709:17: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1709 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_grid.c:1733:7: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1733 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "temperature_grid.c:1742:11: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1742 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 temperature_grid.c > temperature_grid.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c flp.c\n", + "flp.c: In function 'flp_count_units':\n", + "flp.c:1015:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1015 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c: In function 'flp_populate_blks':\n", + "flp.c:1070:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1070 | fgets(str, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c: In function 'flp_populate_connects':\n", + "flp.c:1138:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 1138 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c: In function 'flp_create_grid':\n", + "flp.c:548:43: warning: '%d' directive writing between 1 and 10 bytes into a region of size between 0 and 511 [-Wformat-overflow=]\n", + " 548 | sprintf(grid->units[count].name, \"%s_%d\", flp->units[i].name, grid_num);\n", + " | ^~\n", + "flp.c:548:39: note: directive argument in the range [0, 2147483647]\n", + " 548 | sprintf(grid->units[count].name, \"%s_%d\", flp->units[i].name, grid_num);\n", + " | ^~~~~~~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 3 and 523 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c: In function 'flp_wrap_rim':\n", + "flp.c:722:38: warning: '%s' directive writing up to 511 bytes into a region of size 503 [-Wformat-overflow=]\n", + " 722 | sprintf(flp->units[n+j].name, \"%s_%s\",\n", + " | ^~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 10 and 521 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c:736:38: warning: '%s' directive writing up to 511 bytes into a region of size 502 [-Wformat-overflow=]\n", + " 736 | sprintf(flp->units[n+j].name, \"%s_%s\",\n", + " | ^~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 11 and 522 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c:752:38: warning: '%s' directive writing up to 511 bytes into a region of size 504 [-Wformat-overflow=]\n", + " 752 | sprintf(flp->units[n+j].name, \"%s_%s\",\n", + " | ^~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 9 and 520 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c:766:38: warning: '%s' directive writing up to 511 bytes into a region of size 501 [-Wformat-overflow=]\n", + " 766 | sprintf(flp->units[n+j].name, \"%s_%s\",\n", + " | ^~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 12 and 523 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c: In function 'flp_populate_connects':\n", + "flp.c:1399:22: warning: '%s' directive writing up to 511 bytes into a region of size 506 [-Wformat-overflow=]\n", + " 1156 | x = get_blk_index(flp, name1);\n", + " | ~~~~~\n", + "......\n", + " 1399 | sprintf(msg, \"block %s not found\\n\", name);\n", + " | ^~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 18 and 529 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp.c:1399:22: warning: '%s' directive writing up to 511 bytes into a region of size 506 [-Wformat-overflow=]\n", + " 1157 | y = get_blk_index(flp, name2);\n", + " | ~~~~~\n", + "......\n", + " 1399 | sprintf(msg, \"block %s not found\\n\", name);\n", + " | ^~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 18 and 529 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 flp.c > flp.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c flp_desc.c\n", + "flp_desc.c: In function 'desc_count_units':\n", + "flp_desc.c:51:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 51 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp_desc.c: In function 'desc_populate_blks':\n", + "flp_desc.c:103:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 103 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp_desc.c: In function 'desc_populate_connects':\n", + "flp_desc.c:155:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 155 | fgets(str1, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp_desc.c:30:22: warning: '%s' directive writing up to 511 bytes into a region of size 506 [-Wformat-overflow=]\n", + " 30 | sprintf(msg, \"block %s not found\\n\", name);\n", + " | ^~\n", + "......\n", + " 172 | x = desc_get_blk_index(flp_desc, name1);\n", + " | ~~~~~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp_desc.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 18 and 529 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "flp_desc.c:30:22: warning: '%s' directive writing up to 511 bytes into a region of size 506 [-Wformat-overflow=]\n", + " 30 | sprintf(msg, \"block %s not found\\n\", name);\n", + " | ^~\n", + "......\n", + " 173 | y = desc_get_blk_index(flp_desc, name2);\n", + " | ~~~~~\n", + "In file included from /usr/include/stdio.h:867,\n", + " from flp_desc.c:1:\n", + "/usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: '__builtin___sprintf_chk' output between 18 and 529 bytes into a destination of size 512\n", + " 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + " 37 | __bos (__s), __fmt, __va_arg_pack ());\n", + " | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 flp_desc.c > flp_desc.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c npe.c\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 npe.c > npe.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c shape.c\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 shape.c > shape.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c util.c\n", + "util.c: In function 'read_str_pairs':\n", + "util.c:334:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 334 | fgets(str, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~\n", + "util.c: In function 'count_significant_lines':\n", + "util.c:540:3: warning: ignoring return value of 'fgets', declared with attribute warn_unused_result [-Wunused-result]\n", + " 540 | fgets(str, LINE_SIZE, fp);\n", + " | ^~~~~~~~~~~~~~~~~~~~~~~~~\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 util.c > util.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c wire.c\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 wire.c > wire.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -o hotspot hotspot.o microchannel.o materials.o temperature.o RCutil.o package.o temperature_block.o temperature_grid.o flp.o flp_desc.o npe.o shape.o util.o wire.o -lm -lblas -lsuperlu\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -c hotfloorplan.c\n", + "gcc -MM -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 hotfloorplan.c > hotfloorplan.d\n", + "gcc -O3 -I/usr/include/superlu/ -DVERBOSE=1 -DMATHACCEL=0 -DSUPERLU=1 -o hotfloorplan hotfloorplan.o microchannel.o materials.o temperature.o RCutil.o package.o temperature_block.o temperature_grid.o flp.o flp_desc.o npe.o shape.o util.o wire.o -lm -lblas -lsuperlu\n", + "rm -f libhotspot.a\n", + "ar qcv libhotspot.a microchannel.o materials.o temperature.o RCutil.o package.o temperature_block.o temperature_grid.o flp.o flp_desc.o npe.o shape.o util.o wire.o\n", + "a - microchannel.o\n", + "a - materials.o\n", + "a - temperature.o\n", + "a - RCutil.o\n", + "a - package.o\n", + "a - temperature_block.o\n", + "a - temperature_grid.o\n", + "a - flp.o\n", + "a - flp_desc.o\n", + "a - npe.o\n", + "a - shape.o\n", + "a - util.o\n", + "a - wire.o\n", + "ranlib libhotspot.a\n", + "Computing steady-state temperatures...\n", + "Parsing input files...\n", + "Creating thermal circuit...\n", + "Simulation complete.\n", + "Computing steady-state temperatures...\n", + "Parsing input files...\n", + "Creating thermal circuit...\n", + "Computing temperatures for t = 0.000000e+00...\n", + "Simulation complete.\n", + "succeed\n" + ] + } + ], + "source": [ + "!docker run --rm --name thermal_sim -e DISPALY=$DISPLAY -v /tmp/.X11-unix:/tmp/.X11-unix -v ${HOME}/.Xauthority:/.Xauthority:ro -v $(pwd)/Thermal_sim:/Thermal_sim -v $(pwd)/Results:/Work_dir --network=host --privileged=true --security-opt seccomp=unconfined test:latest /bin/bash -c \"bash /Work_dir/script2.sh && echo succeed\"" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The following figures show the thermal simulation results of cache die and logic die respectively:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "- Core Die:" + ] + }, + { + "cell_type": "code", + "execution_count": 59, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import matplotlib.image as mpimg\n", + "core = mpimg.imread('Results/core_thermal.png')\n", + "\n", + "plt.imshow(core)\n", + "plt.axis('off')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "- Cache Die" + ] + }, + { + "cell_type": "code", + "execution_count": 60, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import matplotlib.image as mpimg\n", + "core = mpimg.imread('Results/cache_thermal.png')\n", + "\n", + "plt.imshow(core)\n", + "plt.axis('off')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### 7.2 Signal Integrity Analysis\n", + "As data transmission rates soar into the tens of gigabits per second, digital signals exhibit characteristics reminiscent of microwaves, becoming vulnerable to reflections, damping, and crosstalk. An overly ambitious design can easily be compromised by impedance mismatches or interference among copper traces. As a consequence, in the design process of 3D ICs, SI analysis stands as a crucial element.\n", + "\n", + "The key for SI is to extract the s-parameters of the circuits or the chip. We consider studying the transmission performance between the V-cache die and the core die, as shown in the figure:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Similarly, when delving into the intricate details of the chip, 3D components such as TSVs also require corresponding modeling. We have investigated a range of existing open-source EDA software and initially considered utilizing OpenEMS, an open electromagnetic field solver that employs the Finite Difference Time Domain (FDTD) method, for this purpose. However, the primary challenge lies in the fact that there are currently no open-source tools that can convert GDSII files into the three-dimensional mesh required by this software. Therefore, we are exploring a compromise approach. Firstly, through circuit modeling and RLC parameter extraction, we abstract the respective metal stacks into electrical circuits. Subsequently, we leaverage an open Python library called SignalIntegrity for S-parameter extraction. Finally, by combining information such as the dimensions, materials, and external environment of the 3D chiplet, we aim to obtain eye diagrams, Smith charts, and other relevant metrics.\n", + "\n", + "As of the submission of this paper, our SI analysis is still ongoing. We are committed to developing a comprehensive 3D IC design flow, which encompasses every aspect of the design process, ensuring a seamless transition from concept to implementation." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## 8. Conclusion" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In conclusion, we have designed an open-source 3D RISC-V processor for this competition. To realize this architecture, we have developed Open3Dflow, an innovative open source 3D chip EDA Design Platform equipped with TSV and thermal modeling. This platform leverages existing openEDA tools, incorporating appropriate abstractions and modeling techniques tailored for 3D design. Additionally, we have adopted the openPDKs to facilitate future openMPW tape-outs.\n", + "\n", + "Our design mimics AMD's 3D V-cache structure, featuring a cache die stacked onto the logic die. The back-end process incorporates distinct technology processes, sky130A and GF180, for the two tiles respectively. To further enhance its compatibility with advanced packaging, we have incorporated bonding pads for hybrid bonding, along with TSVs for electrical transmission. Furthermore, we have thoroughly validated our design through thermal modeling and SI assessments, ensuring a comprehensive evaluation of its performance.\n", + "\n", + "Looking ahead, our 3D RISC-V CPU design, powered by Open3Dflow, holds immense potential in driving innovation within the semiconductor industry. By enabling the creation of more efficient, reliable, and cost-effective devices, it marks a significant milestone towards the realization of a fully open-source process for designing 3D chips. However, our current design remains a preliminary blueprint, and we eagerly anticipate the development of more realistic and feasible 3D chiplets within a fully open-source toolchain. There is ample room for improvement, such as optimizing the thermal performance of the chip, enhancing multi-objective optimization for 3D interconnects, and gracefully resolving DRC issues arising from chip stacking through the integration of two PDKs. We are committed to continuous progress, employing more comprehensive modeling techniques, developing new tools, and realizing increasingly complex designs to refine our design platform." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "x6zyRsZi7kL2" + }, + "source": [ + "## Quick Start\n", + "This chapter is a collection of all the codes. If you only want to replicate our simulation flow, a summary is provided here. When running, please do not execute the previous codes." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "#### Prerequisite\n", + "- vnc (https://www.digitalocean.com/community/tutorial-collections/how-to-install-and-configure-vnc)\n", + "- docker (https://docs.docker.com/engine/install; ps. Colab doesn't support docker, you need to use your jupyter notebook to run these codes) " + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "GNVlNZEo7kL2" + }, + "source": [ + "#### 1. Pull the environment" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "latest: Pulling from b224hisl/open3dflow\n", + "Digest: sha256:9c3d749d616d89b7e1b938f5bdd9cd7446bfb51aecb905d1962a49de9aef2942\n", + "Status: Image is up to date for b224hisl/open3dflow:latest\n", + "docker.io/b224hisl/open3dflow:latest\n" + ] + } + ], + "source": [ + "# pull our image:\n", + "!docker pull b224hisl/open3dflow:latest #For it's about 15GB, it may take a while\n", + "!docker tag b224hisl/open3dflow test # rename your environment" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "5GvkCWk47kL2" + }, + "source": [ + "#### 2. Clone our source code\n", + " The codes include: riscv32i RTL, gf180 sram marco file, back-end scripts, Open3DFlow platform, thermal config etc." + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Cloning into 'Open3DFlow'...\n", + "remote: Enumerating objects: 824, done.\u001b[K\n", + "remote: Counting objects: 100% (34/34), done.\u001b[K\n", + "remote: Compressing objects: 100% (27/27), done.\u001b[K\n", + "remote: Total 824 (delta 7), reused 27 (delta 5), pack-reused 790\u001b[K\n", + "Receiving objects: 100% (824/824), 113.77 MiB | 4.14 MiB/s, done.\n", + "Resolving deltas: 100% (197/197), done.\n", + "Updating files: 100% (787/787), done.\n", + "Already up to date.\n" + ] + } + ], + "source": [ + "!git clone https://github.com/b224hisl/Open3DFlow.git\n", + "!cd Open3DFlow\n", + "!git pull\n", + "!cd .." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "#### 3. TSV Modeling\n", + "spice simulation in KiCAD: (This has been integrated into our environment but we cannot open its gui in this notebook, so we just put the codes here and simulation results are in previous section)" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing tsv.spice\n" + ] + } + ], + "source": [ + "%%writefile tsv.spice\n", + "## take frequency of 80Mhz for example\n", + ".title KiCad schematic\n", + ".save all\n", + ".probe alli\n", + ".probe p(C_insulator3)\n", + ".probe p(C_insulator4)\n", + ".probe p(R_TSV3)\n", + ".probe p(L_TSV2)\n", + ".probe p(R_TSV4)\n", + ".probe p(V2)\n", + ".probe p(C_insulator2)\n", + ".probe p(L_TSV1)\n", + ".probe p(R_TSV1)\n", + ".probe p(C_insulator1)\n", + ".probe p(V3)\n", + ".probe p(R_TSV2)\n", + ".probe p(C_IMD1)\n", + ".probe p(C_Si1)\n", + ".probe p(C_RDL1)\n", + ".probe p(R_Si1)\n", + ".tran 31.25p 12.5n 0\n", + "C_insulator3 unconnected-_C_insulator3-Pad1_ Net-_C_IMD1-Pad2_ 164.343f\n", + "C_insulator4 Net-_C_RDL1-Pad2_ Net-_C_insulator4-Pad2_ 164.343f\n", + "R_TSV3 Net-_C_IMD1-Pad2_ unconnected-_R_TSV3-Pad2_ 0.00347282\n", + "L_TSV2 Net-_C_insulator4-Pad2_ Net-_L_TSV2-Pad2_ 6.19242p\n", + "R_TSV4 unconnected-_R_TSV4-Pad1_ GND 0.03\n", + "V2 Net-_C_IMD1-Pad2_ GND PULSE( 0 1.8 0n 0n 0n 6.25n 12.5n )\n", + "C_insulator2 Net-_C_insulator2-Pad1_ Net-_C_RDL1-Pad1_ 164.343f\n", + "L_TSV1 Net-_C_insulator2-Pad1_ Net-_L_TSV1-Pad2_ 6.19242p\n", + "R_TSV1 Net-_C_IMD1-Pad1_ Net-_L_TSV1-Pad2_ 0.00347282\n", + "C_insulator1 Net-_C_IMD1-Pad1_ Net-_C_RDL1-Pad1_ 164.343f\n", + "V3 unconnected-_V3-Pad1_ GND PULSE( 0 1.8 0n 0n 0n 6.25n 12.5n )\n", + "R_TSV2 unconnected-_R_TSV2-Pad1_ GND 0.03\n", + "C_IMD1 unconnected-_C_IMD1-Pad1_ Net-_C_IMD1-Pad2_ 4.11862e-16\n", + "C_Si1 Net-_C_RDL1-Pad1_ Net-_C_RDL1-Pad2_ 1.27899f\n", + "C_RDL1 Net-_C_RDL1-Pad1_ Net-_C_RDL1-Pad2_ 2.79443e-16\n", + "R_Si1 Net-_C_RDL1-Pad1_ Net-_C_RDL1-Pad2_ 27188\n", + ".end" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "zhOlzyTg7kL2" + }, + "source": [ + "#### 4. Chip hardeninng" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "hlB-TWHN7kL2" + }, + "source": [ + "i) write the configuration files of the core die and cache die:" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing core_die.mk\n" + ] + } + ], + "source": [ + "%%writefile core_die.mk\n", + "export DESIGN_NICKNAME = riscv32i_3d\n", + "export DESIGN_NAME = core_without_dmem\n", + "# top = dmem + core_without_dmem\n", + "export PLATFORM = 130_180 #sky130 & GF180\n", + "export PLACE_DENSITY = 0.5\n", + "\n", + "export SYNTH_HIERARCHICAL = 1\n", + "export RTLMP_FLOW = True\n", + "export MAX_UNGROUP_SIZE ?= 1000\n", + "\n", + "export VERILOG_FILES = $(sort $(wildcard ./designs/src/riscv32i_3d/*.v))\n", + "export SDC_FILE = ./designs/130_180/$(DESIGN_NICKNAME)/constraint.sdc\n", + "\n", + "export DIE_AREA = 0 0 1200 1000\n", + "export CORE_AREA = 20 20 1180 980\n", + "\n", + "export PLACE_DENSITY_LB_ADDON = 0.12\n", + "export MACRO_PLACE_CHANNEL = 6 6\n", + "export TNS_END_PERCENT = 100\n", + "export MIN_ROUTING_LAYER = met1\n", + "export BONDING_LAYER = Metal4\n", + "export MAX_ROUTING_LAYER = $(BONDING_LAYER)\n", + "\n", + "export IO_CONSTRAINTS = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/io.tcl\n", + "export IS_CHIP = 1\n", + "export MOTHER_PIN_GEN = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/pad_gen.tcl\n", + "export TSV_DELAY = $[from_3D_times]\n", + "export PDN_TCL = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/pdn.tcl" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing sram_die.mk\n" + ] + } + ], + "source": [ + "%%writefile sram_die.mk\n", + "export DESIGN_NICKNAME = fakeram4\n", + "export DESIGN_NAME = dmem\n", + "export PLATFORM = gf180\n", + "export MOTHER_PLATFORM = 130_180 #sky130 & GF180\n", + "export PLACE_DENSITY = 0.7\n", + "\n", + "export VERILOG_FILES = ./designs/src/riscv32i_3d/dmem_real\n", + "export SDC_FILE = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/constraint.sdc\n", + "\n", + "export DIE_AREA = 0 0 1200 1000\n", + "export CORE_AREA = 20 20 1180 980\n", + "\n", + "BLOCKS = gf180mcu_fd_ip_sram__sram256x8m8wm1\n", + "\n", + "export MACRO_PLACEMENT_TCL = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/macro.tcl\n", + "\n", + "export MACRO_PLACE_HALO = 1 1\n", + "export MACRO_PLACE_CHANNEL = 0 0\n", + "\n", + "export PDN_TCL = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/pdn.tcl\n", + "\n", + "\n", + "export GPL_ROUTABILITY_DRIVEN = 1\n", + "\n", + "export IS_CHIP = 1\n", + "\n", + "export MIN_ROUTING_LAYER = Metal1\n", + "export MAX_ROUTING_LAYER = Metal3\n", + "\n", + "export MOTHER = riscv32i_3d # mother die is logic part\n", + "export MOTHER_PDK = 130_180\n", + "\n", + "export IO_CONSTRAINTS = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/pad_placer.tcl" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "xvJ45AS97kL6" + }, + "source": [ + "ii) Write the script executed in the docker environment:" + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "metadata": {}, + "outputs": [], + "source": [ + "!rm -rf Results\n", + "!mkdir Results" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing Results/script.sh\n" + ] + } + ], + "source": [ + "%%writefile Results/script.sh\n", + "#!/bin/bash\n", + "source /home/dependency/oss-cad-suite/environment\n", + "export QT_QPA_PLATFORM=offscreen\n", + "cd /Flow\n", + "\n", + "# sub die\n", + "export DESIGN_CONFIG=./designs/130_180/riscv32i_3d/config.mk\n", + "make clean_all\n", + "make\n", + "cp ./results/130_180/riscv32i_3d/80MHz/6_final.gds /Work_dir/core.gds\n", + "cp ./reports/130_180/riscv32i_3d/80MHz/final_routing.webp.png /Work_dir/core.png\n", + "\n", + "# top die\n", + "export DESIGN_CONFIG=./designs/130_180/fakeram4/config.mk\n", + "make clean_all\n", + "make\n", + "cp ./results/gf180/fakeram4/80MHz/6_final.gds /Work_dir/sram.gds\n", + "cp ./reports/gf180/fakeram4/80MHz/final_routing.webp.png /Work_dir/sram.png\n", + "touch /Work_dir/script_completed.txt" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "onjhYLPf7kL6" + }, + "source": [ + "iii) Harden the Design" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "New 'server1:1 (yzhu)' desktop is server1:1\n", + "\n", + "Starting applications specified in /work/stu/yzhu/.vnc/xstartup\n", + "Log file is /work/stu/yzhu/.vnc/server1:1.log\n", + "\n" + ] + } + ], + "source": [ + "!vncserver" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "!docker run --rm --name thermal_sim -e DISPALY=$DISPLAY -v /tmp/.X11-unix:/tmp/.X11-unix -v ${HOME}/.Xauthority:/.Xauthority:ro -v $(pwd)/Thermal_sim:/Thermal_sim -v $(pwd)/Results:/Work_dir --network=host --privileged=true --security-opt seccomp=unconfined test:latest /bin/bash -c \"bash /Work_dir/script2.sh && echo succeed\"" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "#### 5. Thermal Simulation" + ] + }, + { + "cell_type": "code", + "execution_count": 38, + "metadata": {}, + "outputs": [], + "source": [ + "!mkdir Thermal_sim" + ] + }, + { + "cell_type": "code", + "execution_count": 39, + "metadata": {}, + "outputs": [], + "source": [ + "!cp -r ./Open3DFlow/thermal ./Thermal_sim" + ] + }, + { + "cell_type": "code", + "execution_count": 40, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Overwriting Results/script2.sh\n" + ] + } + ], + "source": [ + "%%writefile Results/script2.sh\n", + "#!/bin/bash\n", + "cd /Thermal_sim/\n", + "git clone https://github.com/uvahotspot/HotSpot.git\n", + "cd HotSpot && make SUPERLU=1\n", + "mv ../thermal/ ./examples/\n", + "cd examples/thermal\n", + "sh run.sh\n", + "cp outputs/*.png /Work_dir/" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "!docker run --rm --name thermal_sim -e DISPALY=$DISPLAY -v /tmp/.X11-unix:/tmp/.X11-unix -v ${HOME}/.Xauthority:/.Xauthority:ro -v $(pwd)/Thermal_sim:/Thermal_sim -v $(pwd)/Results:/Work_dir --network=host --privileged=true --security-opt seccomp=unconfined test:latest /bin/bash -c \"bash /Work_dir/script2.sh && echo succeed\"" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "A4tWyy6Q7kL7" + }, + "source": [ + "#### 6. Visualization" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "1z7bxIxg7kL7" + }, + "source": [ + "Because the GDS svg file is too large to open in this notebook, we just display the screenshots here. The svg files are also generated." + ] + }, + { + "cell_type": "code", + "execution_count": 33, + "metadata": { + "id": "InchLAeK7kL7" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Looking in indexes: https://mirrors.aliyun.com/pypi/simple/\n", + "Requirement already satisfied: gdstk in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (0.9.50)\n", + "Requirement already satisfied: matplotlib in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (3.2.2)\n", + "Requirement already satisfied: typing-extensions; python_version < \"3.11\" in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from gdstk) (4.4.0)\n", + "Requirement already satisfied: numpy in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from gdstk) (1.18.5)\n", + "Requirement already satisfied: cycler>=0.10 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (0.10.0)\n", + "Requirement already satisfied: kiwisolver>=1.0.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (1.2.0)\n", + "Requirement already satisfied: pyparsing!=2.0.4,!=2.1.2,!=2.1.6,>=2.0.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (2.4.7)\n", + "Requirement already satisfied: python-dateutil>=2.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (2.8.1)\n", + "Requirement already satisfied: six in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from cycler>=0.10->matplotlib) (1.15.0)\n" + ] + } + ], + "source": [ + "# insall the dependencies\n", + "!pip install gdstk matplotlib" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "WOZFVZ2x7kL7" + }, + "source": [ + "Die image of the sub die (Core Die):" + ] + }, + { + "cell_type": "code", + "execution_count": 43, + "metadata": { + "id": "j6rmjt2c7kL7" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import matplotlib.image as mpimg\n", + "core = mpimg.imread('Results/core.png')\n", + "#core.shape [:3]\n", + "plt.imshow(core)\n", + "plt.axis('off')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "KQpf7eJj7kL7" + }, + "source": [ + "Die image of the top die (Sram Die):" + ] + }, + { + "cell_type": "code", + "execution_count": 44, + "metadata": { + "id": "7XrrwqTn7kL7" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sram = mpimg.imread('Results/sram.png')\n", + "#core.shape [:3]\n", + "plt.imshow(sram)\n", + "plt.axis('off')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Temperature distribution map of the core die:" + ] + }, + { + "cell_type": "code", + "execution_count": 45, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import matplotlib.image as mpimg\n", + "core = mpimg.imread('Results/core_thermal.png')\n", + "\n", + "plt.imshow(core)\n", + "plt.axis('off')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Temperature distribution map of the cache die:" + ] + }, + { + "cell_type": "code", + "execution_count": 46, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import matplotlib.image as mpimg\n", + "core = mpimg.imread('Results/cache_thermal.png')\n", + "\n", + "plt.imshow(core)\n", + "plt.axis('off')\n", + "plt.show()" + ] + } + ], + "metadata": { + "colab": { + "provenance": [] + }, + "kernelspec": { + "display_name": "Python 3", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.8.3" + } + }, + "nbformat": 4, + "nbformat_minor": 1 +}