diff --git a/.gitignore b/.gitignore
index 21d3476f..7205d946 100644
--- a/.gitignore
+++ b/.gitignore
@@ -4,3 +4,10 @@ mm/*
*.deb
.vscode
+VLSI24/submitted_notebooks/Open3DFlow/tsv.spice
+VLSI24/submitted_notebooks/Open3DFlow/*.mk
+VLSI24/submitted_notebooks/Open3DFlow/Open3DFlow
+VLSI24/submitted_notebooks/Open3DFlow/HotSpot
+VLSI24/submitted_notebooks/Open3DFlow/Results*
+VLSI24/submitted_notebooks/Open3DFlow/Thermal_sim*
+
diff --git a/VLSI23/accepted_notebooks/3LFCC/3LFCC_v2p0.ipynb b/VLSI23/accepted_notebooks/3LFCC/3LFCC_v2p0.ipynb
index ebc8ef8d..539009bd 100644
--- a/VLSI23/accepted_notebooks/3LFCC/3LFCC_v2p0.ipynb
+++ b/VLSI23/accepted_notebooks/3LFCC/3LFCC_v2p0.ipynb
@@ -16606,7 +16606,7 @@
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
- "version": "3.10.6"
+ "version": "3.10.3"
}
},
"nbformat": 4,
diff --git a/VLSI24/submitted_notebooks/Open3DFlow/Open3DFlow.ipynb b/VLSI24/submitted_notebooks/Open3DFlow/Open3DFlow.ipynb
new file mode 100755
index 00000000..3d81b9a8
--- /dev/null
+++ b/VLSI24/submitted_notebooks/Open3DFlow/Open3DFlow.ipynb
@@ -0,0 +1,2103 @@
+{
+ "cells": [
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "jBy32oOy7kLe"
+ },
+ "source": [
+ "# RISC-V 3D Chip Design with TSV and Thermal Modeling"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "EsV6NCAC7kLg"
+ },
+ "source": [
+ "RIOS Lab, TsingHua University, 2024\n",
+ "\n",
+ "SPDX-License-Identifier: Apache-2.0\n",
+ "\n",
+ "\n",
+ "\n",
+ "#### Team Members\n",
+ "\n",
+ "|Name|Affiliation|\n",
+ "|:--:|:----------:|\n",
+ "| Yifei Zhu (zhuyf20@mails.tsinghua.edu.cn)| RIOS Lab, Tsinghua-Berkeley Shenzhen Institute, Tsinghua University|\n",
+ "| Weiwei Chen (weiwei.c@rioslab.org)| RIOS Lab, Tsinghua-Berkeley Shenzhen Institute, Tsinghua University|\n",
+ "| Lei Ren (ren@rioslab.org)| RIOS Lab, Tsinghua-Berkeley Shenzhen Institute, Tsinghua University|\n",
+ "\n"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "-PxyzVcX7kLh"
+ },
+ "source": [
+ "## Abstract\n",
+ "Amid the escalating need for high-performance, low-power, and densely integrated electronic systems, 3D-ICs emerge as a promising \"more than Moore'' integration solution. Nevertheless, the scarcity of specialized EDA tools and standardized design flows tailored for 3D chiplets hinders silicon innovation. To address this gap, we propose a 3D RISC-V processor, mimicking AMD's 3D V-cache architecture. To realize this architecture, we develop 'Open3DFlow', an open-source 3D IC design platform that leverages existing openEDA tools while incorporating tailored abstractions and customizations optimized for 3D chiplet designs. Besides OpenROAD, we also integrate other open tools to enable Through Silicon Via (TSV) modeling, thermal analysis, and signal integrity (SI) assessments. Our CPU consists of two tiers: a cache die and a logic die, stacked face-to-face (F2F) using different processes. The interconnects converge at the central bonding layer, where the bonding pads are located. Our ambition is to establish a fully open-source realization of cutting-edge technologies, not only to facilitate the resolution of future challenges within this platform but also to pave the way for the exploration of novel packaging paradigms."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "KBmxNk3B7kLh"
+ },
+ "source": [
+ "## 1. Introduction and Spec\n",
+ "In the realm of microelectronics, the pursuit of superior performance, energy efficiency, optimized space utilization, and economical solutions has spurred the development of novel integration techniques. Given the need for semiconductor memory chips with higher density to support recently released CPU components, the technique for advanced packages has emerged as a promising solution.\n",
+ "\n",
+ "The technological trend can be roughly divided into four stages: \n",
+ "- 2D package, with solder balls or bumps as the representative connection method. (Flip chip, wire bonding)\n",
+ "- Wafer Level Packaging, utilizing Redistribution Layer (RDL) as the representative connection approach. (INFO-PoP, eWLB)\n",
+ "- 2.5D package, typically based on interposer or Embedded Multi-die Interconnect Bridge. (EMIB, CoWoS-x)\n",
+ "- 3D stacking, employing hybrid bonding and Through Silicon Vias (TSVs) for signal and power transmission. (3D SoIC)\n",
+ "\n",
+ "As depicted in the following diagram, the trend consistently leans towards achieving greater integration and shorter interconnection distances:"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "_kS-Ky3Y7kLh"
+ },
+ "source": [
+ ""
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "obhqaVq57kLl"
+ },
+ "source": [
+ "Currently, the 3D IC flow faces several limitations. Primarily, it relies heavily on 2D tools and lacks comprehensive thermal analysis as well as power and signal integrity (SI) assessments. And because there are knowledge gaps between chip design and packaging. Modeling for 3D items such as TSVs and bonding pads hardly exists in IC back-end processes. Additionally, due to the immature and closed nature of existing 3D design EDA tools, future designs face challenges in integrating with large models and cloud platforms. Commercial licensing costs and limitations on processor scalability in cloud environments further complicate the matter.\n",
+ "\n",
+ "To address these issues, in the work, we present our own 3D IC design methodology. We develop a 5-stage-pipeline RISC-V CPU with its cache die stacking on the logic die, which mimics AMD's 3D V-cache architecture. We incorporate openPDKs and openEDA tools while doing the hardening. For that end, we propose an open source 3D chip EDA design platform with TSV and thermal modeling named 'Open3DFlow'. It is also the first fully open-source process for designing 3D chips, which is poised to significantly contribute to the vibrant growth of the open-source community. Our goal is to tape out this design through the OpenMPW program, and the process will be easily extended to include logic+DRAM or logic+logic configurations. "
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "3729xYlj7kLl"
+ },
+ "source": [
+ "The significance of this work can be summarized:\n",
+ "1. We build a 3D RISC-V chip in fully open-source mode, leveraging open EDA tools, incorporating hybrid bonding and TSV technologies.\n",
+ "2. we propose 'Open3DFlow', an open source EDA platform that offers comprehensive TSV/Chip's SI and thermal analysis, ensuring reliable and efficient 3D IC designs\n",
+ "3. The open-source nature facilitates seamless integration with AI, large models, and cloud computing, boosting design efficiency for complex 3D ICs."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ " Architecure Specification:\n",
+ "We employ a five-stage pipelined RISC-V CPU equipped with an L1 cache to demonstrate our 3D IC design flow. In the future, we will transition towards more intricate designs to co-optimize our toolchains. The core was created for an OSU undergraduate course in July 2019, we have modified it to fit for our 3D design flow. Here we summarize the key features:\n",
+ "- 32-bit CPU RISC-V supporting I extension \n",
+ "- 5-stage pipeline in-order execution\n",
+ "- 1KB L1 cache concatenate by 4 sram macros\n",
+ "- PDK for logic die:SkyWater 130nm (Sky130A)\n",
+ "- PDK for cache die: GlobalFoundries 180nm (GF180)\n",
+ "- Connection methods: bonding pads for hybrid bonding & TSV\n"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ ""
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "uny9edJf7kLm"
+ },
+ "source": [
+ "Next, we will demonstrate the operations step by step with this article. For quick start of our flow, you can turn to section [Quick Start](#Simulation_Flow)"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "## 2. Architecture Design of 3D RISC-V Processor with V-Cache\n",
+ "### 2.1 Chiplet Consideration\n",
+ "There are some typical structures for 3D processors. As depicted in the following figure:"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "f8tFw3rG7kLm"
+ },
+ "source": [
+ ""
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "(a) depicts combinations of multiple packages; (b) represents 2.5D IC, applying passive or active interposers; (c) illustrates for DRAMs on logic dies; (d) stacks one logic circuit on another; and in (d), SRAMs are positioned beneath the logic but for (f), the logic is on the upper side. \n",
+ "\n",
+ "However, modern 3D processor architectures often combine multiple stacking approaches. The prevailing trend in 3D architecture evolution is towards increased density integration, reduced micro-bumps and TSV pitches, as well as shorter chiplet distances. For instance, AMD's 3D-Vcache does not follow the traditional approach of placing caches alongside the processor; instead, it stacks additional cache layers on top of the CPU. This architecture enables AMD to compress more cache without fabricating larger CPUs, resulting in improved speed and power efficiency in gaming applications. To achieve broader bandwidth and faster transmission speeds, the hybrid bonding technology even eliminates bumps. In our design, we select the \"CPU + Caches\" structure for experimentation. We aim to develop a fully open-source 3D-Vcache structure utilizing openEDA tools and openPDKs. Our aspiration is also to establish a platform that can be flexibly extended to other stacking modes in the future."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "### 2.2 V-Cache Structure\n",
+ "The L1 cache is composed by concatenating 4 GF180 256*8-SRAM macros, utilizing a 7-bit address for both reading and writing operations. As shown in this figure:"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ ""
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "All signals related to this cache die, excluding the clock signal, establish direct communication with the logic die via bonding pads and TSVs. The floorplan for the macros is manually crafted. The two ties possess their own independent power supply networks, but converge on the logic die. Detailed insights into the back-end design are outlined in the subsequent sections."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "### 2.3 RISC-V CPU with 3D Stacking SRAM\n",
+ "The overall stacked architecture is illustrated as:"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ ""
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "In our study, two dies are stacked in a F2F configuration, which means that their corresponding connection signal points converge onto the same bonding pads on the bonding layer. Additionally, the TSVs are via-last fabricated, where they reach the topmost layer of the top die and penetrate through all the metal layers of the sub die. Finally, the entire 3D chip is mounted on the substrate using flip-chip packaging with solder bumps."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "## 3. Front-end Design\n",
+ "First you should clone our platform. The source codes are in 'designs/src'. The top module is 'riscv_top'."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 47,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Cloning into 'Open3DFlow'...\n"
+ ]
+ },
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "remote: Enumerating objects: 824, done.\u001b[K\n",
+ "remote: Counting objects: 100% (34/34), done.\u001b[K\n",
+ "remote: Compressing objects: 100% (27/27), done.\u001b[K\n",
+ "remote: Total 824 (delta 7), reused 27 (delta 5), pack-reused 790\u001b[K\n",
+ "Receiving objects: 100% (824/824), 113.77 MiB | 2.58 MiB/s, done.\n",
+ "Resolving deltas: 100% (197/197), done.\n",
+ "Updating files: 100% (787/787), done.\n",
+ "Already up to date.\n"
+ ]
+ }
+ ],
+ "source": [
+ "!git clone https://github.com/b224hisl/Open3DFlow.git\n",
+ "!cd Open3DFlow\n",
+ "!git pull\n",
+ "!cd .."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "### 3.1 Logic Processor Die RTL\n",
+ "This processor was originally created for an OSU undergraduate course in July 2019. We have edited it for 3D IC design flow. The hierarchy of the logic processor RTL code can be shown as:\n",
+ "\n",
+ "- core_without_dmem (# the top module)\n",
+ " - ROM (# boot )\n",
+ " - dmem_communicate (# the module to communicate with SRAMs)\n",
+ " - riscv (# logic)\n",
+ " - contoller\n",
+ " - aludec\n",
+ " - maindec\n",
+ " - datapath\n",
+ " - alu\n",
+ " - adder\n",
+ " - comparator\n",
+ " - regfile\n",
+ " - shifter\n",
+ " - signext"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "### 3.2 V-Cache Die Design\n",
+ "In the original processor design, there was no L1 cache, and the original author used an RTL model named 'fakeram' as a substitute. Our design incorporates four GF180 SRAM macros, specifically 'gf180mcu_fd_ip_sram__sram256x8m8wm1', to form a 1KB cache, with the module named ‘dmem’. During read operations, the four SRAMs simultaneously receive the address from the ‘core_without_dmem’ and collectively output 32-bit data. For write operations, the enabling signals control the writing to one or more SRAMs.\n",
+ "\n",
+ "It is noteworthy that apart from the clock signal, ‘dmem’ does not have any signals originating from or exiting the entire CPU. Consequently, the total transmission signals between the V-cache die and the logic processor die can be summarized as follows:\n",
+ "- writedata[7:0]\n",
+ "- ce_mem[3:0]\n",
+ "- we_mem[3:0]\n",
+ "- dataadr[7:0]\n",
+ "- inter_dmem0[7:0]\n",
+ "- inter_dmem1[7:0]\n",
+ "- inter_dmem2[7:0]\n",
+ "- inter_dmem3[7:0]"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "## 4. 3D Back-end Design with Open3DFlow\n",
+ "### 4.1 Noval 3D Back-end Design Flow\n",
+ "To achieve this design, we developed a platform called 'Open3DFlow'. 'Open3DFlow' integrates a range of open-source tools and provides apt abstractions, augmented with specialized modules designed to simulate the 3D structure of hybrid bonding. Notably, the 2D backend of the chip leverages certain components from the existing workflow in OpenRoad.\n",
+ "\n",
+ "The overall workflow of 'Open3DFlow' is depicted in this figure:"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "28MSpfYY7kLn"
+ },
+ "source": [
+ ""
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "ZGY1iQbL7kLq"
+ },
+ "source": [
+ "In the initial phase, we synthesize the RTL codes of the processor and V-cache to generate netlists respectively. They are synthesized using distinct PDKs.\n",
+ "\n",
+ "Subsequently, we proceed to do floorplanning of both dies. The footprints of the two dies must be aligned with each other.\n",
+ "\n",
+ "The next step involves the placement of standard cells and bonding pads. A key aspect of hybrid bonding is its transition from solder-based bump technology to direct copper-to-copper connections. So we introduce a dedicated 'bonding layer' for bonding pads. However, modifying the technology file of the full metal stack can introduce complexities in Design Rule Check (DRC) rules and RC extraction schedules. To maintain a reasonable F2F stacking structure, actually, the top layer of the V-cache die serves as the bonding layer. Consequently, this metal layer is not allowed for routing. Simultaneously, this bonding layer is integrated into the metal stack of the logic die. We have extracted the RLC characteristics and related dimensions of two die's all metal layers to construct the 3D chips' full backend-of-the-line (BEOL). Compared to other monolithic-3D methods, this approach simplifies modifications to the logic die's tlef, as we do not require actual routing on the bonding layer, just sacrificing the routing resources of the cache die.\n",
+ "\n",
+ "Besides, the placement and legalization of stand cells are also involved at this stage, ensuring that the bonding pads of both dies align automatically.\n",
+ "\n",
+ "Then, we model the delays and impedances introduced by 3D items such as bumps, TSVs, and bonding pads. The TSVs connect the upper bonding pads to the bumps, which interface with the RDL or package substrate. Delays can be abstracted and concentrated at their IOs. Detailed modeling will be discussed in the next section.\n",
+ "\n",
+ "Next, the logic die and V-cache die are routed separately to obtain the final GDSII files. Although routing is performed individually for each die, the alignment of bonding pads, the parasitic parameters and time delays for 3D structure, obtained in the previous step, validate this flow for the final F2F-stacked 3D chip architecture. Even though it is relatively straightforward to change the two back-end processes to run in parallel, the main consideration is that the positions of the bonding pads should be routable for both dies. However, at present, we manually select their positions.\n",
+ "\n",
+ "In the last two steps, we perform thermal simulation and SI analysis based on chip dimensions, power consumption, and other relevant parameters. Thermal simulations allow us to accurately assess the heat distribution within the chip, enabling us to optimize the cooling system in the future. The SI analysis helps identify potential electromagnetic interference issues."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "### 4.2 Logic Processor Die Back-end Design\n",
+ "Here we write the configuration file for the core die. Note that our 'Open3DFlow', supports some new parameters such as 'BONDING_LAYER', 'MOTHER_PIN_GEN', etc. We will discuss the use of the entire tool chain on the platform in detail in the following chapters."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 48,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Writing core_die.mk\n"
+ ]
+ }
+ ],
+ "source": [
+ "%%writefile core_die.mk\n",
+ "export DESIGN_NICKNAME = riscv32i_3d\n",
+ "export DESIGN_NAME = core_without_dmem\n",
+ "# top = dmem + core_without_dmem\n",
+ "export PLATFORM = 130_180 #sky130 & GF180\n",
+ "export PLACE_DENSITY = 0.5\n",
+ "\n",
+ "export SYNTH_HIERARCHICAL = 1\n",
+ "export RTLMP_FLOW = True\n",
+ "export MAX_UNGROUP_SIZE ?= 1000\n",
+ "\n",
+ "export VERILOG_FILES = $(sort $(wildcard ./designs/src/riscv32i_3d/*.v))\n",
+ "export SDC_FILE = ./designs/130_180/$(DESIGN_NICKNAME)/constraint.sdc\n",
+ "\n",
+ "export DIE_AREA = 0 0 1200 1000\n",
+ "export CORE_AREA = 20 20 1180 980\n",
+ "\n",
+ "export PLACE_DENSITY_LB_ADDON = 0.12\n",
+ "export MACRO_PLACE_CHANNEL = 6 6\n",
+ "export TNS_END_PERCENT = 100\n",
+ "export MIN_ROUTING_LAYER = met1\n",
+ "export BONDING_LAYER = Metal4\n",
+ "export MAX_ROUTING_LAYER = $(BONDING_LAYER)\n",
+ "\n",
+ "export IO_CONSTRAINTS = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/io.tcl\n",
+ "export IS_CHIP = 1\n",
+ "export MOTHER_PIN_GEN = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/pad_gen.tcl\n",
+ "export TSV_DELAY = $[from_3D_times]\n",
+ "export PDN_TCL = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/pdn.tcl"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "### 4.3 V-Cache Die Back-end Design\n",
+ "Here we write the configuration file for the core die,similarly, there are also some unique parameters:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 49,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Writing sram_die.mk\n"
+ ]
+ }
+ ],
+ "source": [
+ "%%writefile sram_die.mk\n",
+ "export DESIGN_NICKNAME = fakeram4\n",
+ "export DESIGN_NAME = dmem\n",
+ "export PLATFORM = gf180\n",
+ "export MOTHER_PLATFORM = 130_180 #sky130 & GF180\n",
+ "export PLACE_DENSITY = 0.7\n",
+ "\n",
+ "export VERILOG_FILES = ./designs/src/riscv32i_3d/dmem_real\n",
+ "export SDC_FILE = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/constraint.sdc\n",
+ "\n",
+ "export DIE_AREA = 0 0 1200 1000\n",
+ "export CORE_AREA = 20 20 1180 980\n",
+ "\n",
+ "BLOCKS = gf180mcu_fd_ip_sram__sram256x8m8wm1\n",
+ "\n",
+ "export MACRO_PLACEMENT_TCL = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/macro.tcl\n",
+ "\n",
+ "export MACRO_PLACE_HALO = 1 1\n",
+ "export MACRO_PLACE_CHANNEL = 0 0\n",
+ "\n",
+ "export PDN_TCL = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/pdn.tcl\n",
+ "\n",
+ "\n",
+ "export GPL_ROUTABILITY_DRIVEN = 1\n",
+ "\n",
+ "export IS_CHIP = 1\n",
+ "\n",
+ "export MIN_ROUTING_LAYER = Metal1\n",
+ "export MAX_ROUTING_LAYER = Metal3\n",
+ "\n",
+ "export MOTHER = riscv32i_3d # mother die is logic part\n",
+ "export MOTHER_PDK = 130_180\n",
+ "\n",
+ "export IO_CONSTRAINTS = ./designs/$(MOTHER_PLATFORM)/$(DESIGN_NICKNAME)/pad_placer.tcl"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "## 5. EDA Toolchain in Open3DFlow\n",
+ "### 5.1 Open3DFlow Infrastructure\n",
+ "’Open3DFlow‘ incorporates a range of open EDA tools tailored for distinct stages of the design process. As shown in the following figure:"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ ""
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Within green boxes are existing open-source tools/algorithms such as Yosys and RePlace, which have been integrated into OpenRoad. The purple boxes represent the new open-source EDA tools we add to our design process. While the red boxes highlight our self-developed modules: \n",
+ "1. Pad placer generates a bonding layer, placing bonding pads and ensuring alignment between two tiles; \n",
+ "2. 3D Times extracts the delay introduced by TSVs, incorporating it into the subsequent CTS process. \n",
+ "3. Route helper manages routing connections between metal layers and bonding pads, while also extracting parasitic parameters associated with the chiplets. "
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "### 5.2 Simulation Results\n",
+ "We have tegrated all of them into our docker environment. For simulation:\n",
+ "\n",
+ "- Firstly, you should install docker (https://docs.docker.com/engine/install) and pull our image: (ps. Colab may not support docker)"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "latest: Pulling from b224hisl/open3dflow\n",
+ "Digest: sha256:9c3d749d616d89b7e1b938f5bdd9cd7446bfb51aecb905d1962a49de9aef2942\n",
+ "Status: Image is up to date for b224hisl/open3dflow:latest\n",
+ "docker.io/b224hisl/open3dflow:latest\n"
+ ]
+ }
+ ],
+ "source": [
+ "# pull our image:\n",
+ "!docker pull b224hisl/open3dflow:latest #For it's about 15GB, it may take a while\n",
+ "!docker tag b224hisl/open3dflow test # rename your environment"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Note that \"vncserver\" (https://www.digitalocean.com/community/tutorial-collections/how-to-install-and-configure-vnc) should be installed to save the image from OpenRoad. if you don't care about the images, just want to get the gds, you can skip this step."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 50,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "\n",
+ "New 'server1:1 (yzhu)' desktop is server1:1\n",
+ "\n",
+ "Starting applications specified in /work/stu/yzhu/.vnc/xstartup\n",
+ "Log file is /work/stu/yzhu/.vnc/server1:1.log\n",
+ "\n"
+ ]
+ }
+ ],
+ "source": [
+ "!vncserver"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "- Secondly, write the script executed in the docker environment: "
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 50,
+ "metadata": {},
+ "outputs": [],
+ "source": [
+ "!rm -rf Results\n",
+ "!mkdir Results"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 51,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Writing Results/script.sh\n"
+ ]
+ }
+ ],
+ "source": [
+ "%%writefile Results/script.sh\n",
+ "#!/bin/bash\n",
+ "source /home/dependency/oss-cad-suite/environment\n",
+ "export QT_QPA_PLATFORM=offscreen\n",
+ "cd /Flow\n",
+ "\n",
+ "# sub die\n",
+ "export DESIGN_CONFIG=./designs/130_180/riscv32i_3d/config.mk\n",
+ "make clean_all\n",
+ "make\n",
+ "cp ./results/130_180/riscv32i_3d/80MHz/6_final.gds /Work_dir/core.gds\n",
+ "cp ./reports/130_180/riscv32i_3d/80MHz/final_routing.webp.png /Work_dir/core.png\n",
+ "\n",
+ "# top die\n",
+ "export DESIGN_CONFIG=./designs/130_180/fakeram4/config.mk\n",
+ "make clean_all\n",
+ "make\n",
+ "cp ./results/gf180/fakeram4/80MHz/6_final.gds /Work_dir/sram.gds\n",
+ "cp ./reports/gf180/fakeram4/80MHz/final_routing.webp.png /Work_dir/sram.png\n",
+ "touch /Work_dir/script_completed.txt"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "- Next, harden the design: (ps. Since Jupyter Notebook is not convenient for displaying GUI, here we will only run a simple chip back-end script, and the specific analysis of some steps will be presented in the following chapters.)"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 52,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "4e4ea0b4f5d90f5747a8f5050e69c3db79f725fc5adf4817109e1c71a7ade9b6\n"
+ ]
+ }
+ ],
+ "source": [
+ "!docker run -d --rm --name Open3DFlow_s -e DISPALY=${DISPLAY} -v /tmp/.X11-unix:/tmp/.X11-unix -v ${HOME}/.Xauthority:/.Xauthority:ro -v $(pwd)/Open3DFlow:/Flow -v $(pwd)/Results:/Work_dir --network=host --privileged=true --security-opt seccomp=unconfined test:latest /bin/bash -c \"bash /Work_dir/script.sh && echo succeed!\""
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "\n",
+ "The docker script runs for a relatively long time in the background (about 30 minutes), and you could use the following codes to monitor whether the harden process has ended:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 53,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Waiting for the script to complete. Checking again after 20 seconds...\n"
+ ]
+ },
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Waiting for the script to complete. Checking again after 20 seconds...\n",
+ "Script execution in the container has completed!\n"
+ ]
+ }
+ ],
+ "source": [
+ "import os \n",
+ "import time \n",
+ " \n",
+ "# Define the waiting interval and the maximum waiting time.\n",
+ "wait_interval = 20 \n",
+ "max_wait_time = 3600 \n",
+ " \n",
+ "container_name = \"Open3DFlow_s\" \n",
+ "marker_file = \"./Results/script_completed.txt\" \n",
+ "marker_file_exists = False \n",
+ "start_time = time.time() \n",
+ " \n",
+ "# Poll to check if the marker file exists. \n",
+ "while not marker_file_exists and (time.time() - start_time) < max_wait_time: \n",
+ " if os.path.exists(marker_file): \n",
+ " marker_file_exists = True \n",
+ " else: \n",
+ " print(f\"Waiting for the script to complete. Checking again after {wait_interval} seconds...\") \n",
+ " time.sleep(wait_interval) \n",
+ " \n",
+ "if marker_file_exists: \n",
+ " print(\"Script execution in the container has completed!\") \n",
+ "else: \n",
+ " print(f\"Script did not complete within {max_wait_time} seconds.\") "
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {},
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Looking in indexes: https://mirrors.aliyun.com/pypi/simple/\n",
+ "Requirement already satisfied: gdstk in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (0.9.50)\n",
+ "Requirement already satisfied: matplotlib in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (3.2.2)\n",
+ "Requirement already satisfied: typing-extensions; python_version < \"3.11\" in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from gdstk) (4.4.0)\n",
+ "Requirement already satisfied: numpy in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from gdstk) (1.18.5)\n",
+ "Requirement already satisfied: cycler>=0.10 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (0.10.0)\n",
+ "Requirement already satisfied: kiwisolver>=1.0.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (1.2.0)\n",
+ "Requirement already satisfied: pyparsing!=2.0.4,!=2.1.2,!=2.1.6,>=2.0.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (2.4.7)\n",
+ "Requirement already satisfied: python-dateutil>=2.1 in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from matplotlib) (2.8.1)\n",
+ "Requirement already satisfied: six in /work/stu/yzhu/anaconda3/lib/python3.8/site-packages (from cycler>=0.10->matplotlib) (1.15.0)\n"
+ ]
+ }
+ ],
+ "source": [
+ "# insall the dependencies\n",
+ "!pip install gdstk matplotlib"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "This is the die image of the sub die (Core Die):"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 54,
+ "metadata": {},
+ "outputs": [
+ {
+ "data": {
+ "image/png": "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",
+ "text/plain": [
+ "