From 961728e1ac1a7a8307086e55885cb09da0b57758 Mon Sep 17 00:00:00 2001 From: Jiajie Chen Date: Sun, 21 Jan 2024 16:55:43 +0800 Subject: [PATCH] Initial auto-sync LoongArch support - Accompanied llvm changes: https://github.com/capstone-engine/llvm-capstone/pull/45 - MC Tests are generated from llvm - Instruction groups are implemented - Register accesses are implemented - Memory operands are handled for memory instructions - Code are formatted using clang-format of LLVM 17 Co-authored-by: CoA <1109673069@qq.com> --- .github/labeler.yml | 7 + .github/workflows/auto-sync.yml | 2 + CMakeLists.txt | 27 +- COMPILE.TXT | 1 + COMPILE_CMAKE.TXT | 3 +- CREDITS.TXT | 3 +- MCInst.h | 1 + Makefile | 14 +- Mapping.c | 1 + Mapping.h | 5 + README.md | 4 +- arch/LoongArch/LoongArchDisassembler.c | 199 + .../LoongArchDisassemblerExtension.c | 25 + .../LoongArchDisassemblerExtension.h | 14 + arch/LoongArch/LoongArchGenAsmWriter.inc | 6496 ++++++ arch/LoongArch/LoongArchGenCSAliasEnum.inc | 20 + arch/LoongArch/LoongArchGenCSAliasMnemMap.inc | 20 + arch/LoongArch/LoongArchGenCSFeatureName.inc | 18 + arch/LoongArch/LoongArchGenCSMappingInsn.inc | 18848 ++++++++++++++++ .../LoongArchGenCSMappingInsnName.inc | 2064 ++ .../LoongArch/LoongArchGenCSMappingInsnOp.inc | 15134 +++++++++++++ arch/LoongArch/LoongArchGenCSOpGroup.inc | 15 + .../LoongArchGenDisassemblerTables.inc | 6662 ++++++ arch/LoongArch/LoongArchGenInstrInfo.inc | 4991 ++++ arch/LoongArch/LoongArchGenRegisterInfo.inc | 708 + arch/LoongArch/LoongArchGenSubtargetInfo.inc | 38 + arch/LoongArch/LoongArchInstPrinter.c | 102 + arch/LoongArch/LoongArchInstPrinter.h | 54 + arch/LoongArch/LoongArchLinkage.h | 24 + arch/LoongArch/LoongArchMapping.c | 499 + arch/LoongArch/LoongArchMapping.h | 53 + arch/LoongArch/LoongArchModule.c | 53 + arch/LoongArch/LoongArchModule.h | 13 + cmake.sh | 3 + config.mk | 2 +- cs.c | 42 +- cstool/cstool.c | 14 + cstool/cstool.h | 1 + cstool/cstool_loongarch.c | 91 + include/capstone/capstone.h | 38 +- include/capstone/loongarch.h | 2516 +++ nmake.bat | 1 + suite/MC/LoongArch/absd.s.cs | 9 + suite/MC/LoongArch/add.s.cs | 6 + suite/MC/LoongArch/adda.s.cs | 5 + suite/MC/LoongArch/addi.s.cs | 5 + suite/MC/LoongArch/addw.s.cs | 25 + suite/MC/LoongArch/and.s.cs | 2 + suite/MC/LoongArch/andi.s.cs | 2 + suite/MC/LoongArch/andn.s.cs | 2 + suite/MC/LoongArch/arith.s.cs | 30 + suite/MC/LoongArch/arm-alu.s.cs | 9 + suite/MC/LoongArch/arm-jump.s.cs | 2 + suite/MC/LoongArch/arm-mov.s.cs | 6 + suite/MC/LoongArch/arm-shift.s.cs | 10 + suite/MC/LoongArch/atomic.s.cs | 5 + suite/MC/LoongArch/avg.s.cs | 9 + suite/MC/LoongArch/avgr.s.cs | 9 + suite/MC/LoongArch/barrier.s.cs | 3 + suite/MC/LoongArch/base.s.cs | 34 + suite/MC/LoongArch/bit-manipu.s.cs | 13 + suite/MC/LoongArch/bit-shift.s.cs | 9 + suite/MC/LoongArch/bitclr.s.cs | 9 + suite/MC/LoongArch/bitrev.s.cs | 9 + suite/MC/LoongArch/bitsel.s.cs | 2 + suite/MC/LoongArch/bitseli.s.cs | 2 + suite/MC/LoongArch/bitset.s.cs | 9 + suite/MC/LoongArch/bound-check.s.cs | 17 + suite/MC/LoongArch/branch.s.cs | 12 + suite/MC/LoongArch/bsll.s.cs | 2 + suite/MC/LoongArch/bsrl.s.cs | 2 + suite/MC/LoongArch/clo.s.cs | 5 + suite/MC/LoongArch/clz.s.cs | 5 + suite/MC/LoongArch/crc.s.cs | 9 + suite/MC/LoongArch/d-arith.s.cs | 25 + suite/MC/LoongArch/d-bound-check.s.cs | 6 + suite/MC/LoongArch/d-branch.s.cs | 2 + suite/MC/LoongArch/d-comp.s.cs | 24 + suite/MC/LoongArch/d-conv.s.cs | 23 + suite/MC/LoongArch/d-memory.s.cs | 6 + suite/MC/LoongArch/d-move.s.cs | 4 + suite/MC/LoongArch/div.s.cs | 9 + suite/MC/LoongArch/ext2xv.s.cs | 13 + suite/MC/LoongArch/exth.s.cs | 9 + suite/MC/LoongArch/extl.s.cs | 3 + suite/MC/LoongArch/extrins.s.cs | 5 + suite/MC/LoongArch/f-arith.s.cs | 24 + suite/MC/LoongArch/f-bound-check.s.cs | 5 + suite/MC/LoongArch/f-branch.s.cs | 3 + suite/MC/LoongArch/f-comp.s.cs | 23 + suite/MC/LoongArch/f-conv.s.cs | 8 + suite/MC/LoongArch/f-memory.s.cs | 5 + suite/MC/LoongArch/f-move.s.cs | 17 + suite/MC/LoongArch/fadd.s.cs | 3 + suite/MC/LoongArch/fclass.s.cs | 3 + suite/MC/LoongArch/fcmp.s.cs | 45 + suite/MC/LoongArch/fcvt.s.cs | 3 + suite/MC/LoongArch/fcvth.s.cs | 3 + suite/MC/LoongArch/fcvtl.s.cs | 3 + suite/MC/LoongArch/fdiv.s.cs | 3 + suite/MC/LoongArch/ffint.s.cs | 8 + suite/MC/LoongArch/flogb.s.cs | 3 + suite/MC/LoongArch/fmadd.s.cs | 3 + suite/MC/LoongArch/fmax.s.cs | 3 + suite/MC/LoongArch/fmaxa.s.cs | 3 + suite/MC/LoongArch/fmin.s.cs | 3 + suite/MC/LoongArch/fmina.s.cs | 3 + suite/MC/LoongArch/fmsub.s.cs | 3 + suite/MC/LoongArch/fmul.s.cs | 3 + suite/MC/LoongArch/fnmadd.s.cs | 3 + suite/MC/LoongArch/fnmsub.s.cs | 3 + suite/MC/LoongArch/frecip.s.cs | 5 + suite/MC/LoongArch/frint.s.cs | 11 + suite/MC/LoongArch/frsqrt.s.cs | 5 + suite/MC/LoongArch/frstp.s.cs | 5 + suite/MC/LoongArch/fsqrt.s.cs | 3 + suite/MC/LoongArch/fsub.s.cs | 3 + suite/MC/LoongArch/ftint.s.cs | 30 + suite/MC/LoongArch/haddw.s.cs | 9 + suite/MC/LoongArch/hsubw.s.cs | 9 + suite/MC/LoongArch/ilv.s.cs | 9 + suite/MC/LoongArch/insgr2vr.s.cs | 3 + suite/MC/LoongArch/insve0.s.cs | 3 + suite/MC/LoongArch/ld.s.cs | 3 + suite/MC/LoongArch/ldrepl.s.cs | 5 + suite/MC/LoongArch/lvz.s.cs | 6 + suite/MC/LoongArch/madd.s.cs | 5 + suite/MC/LoongArch/maddw.s.cs | 25 + suite/MC/LoongArch/max.s.cs | 17 + suite/MC/LoongArch/memory.s.cs | 10 + suite/MC/LoongArch/min.s.cs | 17 + suite/MC/LoongArch/misc.s.cs | 6 + suite/MC/LoongArch/mod.s.cs | 9 + suite/MC/LoongArch/mskgez.s.cs | 2 + suite/MC/LoongArch/mskltz.s.cs | 5 + suite/MC/LoongArch/msknz.s.cs | 2 + suite/MC/LoongArch/msub.s.cs | 5 + suite/MC/LoongArch/muh.s.cs | 9 + suite/MC/LoongArch/mul.s.cs | 5 + suite/MC/LoongArch/mulw.s.cs | 25 + suite/MC/LoongArch/neg.s.cs | 5 + suite/MC/LoongArch/nor.s.cs | 2 + suite/MC/LoongArch/nori.s.cs | 2 + suite/MC/LoongArch/or.s.cs | 2 + suite/MC/LoongArch/ori.s.cs | 2 + suite/MC/LoongArch/orn.s.cs | 2 + suite/MC/LoongArch/pack.s.cs | 9 + suite/MC/LoongArch/pcnt.s.cs | 5 + suite/MC/LoongArch/perm.s.cs | 2 + suite/MC/LoongArch/permi.s.cs | 4 + suite/MC/LoongArch/pick.s.cs | 9 + suite/MC/LoongArch/pickve.s.cs | 3 + suite/MC/LoongArch/pickve2gr.s.cs | 5 + suite/MC/LoongArch/pseudos.s.cs | 3 + suite/MC/LoongArch/repl128vei.s.cs | 5 + suite/MC/LoongArch/replgr2vr.s.cs | 5 + suite/MC/LoongArch/replve.s.cs | 5 + suite/MC/LoongArch/replve0.s.cs | 6 + suite/MC/LoongArch/replvei.s.cs | 5 + suite/MC/LoongArch/rotr.s.cs | 9 + suite/MC/LoongArch/sadd.s.cs | 9 + suite/MC/LoongArch/sat.s.cs | 9 + suite/MC/LoongArch/scr.s.cs | 5 + suite/MC/LoongArch/seq.s.cs | 9 + suite/MC/LoongArch/set.s.cs | 3 + suite/MC/LoongArch/setallnez.s.cs | 5 + suite/MC/LoongArch/setanyeqz.s.cs | 5 + suite/MC/LoongArch/shuf.s.cs | 5 + suite/MC/LoongArch/shuf4i.s.cs | 5 + suite/MC/LoongArch/signcov.s.cs | 5 + suite/MC/LoongArch/sle.s.cs | 17 + suite/MC/LoongArch/sll.s.cs | 9 + suite/MC/LoongArch/sllwil.s.cs | 7 + suite/MC/LoongArch/slt.s.cs | 17 + suite/MC/LoongArch/sra.s.cs | 9 + suite/MC/LoongArch/sran.s.cs | 4 + suite/MC/LoongArch/srani.s.cs | 5 + suite/MC/LoongArch/srar.s.cs | 9 + suite/MC/LoongArch/srarn.s.cs | 4 + suite/MC/LoongArch/srarni.s.cs | 5 + suite/MC/LoongArch/srl.s.cs | 9 + suite/MC/LoongArch/srln.s.cs | 4 + suite/MC/LoongArch/srlni.s.cs | 5 + suite/MC/LoongArch/srlr.s.cs | 9 + suite/MC/LoongArch/srlrn.s.cs | 4 + suite/MC/LoongArch/srlrni.s.cs | 5 + suite/MC/LoongArch/ssran.s.cs | 7 + suite/MC/LoongArch/ssrani.s.cs | 9 + suite/MC/LoongArch/ssrarn.s.cs | 7 + suite/MC/LoongArch/ssrarni.s.cs | 9 + suite/MC/LoongArch/ssrln.s.cs | 7 + suite/MC/LoongArch/ssrlni.s.cs | 9 + suite/MC/LoongArch/ssrlrn.s.cs | 7 + suite/MC/LoongArch/ssrlrni.s.cs | 9 + suite/MC/LoongArch/ssub.s.cs | 9 + suite/MC/LoongArch/st.s.cs | 3 + suite/MC/LoongArch/stelm.s.cs | 5 + suite/MC/LoongArch/sub.s.cs | 6 + suite/MC/LoongArch/subi.s.cs | 5 + suite/MC/LoongArch/subw.s.cs | 17 + suite/MC/LoongArch/valid.s.cs | 23 + suite/MC/LoongArch/x86-alu.s.cs | 49 + suite/MC/LoongArch/x86-jump.s.cs | 4 + suite/MC/LoongArch/x86-misc.s.cs | 10 + suite/MC/LoongArch/x86-shift.s.cs | 57 + suite/MC/LoongArch/xor.s.cs | 2 + suite/MC/LoongArch/xori.s.cs | 2 + suite/MC/Update.py | 61 +- suite/auto-sync/src/autosync/ASUpdater.py | 2 +- .../autosync/cpptranslator/CppTranslator.py | 12 + .../cpptranslator/Tests/test_patches.py | 24 +- .../autosync/cpptranslator/arch_config.json | 23 + .../cpptranslator/patches/AddCSDetail.py | 1 + .../autosync/cpptranslator/patches/Data.py | 35 + .../patches/DecodeInstruction.py | 6 +- .../cpptranslator/patches/Includes.py | 40 + .../cpptranslator/patches/Override.py | 36 + .../autosync/cpptranslator/patches/Size.py | 35 + .../patches/TemplateParamDecl.py | 12 +- suite/capstone_get_setup.c | 3 + suite/cstest/include/factory.h | 1 + suite/cstest/issues.cs | 32 + suite/cstest/src/capstone_test.c | 3 + suite/cstest/src/loongarch_detail.c | 51 + suite/cstest/src/main.c | 5 + suite/test_corpus.py | 2 + tests/test_loongarch.c | 145 + 227 files changed, 60674 insertions(+), 53 deletions(-) create mode 100644 arch/LoongArch/LoongArchDisassembler.c create mode 100644 arch/LoongArch/LoongArchDisassemblerExtension.c create mode 100644 arch/LoongArch/LoongArchDisassemblerExtension.h create mode 100644 arch/LoongArch/LoongArchGenAsmWriter.inc create mode 100644 arch/LoongArch/LoongArchGenCSAliasEnum.inc create mode 100644 arch/LoongArch/LoongArchGenCSAliasMnemMap.inc create mode 100644 arch/LoongArch/LoongArchGenCSFeatureName.inc create mode 100644 arch/LoongArch/LoongArchGenCSMappingInsn.inc create mode 100644 arch/LoongArch/LoongArchGenCSMappingInsnName.inc create mode 100644 arch/LoongArch/LoongArchGenCSMappingInsnOp.inc create mode 100644 arch/LoongArch/LoongArchGenCSOpGroup.inc create mode 100644 arch/LoongArch/LoongArchGenDisassemblerTables.inc create mode 100644 arch/LoongArch/LoongArchGenInstrInfo.inc create mode 100644 arch/LoongArch/LoongArchGenRegisterInfo.inc create mode 100644 arch/LoongArch/LoongArchGenSubtargetInfo.inc create mode 100644 arch/LoongArch/LoongArchInstPrinter.c create mode 100644 arch/LoongArch/LoongArchInstPrinter.h create mode 100644 arch/LoongArch/LoongArchLinkage.h create mode 100644 arch/LoongArch/LoongArchMapping.c create mode 100644 arch/LoongArch/LoongArchMapping.h create mode 100644 arch/LoongArch/LoongArchModule.c create mode 100644 arch/LoongArch/LoongArchModule.h create mode 100644 cstool/cstool_loongarch.c create mode 100644 include/capstone/loongarch.h create mode 100644 suite/MC/LoongArch/absd.s.cs create mode 100644 suite/MC/LoongArch/add.s.cs create mode 100644 suite/MC/LoongArch/adda.s.cs create mode 100644 suite/MC/LoongArch/addi.s.cs create mode 100644 suite/MC/LoongArch/addw.s.cs create mode 100644 suite/MC/LoongArch/and.s.cs create mode 100644 suite/MC/LoongArch/andi.s.cs create mode 100644 suite/MC/LoongArch/andn.s.cs create mode 100644 suite/MC/LoongArch/arith.s.cs create mode 100644 suite/MC/LoongArch/arm-alu.s.cs create mode 100644 suite/MC/LoongArch/arm-jump.s.cs create mode 100644 suite/MC/LoongArch/arm-mov.s.cs create mode 100644 suite/MC/LoongArch/arm-shift.s.cs create mode 100644 suite/MC/LoongArch/atomic.s.cs create mode 100644 suite/MC/LoongArch/avg.s.cs create mode 100644 suite/MC/LoongArch/avgr.s.cs create mode 100644 suite/MC/LoongArch/barrier.s.cs create mode 100644 suite/MC/LoongArch/base.s.cs create mode 100644 suite/MC/LoongArch/bit-manipu.s.cs create mode 100644 suite/MC/LoongArch/bit-shift.s.cs create mode 100644 suite/MC/LoongArch/bitclr.s.cs create mode 100644 suite/MC/LoongArch/bitrev.s.cs create mode 100644 suite/MC/LoongArch/bitsel.s.cs create mode 100644 suite/MC/LoongArch/bitseli.s.cs create mode 100644 suite/MC/LoongArch/bitset.s.cs create mode 100644 suite/MC/LoongArch/bound-check.s.cs create mode 100644 suite/MC/LoongArch/branch.s.cs create mode 100644 suite/MC/LoongArch/bsll.s.cs create mode 100644 suite/MC/LoongArch/bsrl.s.cs create mode 100644 suite/MC/LoongArch/clo.s.cs create mode 100644 suite/MC/LoongArch/clz.s.cs create mode 100644 suite/MC/LoongArch/crc.s.cs create mode 100644 suite/MC/LoongArch/d-arith.s.cs create mode 100644 suite/MC/LoongArch/d-bound-check.s.cs create mode 100644 suite/MC/LoongArch/d-branch.s.cs create mode 100644 suite/MC/LoongArch/d-comp.s.cs create mode 100644 suite/MC/LoongArch/d-conv.s.cs create mode 100644 suite/MC/LoongArch/d-memory.s.cs create mode 100644 suite/MC/LoongArch/d-move.s.cs create mode 100644 suite/MC/LoongArch/div.s.cs create mode 100644 suite/MC/LoongArch/ext2xv.s.cs create mode 100644 suite/MC/LoongArch/exth.s.cs create mode 100644 suite/MC/LoongArch/extl.s.cs create mode 100644 suite/MC/LoongArch/extrins.s.cs create mode 100644 suite/MC/LoongArch/f-arith.s.cs create mode 100644 suite/MC/LoongArch/f-bound-check.s.cs create mode 100644 suite/MC/LoongArch/f-branch.s.cs create mode 100644 suite/MC/LoongArch/f-comp.s.cs create mode 100644 suite/MC/LoongArch/f-conv.s.cs create mode 100644 suite/MC/LoongArch/f-memory.s.cs create mode 100644 suite/MC/LoongArch/f-move.s.cs create mode 100644 suite/MC/LoongArch/fadd.s.cs create mode 100644 suite/MC/LoongArch/fclass.s.cs create mode 100644 suite/MC/LoongArch/fcmp.s.cs create mode 100644 suite/MC/LoongArch/fcvt.s.cs create mode 100644 suite/MC/LoongArch/fcvth.s.cs create mode 100644 suite/MC/LoongArch/fcvtl.s.cs create mode 100644 suite/MC/LoongArch/fdiv.s.cs create mode 100644 suite/MC/LoongArch/ffint.s.cs create mode 100644 suite/MC/LoongArch/flogb.s.cs create mode 100644 suite/MC/LoongArch/fmadd.s.cs create mode 100644 suite/MC/LoongArch/fmax.s.cs create mode 100644 suite/MC/LoongArch/fmaxa.s.cs create mode 100644 suite/MC/LoongArch/fmin.s.cs create mode 100644 suite/MC/LoongArch/fmina.s.cs create mode 100644 suite/MC/LoongArch/fmsub.s.cs create mode 100644 suite/MC/LoongArch/fmul.s.cs create mode 100644 suite/MC/LoongArch/fnmadd.s.cs create mode 100644 suite/MC/LoongArch/fnmsub.s.cs create mode 100644 suite/MC/LoongArch/frecip.s.cs create mode 100644 suite/MC/LoongArch/frint.s.cs create mode 100644 suite/MC/LoongArch/frsqrt.s.cs create mode 100644 suite/MC/LoongArch/frstp.s.cs create mode 100644 suite/MC/LoongArch/fsqrt.s.cs create mode 100644 suite/MC/LoongArch/fsub.s.cs create mode 100644 suite/MC/LoongArch/ftint.s.cs create mode 100644 suite/MC/LoongArch/haddw.s.cs create mode 100644 suite/MC/LoongArch/hsubw.s.cs create mode 100644 suite/MC/LoongArch/ilv.s.cs create mode 100644 suite/MC/LoongArch/insgr2vr.s.cs create mode 100644 suite/MC/LoongArch/insve0.s.cs create mode 100644 suite/MC/LoongArch/ld.s.cs create mode 100644 suite/MC/LoongArch/ldrepl.s.cs create mode 100644 suite/MC/LoongArch/lvz.s.cs create mode 100644 suite/MC/LoongArch/madd.s.cs create mode 100644 suite/MC/LoongArch/maddw.s.cs create mode 100644 suite/MC/LoongArch/max.s.cs create mode 100644 suite/MC/LoongArch/memory.s.cs create mode 100644 suite/MC/LoongArch/min.s.cs create mode 100644 suite/MC/LoongArch/misc.s.cs create mode 100644 suite/MC/LoongArch/mod.s.cs create mode 100644 suite/MC/LoongArch/mskgez.s.cs create mode 100644 suite/MC/LoongArch/mskltz.s.cs create mode 100644 suite/MC/LoongArch/msknz.s.cs create mode 100644 suite/MC/LoongArch/msub.s.cs create mode 100644 suite/MC/LoongArch/muh.s.cs create mode 100644 suite/MC/LoongArch/mul.s.cs create mode 100644 suite/MC/LoongArch/mulw.s.cs create mode 100644 suite/MC/LoongArch/neg.s.cs create mode 100644 suite/MC/LoongArch/nor.s.cs create mode 100644 suite/MC/LoongArch/nori.s.cs create mode 100644 suite/MC/LoongArch/or.s.cs create mode 100644 suite/MC/LoongArch/ori.s.cs create mode 100644 suite/MC/LoongArch/orn.s.cs create mode 100644 suite/MC/LoongArch/pack.s.cs create mode 100644 suite/MC/LoongArch/pcnt.s.cs create mode 100644 suite/MC/LoongArch/perm.s.cs create mode 100644 suite/MC/LoongArch/permi.s.cs create mode 100644 suite/MC/LoongArch/pick.s.cs create mode 100644 suite/MC/LoongArch/pickve.s.cs create mode 100644 suite/MC/LoongArch/pickve2gr.s.cs create mode 100644 suite/MC/LoongArch/pseudos.s.cs create mode 100644 suite/MC/LoongArch/repl128vei.s.cs create mode 100644 suite/MC/LoongArch/replgr2vr.s.cs create mode 100644 suite/MC/LoongArch/replve.s.cs create mode 100644 suite/MC/LoongArch/replve0.s.cs create mode 100644 suite/MC/LoongArch/replvei.s.cs create mode 100644 suite/MC/LoongArch/rotr.s.cs create mode 100644 suite/MC/LoongArch/sadd.s.cs create mode 100644 suite/MC/LoongArch/sat.s.cs create mode 100644 suite/MC/LoongArch/scr.s.cs create mode 100644 suite/MC/LoongArch/seq.s.cs create mode 100644 suite/MC/LoongArch/set.s.cs create mode 100644 suite/MC/LoongArch/setallnez.s.cs create mode 100644 suite/MC/LoongArch/setanyeqz.s.cs create mode 100644 suite/MC/LoongArch/shuf.s.cs create mode 100644 suite/MC/LoongArch/shuf4i.s.cs create mode 100644 suite/MC/LoongArch/signcov.s.cs create mode 100644 suite/MC/LoongArch/sle.s.cs create mode 100644 suite/MC/LoongArch/sll.s.cs create mode 100644 suite/MC/LoongArch/sllwil.s.cs create mode 100644 suite/MC/LoongArch/slt.s.cs create mode 100644 suite/MC/LoongArch/sra.s.cs create mode 100644 suite/MC/LoongArch/sran.s.cs create mode 100644 suite/MC/LoongArch/srani.s.cs create mode 100644 suite/MC/LoongArch/srar.s.cs create mode 100644 suite/MC/LoongArch/srarn.s.cs create mode 100644 suite/MC/LoongArch/srarni.s.cs create mode 100644 suite/MC/LoongArch/srl.s.cs create mode 100644 suite/MC/LoongArch/srln.s.cs create mode 100644 suite/MC/LoongArch/srlni.s.cs create mode 100644 suite/MC/LoongArch/srlr.s.cs create mode 100644 suite/MC/LoongArch/srlrn.s.cs create mode 100644 suite/MC/LoongArch/srlrni.s.cs create mode 100644 suite/MC/LoongArch/ssran.s.cs create mode 100644 suite/MC/LoongArch/ssrani.s.cs create mode 100644 suite/MC/LoongArch/ssrarn.s.cs create mode 100644 suite/MC/LoongArch/ssrarni.s.cs create mode 100644 suite/MC/LoongArch/ssrln.s.cs create mode 100644 suite/MC/LoongArch/ssrlni.s.cs create mode 100644 suite/MC/LoongArch/ssrlrn.s.cs create mode 100644 suite/MC/LoongArch/ssrlrni.s.cs create mode 100644 suite/MC/LoongArch/ssub.s.cs create mode 100644 suite/MC/LoongArch/st.s.cs create mode 100644 suite/MC/LoongArch/stelm.s.cs create mode 100644 suite/MC/LoongArch/sub.s.cs create mode 100644 suite/MC/LoongArch/subi.s.cs create mode 100644 suite/MC/LoongArch/subw.s.cs create mode 100644 suite/MC/LoongArch/valid.s.cs create mode 100644 suite/MC/LoongArch/x86-alu.s.cs create mode 100644 suite/MC/LoongArch/x86-jump.s.cs create mode 100644 suite/MC/LoongArch/x86-misc.s.cs create mode 100644 suite/MC/LoongArch/x86-shift.s.cs create mode 100644 suite/MC/LoongArch/xor.s.cs create mode 100644 suite/MC/LoongArch/xori.s.cs create mode 100644 suite/auto-sync/src/autosync/cpptranslator/patches/Data.py create mode 100644 suite/auto-sync/src/autosync/cpptranslator/patches/Override.py create mode 100644 suite/auto-sync/src/autosync/cpptranslator/patches/Size.py create mode 100644 suite/cstest/src/loongarch_detail.c create mode 100644 tests/test_loongarch.c diff --git a/.github/labeler.yml b/.github/labeler.yml index a7c03cea93..6576425596 100644 --- a/.github/labeler.yml +++ b/.github/labeler.yml @@ -64,6 +64,13 @@ HPPA: - suite/MC/HPPA/* - tests/test_hppa.c +LoongArch: + - arch/LoongArch/* + - cstool/cstool_loongarch.c + - include/capstone/loongarch.h + - suite/MC/LoongArch/* + - tests/test_loongarch.c + M680X: - arch/M680X/* - cstool/cstool_m680x.c diff --git a/.github/workflows/auto-sync.yml b/.github/workflows/auto-sync.yml index 325d806c62..24ba6273b6 100644 --- a/.github/workflows/auto-sync.yml +++ b/.github/workflows/auto-sync.yml @@ -46,6 +46,7 @@ jobs: ./src/autosync/ASUpdater.py -d -a Alpha -s IncGen ./src/autosync/ASUpdater.py -d -a ARM -s IncGen ./src/autosync/ASUpdater.py -d -a PPC -s IncGen + ./src/autosync/ASUpdater.py -d -a LoongArch -s IncGen - name: CppTranslator - Patch tests run: | @@ -60,6 +61,7 @@ jobs: ./src/autosync/ASUpdater.py --ci -d -a AArch64 -s Translate ./src/autosync/ASUpdater.py --ci -d -a ARM -s Translate ./src/autosync/ASUpdater.py --ci -d -a PPC -s Translate + ./src/autosync/ASUpdater.py --ci -d -a LoongArch -s Translate - name: Test Header patcher run: | diff --git a/CMakeLists.txt b/CMakeLists.txt index 0a42ef28b8..2c58b89ebe 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -74,8 +74,8 @@ if(APPLE AND NOT CAPSTONE_BUILD_MACOS_THIN) set(CMAKE_OSX_ARCHITECTURES "x86_64;arm64") endif() -set(SUPPORTED_ARCHITECTURES ARM AARCH64 M68K MIPS PPC SPARC SYSZ XCORE X86 TMS320C64X M680X EVM MOS65XX WASM BPF RISCV SH TRICORE ALPHA HPPA) -set(SUPPORTED_ARCHITECTURE_LABELS ARM AARCH64 M68K MIPS PowerPC Sparc SystemZ XCore x86 TMS320C64x M680x EVM MOS65XX WASM BPF RISCV SH TriCore Alpha HPPA) +set(SUPPORTED_ARCHITECTURES ARM AARCH64 M68K MIPS PPC SPARC SYSZ XCORE X86 TMS320C64X M680X EVM MOS65XX WASM BPF RISCV SH TRICORE ALPHA HPPA LOONGARCH) +set(SUPPORTED_ARCHITECTURE_LABELS ARM AARCH64 M68K MIPS PowerPC Sparc SystemZ XCore x86 TMS320C64x M680x EVM MOS65XX WASM BPF RISCV SH TriCore Alpha HPPA LoongArch) list(LENGTH SUPPORTED_ARCHITECTURES count) math(EXPR count "${count}-1") @@ -180,6 +180,7 @@ set(HEADERS_COMMON include/capstone/sh.h include/capstone/alpha.h include/capstone/hppa.h + include/capstone/loongarch.h ) set(TEST_SOURCES test_basic.c test_detail.c test_skipdata.c test_iter.c) @@ -646,6 +647,24 @@ if(CAPSTONE_HPPA_SUPPORT) set(TEST_SOURCES ${TEST_SOURCES} test_hppa.c) endif() +if (CAPSTONE_LOONGARCH_SUPPORT) + add_definitions(-DCAPSTONE_HAS_LOONGARCH) + set(SOURCES_LOONGARCH + arch/LoongArch/LoongArchDisassembler.c + arch/LoongArch/LoongArchDisassemblerExtension.c + arch/LoongArch/LoongArchInstPrinter.c + arch/LoongArch/LoongArchMapping.c + arch/LoongArch/LoongArchModule.c + ) + set(HEADERS_LOONGARCH + arch/LoongArch/LoongArchInstPrinter.h + arch/LoongArch/LoongArchMapping.h + arch/LoongArch/LoongArchModule.h + arch/LoongArch/LoongArchLinkage.h + ) + set(TEST_SOURCES ${TEST_SOURCES} test_loongarch.c) +endif () + if (CAPSTONE_OSXKERNEL_SUPPORT) add_definitions(-DCAPSTONE_HAS_OSXKERNEL) endif() @@ -672,6 +691,7 @@ set(ALL_SOURCES ${SOURCES_TRICORE} ${SOURCES_ALPHA} ${SOURCES_HPPA} + ${SOURCES_LOONGARCH} ) set(ALL_HEADERS @@ -697,6 +717,7 @@ set(ALL_HEADERS ${HEADERS_TRICORE} ${HEADERS_ALPHA} ${HEADERS_HPPA} + ${HEADERS_LOONGARCH} ) ## properties @@ -762,6 +783,7 @@ source_group("Source\\SH" FILES ${SOURCES_SH}) source_group("Source\\TriCore" FILES ${SOURCES_TRICORE}) source_group("Source\\Alpha" FILES ${SOURCES_ALPHA}) source_group("Source\\HPPA" FILES ${SOURCES_HPPA}) +source_group("Source\\LoongArch" FILES ${SOURCES_LOONGARCH}) source_group("Include\\Common" FILES ${HEADERS_COMMON}) source_group("Include\\Engine" FILES ${HEADERS_ENGINE}) @@ -785,6 +807,7 @@ source_group("Include\\SH" FILES ${HEADERS_SH}) source_group("Include\\TriCore" FILES ${HEADERS_TRICORE}) source_group("Include\\Alpha" FILES ${HEADERS_ALPHA}) source_group("Include\\HPPA" FILES ${HEADERS_HPPA}) +source_group("Include\\LoongArch" FILES ${HEADERS_LOONGARCH}) ## installation if(CAPSTONE_INSTALL) diff --git a/COMPILE.TXT b/COMPILE.TXT index 8674c8dfd3..63cbf3ecdc 100644 --- a/COMPILE.TXT +++ b/COMPILE.TXT @@ -90,6 +90,7 @@ Capstone requires no prerequisite packages, so it is easy to compile & install. /usr/include/capstone/capstone.h /usr/include/capstone/evm.h /usr/include/capstone/hppa.h + /usr/include/capstone/loongarch.h /usr/include/capstone/m680x.h /usr/include/capstone/m68k.h /usr/include/capstone/mips.h diff --git a/COMPILE_CMAKE.TXT b/COMPILE_CMAKE.TXT index dfe491f966..21185f795e 100644 --- a/COMPILE_CMAKE.TXT +++ b/COMPILE_CMAKE.TXT @@ -23,6 +23,7 @@ Get CMake for free from http://www.cmake.org. - CAPSTONE_AARCH64_SUPPORT: support AARCH64. Run cmake with -DCAPSTONE_AARCH64_SUPPORT=0 to remove AARCH64. - CAPSTONE_ALPHA_SUPPORT: support Alpha. Run cmake with -DCAPSTONE_ALPHA_SUPPORT=0 to remove Alpha. - CAPSTONE_HPPA_SUPPORT: support HPPA. Run cmake with -DCAPSTONE_HPPA_SUPPORT=0 to remove HPPA. + - CAPSTONE_LOONGARCH_SUPPORT: support LoongArch. Run cmake with -DCAPSTONE_LOONGARCH_SUPPORT=0 to remove LoongArch. - CAPSTONE_M680X_SUPPORT: support M680X. Run cmake with -DCAPSTONE_M680X_SUPPORT=0 to remove M680X. - CAPSTONE_M68K_SUPPORT: support M68K. Run cmake with -DCAPSTONE_M68K_SUPPORT=0 to remove M68K. - CAPSTONE_MIPS_SUPPORT: support Mips. Run cmake with -DCAPSTONE_MIPS_SUPPORT=0 to remove Mips. @@ -118,7 +119,7 @@ Get CMake for free from http://www.cmake.org. Will just target the x86 architecture. The list of available architectures is: ARM, AARCH64, M68K, MIPS, PowerPC, Sparc, SystemZ, XCore, x86, TMS320C64x, M680x, EVM, MOS65XX, - WASM, BPF, RISCV, Alpha, HPPA. + WASM, BPF, RISCV, Alpha, HPPA, LoongArch. (4) You can also create an installation image with cmake, by using the 'install' target. Use: diff --git a/CREDITS.TXT b/CREDITS.TXT index 81b94a8c0d..e725a2c317 100644 --- a/CREDITS.TXT +++ b/CREDITS.TXT @@ -88,4 +88,5 @@ fanfuqiang & citypw & porto703 : RISCV architecture. Josh "blacktop" Maine: Arm64 architecture improvements. Finn Wilkinson: AArch64 update to Armv9.2-a (SME + SVE2 support) Billow & Sidneyp : TriCore architecture. -Dmitry Sibirtsev: Alpha & HPPA architecture. \ No newline at end of file +Dmitry Sibirtsev: Alpha & HPPA architecture. +Jiajie Chen & Yanglin Xun: LoongArch architecture. \ No newline at end of file diff --git a/MCInst.h b/MCInst.h index 3c4bd34ad6..118e70b4a6 100644 --- a/MCInst.h +++ b/MCInst.h @@ -26,6 +26,7 @@ typedef struct MCInst MCInst; typedef struct cs_struct cs_struct; typedef struct MCOperand MCOperand; +typedef unsigned MCRegister; /// MCOperand - Instances of this class represent operands of the MCInst class. /// This is a simple discriminated union. diff --git a/Makefile b/Makefile index 7a526abd16..15ca6db23c 100644 --- a/Makefile +++ b/Makefile @@ -345,12 +345,21 @@ ifneq (,$(findstring hppa,$(CAPSTONE_ARCHS))) LIBOBJ_HPPA += $(LIBSRC_HPPA:%.c=$(OBJDIR)/%.o) endif +DEP_LOONGARCH = +DEP_LOONGARCH += $(wildcard arch/LoongArch/LoongArch*.inc) + +LIBOBJ_LOONGARCH = +ifneq (,$(findstring loongarch,$(CAPSTONE_ARCHS))) + CFLAGS += -DCAPSTONE_HAS_LOONGARCH + LIBSRC_LOONGARCH += $(wildcard arch/LoongArch/LoongArch*.c) + LIBOBJ_LOONGARCH += $(LIBSRC_LOONGARCH:%.c=$(OBJDIR)/%.o) +endif LIBOBJ = LIBOBJ += $(OBJDIR)/cs.o $(OBJDIR)/utils.o $(OBJDIR)/SStream.o $(OBJDIR)/MCInstrDesc.o $(OBJDIR)/MCRegisterInfo.o $(OBJDIR)/MCInst.o $(OBJDIR)/MCInstPrinter.o $(OBJDIR)/Mapping.o LIBOBJ += $(LIBOBJ_ARM) $(LIBOBJ_AARCH64) $(LIBOBJ_M68K) $(LIBOBJ_MIPS) $(LIBOBJ_PPC) $(LIBOBJ_RISCV) $(LIBOBJ_SPARC) $(LIBOBJ_SYSZ) $(LIBOBJ_SH) LIBOBJ += $(LIBOBJ_X86) $(LIBOBJ_XCORE) $(LIBOBJ_TMS320C64X) $(LIBOBJ_M680X) $(LIBOBJ_EVM) $(LIBOBJ_MOS65XX) $(LIBOBJ_WASM) $(LIBOBJ_BPF) -LIBOBJ += $(LIBOBJ_TRICORE) $(LIBOBJ_ALPHA) $(LIBOBJ_HPPA) +LIBOBJ += $(LIBOBJ_TRICORE) $(LIBOBJ_ALPHA) $(LIBOBJ_HPPA) $(LIBOBJ_LOONGARCH) ifeq ($(PKG_EXTRA),) @@ -488,6 +497,7 @@ $(LIBOBJ_BPF): $(DEP_BPF) $(LIBOBJ_TRICORE): $(DEP_TRICORE) $(LIBOBJ_ALPHA): $(DEP_ALPHA) $(LIBOBJ_HPPA): $(DEP_HPPA) +$(LIBOBJ_LOONGARCH): $(DEP_LOONGARCH) ifeq ($(CAPSTONE_STATIC),yes) $(ARCHIVE): $(LIBOBJ) @@ -575,11 +585,13 @@ dist: TESTS = test_basic test_detail test_arm test_aarch64 test_m68k test_mips test_ppc test_sparc test_tricore test_hppa TESTS += test_systemz test_x86 test_xcore test_iter test_evm test_riscv test_mos65xx test_wasm test_bpf test_alpha +TESTS += test_loongarch TESTS += test_basic.static test_detail.static test_arm.static test_aarch64.static TESTS += test_m68k.static test_mips.static test_ppc.static test_sparc.static TESTS += test_systemz.static test_x86.static test_xcore.static test_m680x.static TESTS += test_skipdata test_skipdata.static test_iter.static test_evm.static test_riscv.static TESTS += test_mos65xx.static test_wasm.static test_bpf.static test_alpha.static test_hppa.static +TESTS += test_loongarch.static check: $(TESTS) diff --git a/Mapping.c b/Mapping.c index 5483bee6ad..5e3246ca8d 100644 --- a/Mapping.c +++ b/Mapping.c @@ -335,6 +335,7 @@ DEFINE_get_detail_op(tricore, TriCore); DEFINE_get_detail_op(aarch64, AArch64); DEFINE_get_detail_op(alpha, Alpha); DEFINE_get_detail_op(hppa, HPPA); +DEFINE_get_detail_op(loongarch, LoongArch); /// Returns true if for this architecture the /// alias operands should be filled. diff --git a/Mapping.h b/Mapping.h index 9b5de0afe0..6d0be49acd 100644 --- a/Mapping.h +++ b/Mapping.h @@ -30,6 +30,7 @@ typedef struct insn_map { bool indirect_branch; // indirect branch instruction? union { ppc_suppl_info ppc; + loongarch_suppl_info loongarch; } suppl_info; // Supplementary information for each instruction. #endif } insn_map; @@ -125,6 +126,7 @@ DECL_get_detail_op(tricore, TriCore); DECL_get_detail_op(aarch64, AArch64); DECL_get_detail_op(alpha, Alpha); DECL_get_detail_op(hppa, HPPA); +DECL_get_detail_op(loongarch, LoongArch); /// Increments the detail->arch.op_count by one. #define DEFINE_inc_detail_op_count(arch, ARCH) \ @@ -152,6 +154,8 @@ DEFINE_inc_detail_op_count(alpha, Alpha); DEFINE_dec_detail_op_count(alpha, Alpha); DEFINE_inc_detail_op_count(hppa, HPPA); DEFINE_dec_detail_op_count(hppa, HPPA); +DEFINE_inc_detail_op_count(loongarch, LoongArch); +DEFINE_dec_detail_op_count(loongarch, LoongArch); /// Returns true if a memory operand is currently edited. static inline bool doing_mem(const MCInst *MI) @@ -179,6 +183,7 @@ DEFINE_get_arch_detail(tricore, TriCore); DEFINE_get_arch_detail(aarch64, AArch64); DEFINE_get_arch_detail(alpha, Alpha); DEFINE_get_arch_detail(hppa, HPPA); +DEFINE_get_arch_detail(loongarch, LoongArch); static inline bool detail_is_set(const MCInst *MI) { diff --git a/README.md b/README.md index 5901725ac1..94f610ec50 100644 --- a/README.md +++ b/README.md @@ -16,8 +16,8 @@ Created by Nguyen Anh Quynh, then developed and maintained by a small community, Capstone offers some unparalleled features: - Support multiple hardware architectures: ARM, AArch64, Alpha, BPF, Ethereum VM, - HP PA-RISC (HPPA), M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, Sparc, - SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86 (16, 32, 64). + LoongArch, HP PA-RISC (HPPA), M68K, M680X, Mips, MOS65XX, PPC, RISC-V(rv32G/rv64G), SH, + Spar, SystemZ, TMS320C64X, TriCore, Webassembly, XCore and X86 (16, 32, 64). - Having clean/simple/lightweight/intuitive architecture-neutral API. diff --git a/arch/LoongArch/LoongArchDisassembler.c b/arch/LoongArch/LoongArchDisassembler.c new file mode 100644 index 0000000000..25346de040 --- /dev/null +++ b/arch/LoongArch/LoongArchDisassembler.c @@ -0,0 +1,199 @@ +/* Capstone Disassembly Engine, http://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2023 */ +/* Automatically translated source file from LLVM. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Only small edits allowed. */ +/* For multiple similar edits, please create a Patch for the translator. */ + +/* Capstone's C++ file translator: */ +/* https://github.com/capstone-engine/capstone/tree/next/suite/auto-sync */ + +//===-- LoongArchDisassembler.cpp - Disassembler for LoongArch ------------===// +// +// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions. +// See https://llvm.org/LICENSE.txt for license information. +// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception +// +//===----------------------------------------------------------------------===// +// +// This file implements the LoongArchDisassembler class. +// +//===----------------------------------------------------------------------===// +#include +#include +#include +#include + +#include "../../MCInst.h" +#include "../../MathExtras.h" +#include "../../MCInstPrinter.h" +#include "../../MCDisassembler.h" +#include "../../MCFixedLenDisassembler.h" +#include "../../cs_priv.h" +#include "../../utils.h" +#include "LoongArchDisassemblerExtension.h" +#define GET_SUBTARGETINFO_ENUM +#include "LoongArchGenSubtargetInfo.inc" + +#define GET_INSTRINFO_ENUM +#include "LoongArchGenInstrInfo.inc" + +#define GET_REGINFO_ENUM +#include "LoongArchGenRegisterInfo.inc" + +#define CONCAT(a, b) CONCAT_(a, b) +#define CONCAT_(a, b) a##_##b + +#define DEBUG_TYPE "loongarch-disassembler" + +static DecodeStatus DecodeGPRRegisterClass(MCInst *Inst, uint64_t RegNo, + uint64_t Address, + const void *Decoder) +{ + if (RegNo >= 32) + return MCDisassembler_Fail; + MCOperand_CreateReg0(Inst, (LoongArch_R0 + RegNo)); + return MCDisassembler_Success; +} + +static DecodeStatus DecodeFPR32RegisterClass(MCInst *Inst, uint64_t RegNo, + uint64_t Address, + const void *Decoder) +{ + if (RegNo >= 32) + return MCDisassembler_Fail; + MCOperand_CreateReg0(Inst, (LoongArch_F0 + RegNo)); + return MCDisassembler_Success; +} + +static DecodeStatus DecodeFPR64RegisterClass(MCInst *Inst, uint64_t RegNo, + uint64_t Address, + const void *Decoder) +{ + if (RegNo >= 32) + return MCDisassembler_Fail; + MCOperand_CreateReg0(Inst, (LoongArch_F0_64 + RegNo)); + return MCDisassembler_Success; +} + +static DecodeStatus DecodeCFRRegisterClass(MCInst *Inst, uint64_t RegNo, + uint64_t Address, + const void *Decoder) +{ + if (RegNo >= 8) + return MCDisassembler_Fail; + MCOperand_CreateReg0(Inst, (LoongArch_FCC0 + RegNo)); + return MCDisassembler_Success; +} + +static DecodeStatus DecodeFCSRRegisterClass(MCInst *Inst, uint64_t RegNo, + uint64_t Address, + const void *Decoder) +{ + if (RegNo >= 4) + return MCDisassembler_Fail; + MCOperand_CreateReg0(Inst, (LoongArch_FCSR0 + RegNo)); + return MCDisassembler_Success; +} + +static DecodeStatus DecodeLSX128RegisterClass(MCInst *Inst, uint64_t RegNo, + uint64_t Address, + const void *Decoder) +{ + if (RegNo >= 32) + return MCDisassembler_Fail; + MCOperand_CreateReg0(Inst, (LoongArch_VR0 + RegNo)); + return MCDisassembler_Success; +} + +static DecodeStatus DecodeLASX256RegisterClass(MCInst *Inst, uint64_t RegNo, + uint64_t Address, + const void *Decoder) +{ + if (RegNo >= 32) + return MCDisassembler_Fail; + MCOperand_CreateReg0(Inst, (LoongArch_XR0 + RegNo)); + return MCDisassembler_Success; +} + +static DecodeStatus DecodeSCRRegisterClass(MCInst *Inst, uint64_t RegNo, + uint64_t Address, + const void *Decoder) +{ + if (RegNo >= 4) + return MCDisassembler_Fail; + MCOperand_CreateReg0(Inst, (LoongArch_SCR0 + RegNo)); + return MCDisassembler_Success; +} + +#define DEFINE_decodeUImmOperand(N, P) \ + static DecodeStatus CONCAT(decodeUImmOperand, CONCAT(N, P))( \ + MCInst * Inst, uint64_t Imm, int64_t Address, \ + const void *Decoder) \ + { \ + MCOperand_CreateImm0(Inst, (Imm + P)); \ + return MCDisassembler_Success; \ + } +DEFINE_decodeUImmOperand(2, 1); +DEFINE_decodeUImmOperand(12, 0); + +#define DEFINE_decodeSImmOperand(N, S) \ + static DecodeStatus CONCAT(decodeSImmOperand, CONCAT(N, S))( \ + MCInst * Inst, uint64_t Imm, int64_t Address, \ + const void *Decoder) \ + { \ + MCOperand_CreateImm0(Inst, (SignExtend64((Imm << S), N + S))); \ + return MCDisassembler_Success; \ + } +DEFINE_decodeSImmOperand(5, 0); +DEFINE_decodeSImmOperand(12, 0); +DEFINE_decodeSImmOperand(16, 0); +DEFINE_decodeSImmOperand(20, 0); +DEFINE_decodeSImmOperand(14, 2); +DEFINE_decodeSImmOperand(9, 3); +DEFINE_decodeSImmOperand(10, 2); +DEFINE_decodeSImmOperand(11, 1); +DEFINE_decodeSImmOperand(8, 3); +DEFINE_decodeSImmOperand(8, 2); +DEFINE_decodeSImmOperand(8, 1); +DEFINE_decodeSImmOperand(8, 0); +DEFINE_decodeSImmOperand(21, 2); +DEFINE_decodeSImmOperand(16, 2); +DEFINE_decodeSImmOperand(26, 2); +DEFINE_decodeSImmOperand(13, 0); + +#include "LoongArchGenDisassemblerTables.inc" + +static DecodeStatus getInstruction(MCInst *MI, uint64_t *Size, + const uint8_t *Bytes, size_t BytesLen, + uint64_t Address, SStream *CS) +{ + uint32_t Insn; + DecodeStatus Result; + + // We want to read exactly 4 bytes of data because all LoongArch instructions + // are fixed 32 bits. + if (BytesLen < 4) { + *Size = 0; + return MCDisassembler_Fail; + } + + Insn = readBytes32(MI, Bytes); + // Calling the auto-generated decoder function. + Result = decodeInstruction_4(DecoderTable32, MI, Insn, Address, NULL); + *Size = 4; + + return Result; +} + +DecodeStatus LoongArch_LLVM_getInstruction(MCInst *MI, uint64_t *Size, + const uint8_t *Bytes, + size_t BytesLen, uint64_t Address, + SStream *CS) +{ + return getInstruction(MI, Size, Bytes, BytesLen, Address, CS); +} diff --git a/arch/LoongArch/LoongArchDisassemblerExtension.c b/arch/LoongArch/LoongArchDisassemblerExtension.c new file mode 100644 index 0000000000..bd14400146 --- /dev/null +++ b/arch/LoongArch/LoongArchDisassemblerExtension.c @@ -0,0 +1,25 @@ +/* Capstone Disassembly Engine */ +/* By Nguyen Anh Quynh , 2013-2019 */ +/* Rot127 , 2022-2023 */ +/* Jiajie Chen , 2024 */ +/* Yanglin Xun <1109673069@qq.com>, 2024 */ + +#include + +#include "LoongArchDisassemblerExtension.h" + +#define GET_SUBTARGETINFO_ENUM +#include "LoongArchGenSubtargetInfo.inc" + +bool LoongArch_getFeatureBits(unsigned int mode, unsigned int feature) +{ + // handle loongarch32/64 + if (feature == LoongArch_Feature64Bit) { + if (mode & CS_MODE_LOONGARCH64) + return true; + return false; + } + + // otherwise we support everything + return true; +} \ No newline at end of file diff --git a/arch/LoongArch/LoongArchDisassemblerExtension.h b/arch/LoongArch/LoongArchDisassemblerExtension.h new file mode 100644 index 0000000000..85ec91c3f7 --- /dev/null +++ b/arch/LoongArch/LoongArchDisassemblerExtension.h @@ -0,0 +1,14 @@ +/* Capstone Disassembly Engine */ +/* By Nguyen Anh Quynh , 2013-2019 */ +/* Rot127 , 2022-2023 */ +/* Jiajie Chen , 2024 */ +/* Yanglin Xun <1109673069@qq.com>, 2024 */ + +#ifndef CS_LOONGARCH_DISASSEMBLER_EXTENSION_H +#define CS_LOONGARCH_DISASSEMBLER_EXTENSION_H + +#include "capstone/capstone.h" + +bool LoongArch_getFeatureBits(unsigned int mode, unsigned int feature); + +#endif // CS_LOONGARCH_DISASSEMBLER_EXTENSION_H diff --git a/arch/LoongArch/LoongArchGenAsmWriter.inc b/arch/LoongArch/LoongArchGenAsmWriter.inc new file mode 100644 index 0000000000..d64b312872 --- /dev/null +++ b/arch/LoongArch/LoongArchGenAsmWriter.inc @@ -0,0 +1,6496 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + +#include +#include + +/// getMnemonic - This method is automatically generated by tablegen +/// from the instruction set description. +static MnemonicBitsInfo getMnemonic(MCInst *MI, SStream *O) { +#ifndef CAPSTONE_DIET + static const char AsmStrs[] = { + /* 0 */ "jiscr0\t\0" + /* 8 */ "jiscr1\t\0" + /* 16 */ "tail36\t\0" + /* 24 */ "call36\t\0" + /* 32 */ "xvreplve0.b\t\0" + /* 45 */ "xvadda.b\t\0" + /* 55 */ "x86sra.b\t\0" + /* 65 */ "xvsra.b\t\0" + /* 74 */ "amadd_db.b\t\0" + /* 86 */ "amswap_db.b\t\0" + /* 99 */ "amcas_db.b\t\0" + /* 111 */ "x86sub.b\t\0" + /* 121 */ "xvmsub.b\t\0" + /* 131 */ "xvssub.b\t\0" + /* 141 */ "xvsub.b\t\0" + /* 150 */ "x86sbc.b\t\0" + /* 160 */ "x86adc.b\t\0" + /* 170 */ "x86dec.b\t\0" + /* 180 */ "x86inc.b\t\0" + /* 190 */ "vext2xv.d.b\t\0" + /* 203 */ "x86add.b\t\0" + /* 213 */ "amadd.b\t\0" + /* 222 */ "xvmadd.b\t\0" + /* 232 */ "xvsadd.b\t\0" + /* 242 */ "xvadd.b\t\0" + /* 251 */ "ld.b\t\0" + /* 257 */ "x86and.b\t\0" + /* 267 */ "xvpackod.b\t\0" + /* 279 */ "xvpickod.b\t\0" + /* 291 */ "xvmod.b\t\0" + /* 300 */ "iocsrrd.b\t\0" + /* 311 */ "xvabsd.b\t\0" + /* 321 */ "ldle.b\t\0" + /* 329 */ "xvsle.b\t\0" + /* 338 */ "stle.b\t\0" + /* 346 */ "xvreplve.b\t\0" + /* 358 */ "xvshuf.b\t\0" + /* 368 */ "xvneg.b\t\0" + /* 377 */ "xvavg.b\t\0" + /* 386 */ "xvsubwod.h.b\t\0" + /* 400 */ "xvmaddwod.h.b\t\0" + /* 415 */ "xvaddwod.h.b\t\0" + /* 429 */ "xvmulwod.h.b\t\0" + /* 443 */ "xvexth.h.b\t\0" + /* 455 */ "xvsllwil.h.b\t\0" + /* 469 */ "xvsubwev.h.b\t\0" + /* 483 */ "xvmaddwev.h.b\t\0" + /* 498 */ "xvaddwev.h.b\t\0" + /* 512 */ "xvmulwev.h.b\t\0" + /* 526 */ "vext2xv.h.b\t\0" + /* 539 */ "xvhsubw.h.b\t\0" + /* 552 */ "xvhaddw.h.b\t\0" + /* 565 */ "xvmuh.b\t\0" + /* 574 */ "xvilvh.b\t\0" + /* 584 */ "xvshuf4i.b\t\0" + /* 596 */ "x86srai.b\t\0" + /* 607 */ "xvsrai.b\t\0" + /* 617 */ "xvandi.b\t\0" + /* 627 */ "xvslei.b\t\0" + /* 637 */ "xvrepl128vei.b\t\0" + /* 653 */ "vreplvei.b\t\0" + /* 665 */ "x86rcli.b\t\0" + /* 676 */ "xvbitseli.b\t\0" + /* 689 */ "x86slli.b\t\0" + /* 700 */ "xvslli.b\t\0" + /* 710 */ "xvrepli.b\t\0" + /* 721 */ "x86srli.b\t\0" + /* 732 */ "xvsrli.b\t\0" + /* 742 */ "x86rotli.b\t\0" + /* 754 */ "xvmini.b\t\0" + /* 764 */ "xvfrstpi.b\t\0" + /* 776 */ "xvseqi.b\t\0" + /* 786 */ "xvsrari.b\t\0" + /* 797 */ "x86rcri.b\t\0" + /* 808 */ "xvbitclri.b\t\0" + /* 821 */ "xvsrlri.b\t\0" + /* 832 */ "xvnori.b\t\0" + /* 842 */ "xvori.b\t\0" + /* 851 */ "xvxori.b\t\0" + /* 861 */ "x86rotri.b\t\0" + /* 873 */ "xvrotri.b\t\0" + /* 884 */ "xvbitseti.b\t\0" + /* 897 */ "xvslti.b\t\0" + /* 907 */ "xvbitrevi.b\t\0" + /* 920 */ "xvmaxi.b\t\0" + /* 930 */ "x86rcl.b\t\0" + /* 940 */ "x86sll.b\t\0" + /* 950 */ "xvsll.b\t\0" + /* 959 */ "xvldrepl.b\t\0" + /* 971 */ "x86srl.b\t\0" + /* 981 */ "xvsrl.b\t\0" + /* 990 */ "x86rotl.b\t\0" + /* 1001 */ "x86mul.b\t\0" + /* 1011 */ "xvmul.b\t\0" + /* 1020 */ "xvilvl.b\t\0" + /* 1030 */ "xvstelm.b\t\0" + /* 1041 */ "xvmin.b\t\0" + /* 1050 */ "xvclo.b\t\0" + /* 1059 */ "amswap.b\t\0" + /* 1069 */ "xvfrstp.b\t\0" + /* 1080 */ "xvseq.b\t\0" + /* 1089 */ "xvsrar.b\t\0" + /* 1099 */ "x86rcr.b\t\0" + /* 1109 */ "vpickve2gr.b\t\0" + /* 1123 */ "xvavgr.b\t\0" + /* 1133 */ "xvbitclr.b\t\0" + /* 1145 */ "xvsrlr.b\t\0" + /* 1155 */ "x86or.b\t\0" + /* 1164 */ "x86xor.b\t\0" + /* 1174 */ "x86rotr.b\t\0" + /* 1185 */ "xvrotr.b\t\0" + /* 1195 */ "xvreplgr2vr.b\t\0" + /* 1210 */ "vinsgr2vr.b\t\0" + /* 1223 */ "iocsrwr.b\t\0" + /* 1234 */ "amcas.b\t\0" + /* 1243 */ "xvextrins.b\t\0" + /* 1256 */ "xvsat.b\t\0" + /* 1265 */ "xvbitset.b\t\0" + /* 1277 */ "ldgt.b\t\0" + /* 1285 */ "stgt.b\t\0" + /* 1293 */ "xvslt.b\t\0" + /* 1302 */ "xvpcnt.b\t\0" + /* 1312 */ "st.b\t\0" + /* 1318 */ "xvmaddwod.h.bu.b\t\0" + /* 1336 */ "xvaddwod.h.bu.b\t\0" + /* 1353 */ "xvmulwod.h.bu.b\t\0" + /* 1370 */ "xvmaddwev.h.bu.b\t\0" + /* 1388 */ "xvaddwev.h.bu.b\t\0" + /* 1405 */ "xvmulwev.h.bu.b\t\0" + /* 1422 */ "xvpackev.b\t\0" + /* 1434 */ "xvpickev.b\t\0" + /* 1446 */ "xvbitrev.b\t\0" + /* 1458 */ "xvdiv.b\t\0" + /* 1467 */ "xvsigncov.b\t\0" + /* 1480 */ "ext.w.b\t\0" + /* 1489 */ "vext2xv.w.b\t\0" + /* 1502 */ "xvmax.b\t\0" + /* 1511 */ "ldx.b\t\0" + /* 1518 */ "stx.b\t\0" + /* 1525 */ "xvmskgez.b\t\0" + /* 1537 */ "xvsetallnez.b\t\0" + /* 1552 */ "xvclz.b\t\0" + /* 1561 */ "xvmsknz.b\t\0" + /* 1572 */ "xvsetanyeqz.b\t\0" + /* 1587 */ "xvmskltz.b\t\0" + /* 1599 */ "bitrev.4b\t\0" + /* 1610 */ "bitrev.8b\t\0" + /* 1621 */ "invtlb\t\0" + /* 1629 */ "xvreplve0.d\t\0" + /* 1642 */ "xvinsve0.d\t\0" + /* 1654 */ "xvadda.d\t\0" + /* 1664 */ "xvfmina.d\t\0" + /* 1675 */ "x86sra.d\t\0" + /* 1685 */ "xvsra.d\t\0" + /* 1694 */ "xvfmaxa.d\t\0" + /* 1705 */ "amadd_db.d\t\0" + /* 1717 */ "amand_db.d\t\0" + /* 1729 */ "ammin_db.d\t\0" + /* 1741 */ "amswap_db.d\t\0" + /* 1754 */ "amor_db.d\t\0" + /* 1765 */ "amxor_db.d\t\0" + /* 1777 */ "amcas_db.d\t\0" + /* 1789 */ "ammax_db.d\t\0" + /* 1801 */ "fscaleb.d\t\0" + /* 1812 */ "xvflogb.d\t\0" + /* 1823 */ "x86sub.d\t\0" + /* 1833 */ "xvfsub.d\t\0" + /* 1843 */ "xvfmsub.d\t\0" + /* 1854 */ "xvfnmsub.d\t\0" + /* 1866 */ "xvmsub.d\t\0" + /* 1876 */ "xvssub.d\t\0" + /* 1886 */ "xvsub.d\t\0" + /* 1895 */ "revb.d\t\0" + /* 1903 */ "x86sbc.d\t\0" + /* 1913 */ "x86adc.d\t\0" + /* 1923 */ "x86dec.d\t\0" + /* 1933 */ "x86inc.d\t\0" + /* 1943 */ "sc.d\t\0" + /* 1949 */ "x86add.d\t\0" + /* 1959 */ "xvfadd.d\t\0" + /* 1969 */ "amadd.d\t\0" + /* 1978 */ "xvfmadd.d\t\0" + /* 1989 */ "xvfnmadd.d\t\0" + /* 2001 */ "xvmadd.d\t\0" + /* 2011 */ "xvsadd.d\t\0" + /* 2021 */ "xvadd.d\t\0" + /* 2030 */ "fcvt.ld.d\t\0" + /* 2041 */ "fld.d\t\0" + /* 2048 */ "x86and.d\t\0" + /* 2058 */ "amand.d\t\0" + /* 2067 */ "xvpackod.d\t\0" + /* 2079 */ "xvpickod.d\t\0" + /* 2091 */ "xvmod.d\t\0" + /* 2100 */ "iocsrrd.d\t\0" + /* 2111 */ "xvabsd.d\t\0" + /* 2121 */ "fcvt.ud.d\t\0" + /* 2132 */ "xvfcmp.cle.d\t\0" + /* 2146 */ "fldle.d\t\0" + /* 2155 */ "xvfcmp.sle.d\t\0" + /* 2169 */ "xvsle.d\t\0" + /* 2178 */ "asrtle.d\t\0" + /* 2188 */ "fstle.d\t\0" + /* 2197 */ "xvfcmp.cule.d\t\0" + /* 2212 */ "xvfcmp.sule.d\t\0" + /* 2227 */ "rdtime.d\t\0" + /* 2237 */ "xvfcmp.cne.d\t\0" + /* 2251 */ "xvfrintrne.d\t\0" + /* 2265 */ "xvfcmp.sne.d\t\0" + /* 2279 */ "xvfcmp.cune.d\t\0" + /* 2294 */ "xvfcmp.sune.d\t\0" + /* 2309 */ "xvfrecipe.d\t\0" + /* 2322 */ "xvfrsqrte.d\t\0" + /* 2335 */ "xvpickve.d\t\0" + /* 2347 */ "xvreplve.d\t\0" + /* 2359 */ "xvfcmp.caf.d\t\0" + /* 2373 */ "xvfcmp.saf.d\t\0" + /* 2387 */ "xvshuf.d\t\0" + /* 2397 */ "fneg.d\t\0" + /* 2405 */ "xvneg.d\t\0" + /* 2414 */ "xvavg.d\t\0" + /* 2423 */ "mulh.d\t\0" + /* 2431 */ "xvmuh.d\t\0" + /* 2440 */ "revh.d\t\0" + /* 2448 */ "xvilvh.d\t\0" + /* 2458 */ "addu12i.d\t\0" + /* 2469 */ "lu32i.d\t\0" + /* 2478 */ "lu52i.d\t\0" + /* 2487 */ "xvshuf4i.d\t\0" + /* 2499 */ "addu16i.d\t\0" + /* 2510 */ "x86srai.d\t\0" + /* 2521 */ "xvsrai.d\t\0" + /* 2531 */ "addi.d\t\0" + /* 2539 */ "xvslei.d\t\0" + /* 2549 */ "xvrepl128vei.d\t\0" + /* 2565 */ "vreplvei.d\t\0" + /* 2577 */ "x86rcli.d\t\0" + /* 2588 */ "xvhseli.d\t\0" + /* 2599 */ "x86slli.d\t\0" + /* 2610 */ "xvslli.d\t\0" + /* 2620 */ "xvrepli.d\t\0" + /* 2631 */ "x86srli.d\t\0" + /* 2642 */ "xvsrli.d\t\0" + /* 2652 */ "x86rotli.d\t\0" + /* 2664 */ "xvpermi.d\t\0" + /* 2675 */ "xvmini.d\t\0" + /* 2685 */ "xvseqi.d\t\0" + /* 2695 */ "xvsrari.d\t\0" + /* 2706 */ "x86rcri.d\t\0" + /* 2717 */ "xvbitclri.d\t\0" + /* 2730 */ "xvsrlri.d\t\0" + /* 2741 */ "x86rotri.d\t\0" + /* 2753 */ "xvrotri.d\t\0" + /* 2764 */ "xvbitseti.d\t\0" + /* 2777 */ "xvslti.d\t\0" + /* 2787 */ "xvbitrevi.d\t\0" + /* 2800 */ "xvmaxi.d\t\0" + /* 2810 */ "bytepick.d\t\0" + /* 2822 */ "bstrpick.d\t\0" + /* 2834 */ "xvftintrne.l.d\t\0" + /* 2850 */ "xvftintrm.l.d\t\0" + /* 2865 */ "xvftintrp.l.d\t\0" + /* 2880 */ "xvftint.l.d\t\0" + /* 2893 */ "xvftintrz.l.d\t\0" + /* 2908 */ "x86rcl.d\t\0" + /* 2918 */ "ldl.d\t\0" + /* 2925 */ "screl.d\t\0" + /* 2934 */ "x86sll.d\t\0" + /* 2944 */ "xvsll.d\t\0" + /* 2953 */ "xvldrepl.d\t\0" + /* 2965 */ "x86srl.d\t\0" + /* 2975 */ "xvsrl.d\t\0" + /* 2984 */ "alsl.d\t\0" + /* 2992 */ "x86rotl.d\t\0" + /* 3003 */ "stl.d\t\0" + /* 3010 */ "x86mul.d\t\0" + /* 3020 */ "xvfmul.d\t\0" + /* 3030 */ "xvmul.d\t\0" + /* 3039 */ "xvilvl.d\t\0" + /* 3049 */ "xvstelm.d\t\0" + /* 3060 */ "xvfrintrm.d\t\0" + /* 3073 */ "fcopysign.d\t\0" + /* 3086 */ "xvfmin.d\t\0" + /* 3096 */ "ammin.d\t\0" + /* 3105 */ "xvmin.d\t\0" + /* 3114 */ "xvfcmp.cun.d\t\0" + /* 3128 */ "xvfcmp.sun.d\t\0" + /* 3142 */ "xvclo.d\t\0" + /* 3151 */ "cto.d\t\0" + /* 3158 */ "amswap.d\t\0" + /* 3168 */ "xvfrecip.d\t\0" + /* 3180 */ "xvfrintrp.d\t\0" + /* 3193 */ "xvsubwod.q.d\t\0" + /* 3207 */ "xvmaddwod.q.d\t\0" + /* 3222 */ "xvaddwod.q.d\t\0" + /* 3236 */ "xvmulwod.q.d\t\0" + /* 3250 */ "xvexth.q.d\t\0" + /* 3262 */ "xvextl.q.d\t\0" + /* 3274 */ "xvsubwev.q.d\t\0" + /* 3288 */ "xvmaddwev.q.d\t\0" + /* 3303 */ "xvaddwev.q.d\t\0" + /* 3317 */ "xvmulwev.q.d\t\0" + /* 3331 */ "xvhsubw.q.d\t\0" + /* 3344 */ "xvhaddw.q.d\t\0" + /* 3357 */ "llacq.d\t\0" + /* 3366 */ "xvfcmp.ceq.d\t\0" + /* 3380 */ "xvfcmp.seq.d\t\0" + /* 3394 */ "xvseq.d\t\0" + /* 3403 */ "xvfcmp.cueq.d\t\0" + /* 3418 */ "xvfcmp.sueq.d\t\0" + /* 3433 */ "xvsrar.d\t\0" + /* 3443 */ "x86rcr.d\t\0" + /* 3453 */ "ldr.d\t\0" + /* 3460 */ "movgr2fr.d\t\0" + /* 3472 */ "xvpickve2gr.d\t\0" + /* 3487 */ "movfr2gr.d\t\0" + /* 3499 */ "xvavgr.d\t\0" + /* 3509 */ "xvbitclr.d\t\0" + /* 3521 */ "xvsrlr.d\t\0" + /* 3531 */ "x86or.d\t\0" + /* 3540 */ "xvfcmp.cor.d\t\0" + /* 3554 */ "amor.d\t\0" + /* 3562 */ "xvfcmp.sor.d\t\0" + /* 3576 */ "x86xor.d\t\0" + /* 3586 */ "amxor.d\t\0" + /* 3595 */ "x86rotr.d\t\0" + /* 3606 */ "xvrotr.d\t\0" + /* 3616 */ "ldptr.d\t\0" + /* 3625 */ "stptr.d\t\0" + /* 3634 */ "str.d\t\0" + /* 3641 */ "xvreplgr2vr.d\t\0" + /* 3656 */ "xvinsgr2vr.d\t\0" + /* 3670 */ "iocsrwr.d\t\0" + /* 3681 */ "xvfcvt.s.d\t\0" + /* 3693 */ "amcas.d\t\0" + /* 3702 */ "fabs.d\t\0" + /* 3710 */ "bstrins.d\t\0" + /* 3721 */ "xvextrins.d\t\0" + /* 3734 */ "xvfclass.d\t\0" + /* 3746 */ "xvsat.d\t\0" + /* 3755 */ "xvbitset.d\t\0" + /* 3767 */ "fldgt.d\t\0" + /* 3776 */ "asrtgt.d\t\0" + /* 3786 */ "fstgt.d\t\0" + /* 3795 */ "xvfcmp.clt.d\t\0" + /* 3809 */ "xvfcmp.slt.d\t\0" + /* 3823 */ "xvslt.d\t\0" + /* 3832 */ "xvfcmp.cult.d\t\0" + /* 3847 */ "xvfcmp.sult.d\t\0" + /* 3862 */ "xvpcnt.d\t\0" + /* 3872 */ "xvfrint.d\t\0" + /* 3883 */ "xvfsqrt.d\t\0" + /* 3894 */ "xvfrsqrt.d\t\0" + /* 3906 */ "fst.d\t\0" + /* 3913 */ "xvmaddwod.q.du.d\t\0" + /* 3931 */ "xvaddwod.q.du.d\t\0" + /* 3948 */ "xvmulwod.q.du.d\t\0" + /* 3965 */ "xvmaddwev.q.du.d\t\0" + /* 3983 */ "xvaddwev.q.du.d\t\0" + /* 4000 */ "xvmulwev.q.du.d\t\0" + /* 4017 */ "xvftint.lu.d\t\0" + /* 4031 */ "xvftintrz.lu.d\t\0" + /* 4047 */ "xvssrani.wu.d\t\0" + /* 4062 */ "xvssrlni.wu.d\t\0" + /* 4077 */ "xvssrarni.wu.d\t\0" + /* 4093 */ "xvssrlrni.wu.d\t\0" + /* 4109 */ "xvssran.wu.d\t\0" + /* 4123 */ "xvssrln.wu.d\t\0" + /* 4137 */ "xvssrarn.wu.d\t\0" + /* 4152 */ "xvssrlrn.wu.d\t\0" + /* 4167 */ "xvpackev.d\t\0" + /* 4179 */ "xvpickev.d\t\0" + /* 4191 */ "xvbitrev.d\t\0" + /* 4203 */ "xvfdiv.d\t\0" + /* 4213 */ "xvdiv.d\t\0" + /* 4222 */ "xvsigncov.d\t\0" + /* 4235 */ "fmov.d\t\0" + /* 4243 */ "armmov.d\t\0" + /* 4253 */ "xvftintrne.w.d\t\0" + /* 4269 */ "xvssrani.w.d\t\0" + /* 4283 */ "xvsrani.w.d\t\0" + /* 4296 */ "xvssrlni.w.d\t\0" + /* 4310 */ "xvsrlni.w.d\t\0" + /* 4323 */ "xvssrarni.w.d\t\0" + /* 4338 */ "xvsrarni.w.d\t\0" + /* 4352 */ "xvssrlrni.w.d\t\0" + /* 4367 */ "xvsrlrni.w.d\t\0" + /* 4381 */ "xvftintrm.w.d\t\0" + /* 4396 */ "xvssran.w.d\t\0" + /* 4409 */ "xvsran.w.d\t\0" + /* 4421 */ "xvssrln.w.d\t\0" + /* 4434 */ "xvsrln.w.d\t\0" + /* 4446 */ "xvssrarn.w.d\t\0" + /* 4460 */ "xvsrarn.w.d\t\0" + /* 4473 */ "xvssrlrn.w.d\t\0" + /* 4487 */ "xvsrlrn.w.d\t\0" + /* 4500 */ "xvftintrp.w.d\t\0" + /* 4515 */ "xvftint.w.d\t\0" + /* 4528 */ "xvftintrz.w.d\t\0" + /* 4543 */ "xvfmax.d\t\0" + /* 4553 */ "ammax.d\t\0" + /* 4562 */ "xvmax.d\t\0" + /* 4571 */ "fldx.d\t\0" + /* 4579 */ "fstx.d\t\0" + /* 4587 */ "xvsetallnez.d\t\0" + /* 4602 */ "xvclz.d\t\0" + /* 4611 */ "xvsetanyeqz.d\t\0" + /* 4626 */ "xvfrintrz.d\t\0" + /* 4639 */ "ctz.d\t\0" + /* 4646 */ "xvmskltz.d\t\0" + /* 4658 */ "la.tls.gd\t\0" + /* 4669 */ "fcvt.d.ld\t\0" + /* 4680 */ "la.tls.ld\t\0" + /* 4691 */ "preld\t\0" + /* 4698 */ "xvld\t\0" + /* 4704 */ "and\t\0" + /* 4709 */ "tlbrd\t\0" + /* 4716 */ "gcsrrd\t\0" + /* 4724 */ "bge\t\0" + /* 4729 */ "la.tls.ie\t\0" + /* 4740 */ "la.tls.le\t\0" + /* 4751 */ "idle\t\0" + /* 4757 */ "bne\t\0" + /* 4762 */ "setx86loopne\t\0" + /* 4776 */ "setx86loope\t\0" + /* 4789 */ "ldpte\t\0" + /* 4796 */ "armmove\t\0" + /* 4805 */ "movfr2cf\t\0" + /* 4815 */ "movgr2cf\t\0" + /* 4825 */ "x86mfflag\t\0" + /* 4836 */ "armmfflag\t\0" + /* 4847 */ "x86mtflag\t\0" + /* 4858 */ "armmtflag\t\0" + /* 4869 */ "x86settag\t\0" + /* 4880 */ "cpucfg\t\0" + /* 4888 */ "gcsrxchg\t\0" + /* 4898 */ "xvreplve0.h\t\0" + /* 4911 */ "xvadda.h\t\0" + /* 4921 */ "x86sra.h\t\0" + /* 4931 */ "xvsra.h\t\0" + /* 4940 */ "xvssrani.b.h\t\0" + /* 4954 */ "xvsrani.b.h\t\0" + /* 4967 */ "xvssrlni.b.h\t\0" + /* 4981 */ "xvsrlni.b.h\t\0" + /* 4994 */ "xvssrarni.b.h\t\0" + /* 5009 */ "xvsrarni.b.h\t\0" + /* 5023 */ "xvssrlrni.b.h\t\0" + /* 5038 */ "xvsrlrni.b.h\t\0" + /* 5052 */ "xvssran.b.h\t\0" + /* 5065 */ "xvsran.b.h\t\0" + /* 5077 */ "xvssrln.b.h\t\0" + /* 5090 */ "xvsrln.b.h\t\0" + /* 5102 */ "xvssrarn.b.h\t\0" + /* 5116 */ "xvsrarn.b.h\t\0" + /* 5129 */ "xvssrlrn.b.h\t\0" + /* 5143 */ "xvsrlrn.b.h\t\0" + /* 5156 */ "amadd_db.h\t\0" + /* 5168 */ "amswap_db.h\t\0" + /* 5181 */ "amcas_db.h\t\0" + /* 5193 */ "x86sub.h\t\0" + /* 5203 */ "xvmsub.h\t\0" + /* 5213 */ "xvssub.h\t\0" + /* 5223 */ "xvsub.h\t\0" + /* 5232 */ "x86sbc.h\t\0" + /* 5242 */ "x86adc.h\t\0" + /* 5252 */ "x86dec.h\t\0" + /* 5262 */ "x86inc.h\t\0" + /* 5272 */ "vext2xv.d.h\t\0" + /* 5285 */ "x86add.h\t\0" + /* 5295 */ "amadd.h\t\0" + /* 5304 */ "xvmadd.h\t\0" + /* 5314 */ "xvsadd.h\t\0" + /* 5324 */ "xvadd.h\t\0" + /* 5333 */ "ld.h\t\0" + /* 5339 */ "x86and.h\t\0" + /* 5349 */ "xvpackod.h\t\0" + /* 5361 */ "xvpickod.h\t\0" + /* 5373 */ "xvmod.h\t\0" + /* 5382 */ "iocsrrd.h\t\0" + /* 5393 */ "xvabsd.h\t\0" + /* 5403 */ "ldle.h\t\0" + /* 5411 */ "xvsle.h\t\0" + /* 5420 */ "stle.h\t\0" + /* 5428 */ "xvreplve.h\t\0" + /* 5440 */ "xvshuf.h\t\0" + /* 5450 */ "xvneg.h\t\0" + /* 5459 */ "xvavg.h\t\0" + /* 5468 */ "xvmuh.h\t\0" + /* 5477 */ "xvilvh.h\t\0" + /* 5487 */ "xvshuf4i.h\t\0" + /* 5499 */ "x86srai.h\t\0" + /* 5510 */ "xvsrai.h\t\0" + /* 5520 */ "xvslei.h\t\0" + /* 5530 */ "xvrepl128vei.h\t\0" + /* 5546 */ "vreplvei.h\t\0" + /* 5558 */ "x86rcli.h\t\0" + /* 5569 */ "x86slli.h\t\0" + /* 5580 */ "xvslli.h\t\0" + /* 5590 */ "xvrepli.h\t\0" + /* 5601 */ "x86srli.h\t\0" + /* 5612 */ "xvsrli.h\t\0" + /* 5622 */ "x86rotli.h\t\0" + /* 5634 */ "xvmini.h\t\0" + /* 5644 */ "xvfrstpi.h\t\0" + /* 5656 */ "xvseqi.h\t\0" + /* 5666 */ "xvsrari.h\t\0" + /* 5677 */ "x86rcri.h\t\0" + /* 5688 */ "xvbitclri.h\t\0" + /* 5701 */ "xvsrlri.h\t\0" + /* 5712 */ "x86rotri.h\t\0" + /* 5724 */ "xvrotri.h\t\0" + /* 5735 */ "xvbitseti.h\t\0" + /* 5748 */ "xvslti.h\t\0" + /* 5758 */ "xvbitrevi.h\t\0" + /* 5771 */ "xvmaxi.h\t\0" + /* 5781 */ "x86rcl.h\t\0" + /* 5791 */ "x86sll.h\t\0" + /* 5801 */ "xvsll.h\t\0" + /* 5810 */ "xvldrepl.h\t\0" + /* 5822 */ "x86srl.h\t\0" + /* 5832 */ "xvsrl.h\t\0" + /* 5841 */ "x86rotl.h\t\0" + /* 5852 */ "x86mul.h\t\0" + /* 5862 */ "xvmul.h\t\0" + /* 5871 */ "xvilvl.h\t\0" + /* 5881 */ "xvstelm.h\t\0" + /* 5892 */ "xvmin.h\t\0" + /* 5901 */ "xvclo.h\t\0" + /* 5910 */ "amswap.h\t\0" + /* 5920 */ "xvfrstp.h\t\0" + /* 5931 */ "xvseq.h\t\0" + /* 5940 */ "xvsrar.h\t\0" + /* 5950 */ "x86rcr.h\t\0" + /* 5960 */ "vpickve2gr.h\t\0" + /* 5974 */ "xvavgr.h\t\0" + /* 5984 */ "xvbitclr.h\t\0" + /* 5996 */ "xvsrlr.h\t\0" + /* 6006 */ "x86or.h\t\0" + /* 6015 */ "x86xor.h\t\0" + /* 6025 */ "x86rotr.h\t\0" + /* 6036 */ "xvrotr.h\t\0" + /* 6046 */ "xvreplgr2vr.h\t\0" + /* 6061 */ "vinsgr2vr.h\t\0" + /* 6074 */ "iocsrwr.h\t\0" + /* 6085 */ "xvfcvth.s.h\t\0" + /* 6098 */ "xvfcvtl.s.h\t\0" + /* 6111 */ "amcas.h\t\0" + /* 6120 */ "xvextrins.h\t\0" + /* 6133 */ "xvsat.h\t\0" + /* 6142 */ "xvbitset.h\t\0" + /* 6154 */ "ldgt.h\t\0" + /* 6162 */ "stgt.h\t\0" + /* 6170 */ "xvslt.h\t\0" + /* 6179 */ "xvpcnt.h\t\0" + /* 6189 */ "st.h\t\0" + /* 6195 */ "xvssrani.bu.h\t\0" + /* 6210 */ "xvssrlni.bu.h\t\0" + /* 6225 */ "xvssrarni.bu.h\t\0" + /* 6241 */ "xvssrlrni.bu.h\t\0" + /* 6257 */ "xvssran.bu.h\t\0" + /* 6271 */ "xvssrln.bu.h\t\0" + /* 6285 */ "xvssrarn.bu.h\t\0" + /* 6300 */ "xvssrlrn.bu.h\t\0" + /* 6315 */ "xvmaddwod.w.hu.h\t\0" + /* 6333 */ "xvaddwod.w.hu.h\t\0" + /* 6350 */ "xvmulwod.w.hu.h\t\0" + /* 6367 */ "xvmaddwev.w.hu.h\t\0" + /* 6385 */ "xvaddwev.w.hu.h\t\0" + /* 6402 */ "xvmulwev.w.hu.h\t\0" + /* 6419 */ "xvpackev.h\t\0" + /* 6431 */ "xvpickev.h\t\0" + /* 6443 */ "xvbitrev.h\t\0" + /* 6455 */ "xvdiv.h\t\0" + /* 6464 */ "xvsigncov.h\t\0" + /* 6477 */ "xvsubwod.w.h\t\0" + /* 6491 */ "xvmaddwod.w.h\t\0" + /* 6506 */ "xvaddwod.w.h\t\0" + /* 6520 */ "xvmulwod.w.h\t\0" + /* 6534 */ "xvexth.w.h\t\0" + /* 6546 */ "xvsllwil.w.h\t\0" + /* 6560 */ "ext.w.h\t\0" + /* 6569 */ "xvsubwev.w.h\t\0" + /* 6583 */ "xvmaddwev.w.h\t\0" + /* 6598 */ "xvaddwev.w.h\t\0" + /* 6612 */ "xvmulwev.w.h\t\0" + /* 6626 */ "vext2xv.w.h\t\0" + /* 6639 */ "xvhsubw.w.h\t\0" + /* 6652 */ "xvhaddw.w.h\t\0" + /* 6665 */ "xvmax.h\t\0" + /* 6674 */ "ldx.h\t\0" + /* 6681 */ "stx.h\t\0" + /* 6688 */ "xvsetallnez.h\t\0" + /* 6703 */ "xvclz.h\t\0" + /* 6712 */ "xvsetanyeqz.h\t\0" + /* 6727 */ "xvmskltz.h\t\0" + /* 6739 */ "revb.2h\t\0" + /* 6748 */ "revb.4h\t\0" + /* 6757 */ "tlbsrch\t\0" + /* 6766 */ "gtlbflush\t\0" + /* 6777 */ "pcalau12i\t\0" + /* 6788 */ "pcaddu12i\t\0" + /* 6799 */ "pcaddu18i\t\0" + /* 6810 */ "pcaddi\t\0" + /* 6818 */ "xvldi\t\0" + /* 6825 */ "andi\t\0" + /* 6831 */ "xori\t\0" + /* 6837 */ "slti\t\0" + /* 6843 */ "sltui\t\0" + /* 6850 */ "setx86j\t\0" + /* 6859 */ "setarmj\t\0" + /* 6868 */ "break\t\0" + /* 6875 */ "xvffint.d.l\t\0" + /* 6888 */ "xvffint.s.l\t\0" + /* 6901 */ "bl\t\0" + /* 6905 */ "dbcl\t\0" + /* 6911 */ "hvcl\t\0" + /* 6917 */ "la.pcrel\t\0" + /* 6927 */ "fsel\t\0" + /* 6933 */ "syscall\t\0" + /* 6942 */ "tlbfill\t\0" + /* 6951 */ "jirl\t\0" + /* 6957 */ "x86clrtm\t\0" + /* 6967 */ "x86settm\t\0" + /* 6977 */ "andn\t\0" + /* 6983 */ "orn\t\0" + /* 6988 */ "ertn\t\0" + /* 6994 */ "cacop\t\0" + /* 7001 */ "x86dectop\t\0" + /* 7012 */ "x86inctop\t\0" + /* 7023 */ "x86mftop\t\0" + /* 7033 */ "x86mttop\t\0" + /* 7043 */ "xvreplve0.q\t\0" + /* 7056 */ "xvsub.q\t\0" + /* 7065 */ "sc.q\t\0" + /* 7071 */ "xvssrani.d.q\t\0" + /* 7085 */ "xvsrani.d.q\t\0" + /* 7098 */ "xvssrlni.d.q\t\0" + /* 7112 */ "xvsrlni.d.q\t\0" + /* 7125 */ "xvssrarni.d.q\t\0" + /* 7140 */ "xvsrarni.d.q\t\0" + /* 7154 */ "xvssrlrni.d.q\t\0" + /* 7169 */ "xvsrlrni.d.q\t\0" + /* 7183 */ "xvadd.q\t\0" + /* 7192 */ "xvpermi.q\t\0" + /* 7203 */ "xvssrani.du.q\t\0" + /* 7218 */ "xvssrlni.du.q\t\0" + /* 7233 */ "xvssrarni.du.q\t\0" + /* 7249 */ "xvssrlrni.du.q\t\0" + /* 7265 */ "beq\t\0" + /* 7270 */ "dbar\t\0" + /* 7276 */ "ibar\t\0" + /* 7282 */ "movgr2scr\t\0" + /* 7293 */ "movcf2fr\t\0" + /* 7303 */ "movcf2gr\t\0" + /* 7313 */ "movscr2gr\t\0" + /* 7324 */ "movfcsr2gr\t\0" + /* 7336 */ "lddir\t\0" + /* 7343 */ "tlbclr\t\0" + /* 7351 */ "nor\t\0" + /* 7356 */ "xor\t\0" + /* 7361 */ "movgr2fcsr\t\0" + /* 7373 */ "tlbwr\t\0" + /* 7380 */ "gcsrwr\t\0" + /* 7388 */ "xvfmina.s\t\0" + /* 7399 */ "xvfmaxa.s\t\0" + /* 7410 */ "fscaleb.s\t\0" + /* 7421 */ "xvflogb.s\t\0" + /* 7432 */ "xvfsub.s\t\0" + /* 7442 */ "xvfmsub.s\t\0" + /* 7453 */ "xvfnmsub.s\t\0" + /* 7465 */ "xvfcvth.d.s\t\0" + /* 7478 */ "xvfcvtl.d.s\t\0" + /* 7491 */ "fcvt.d.s\t\0" + /* 7501 */ "xvfadd.s\t\0" + /* 7511 */ "xvfmadd.s\t\0" + /* 7522 */ "xvfnmadd.s\t\0" + /* 7534 */ "fld.s\t\0" + /* 7541 */ "xvfcmp.cle.s\t\0" + /* 7555 */ "fldle.s\t\0" + /* 7564 */ "xvfcmp.sle.s\t\0" + /* 7578 */ "fstle.s\t\0" + /* 7587 */ "xvfcmp.cule.s\t\0" + /* 7602 */ "xvfcmp.sule.s\t\0" + /* 7617 */ "xvfcmp.cne.s\t\0" + /* 7631 */ "xvfrintrne.s\t\0" + /* 7645 */ "xvfcmp.sne.s\t\0" + /* 7659 */ "xvfcmp.cune.s\t\0" + /* 7674 */ "xvfcmp.sune.s\t\0" + /* 7689 */ "xvfrecipe.s\t\0" + /* 7702 */ "xvfrsqrte.s\t\0" + /* 7715 */ "xvfcmp.caf.s\t\0" + /* 7729 */ "xvfcmp.saf.s\t\0" + /* 7743 */ "fneg.s\t\0" + /* 7751 */ "xvfcvt.h.s\t\0" + /* 7763 */ "ftintrne.l.s\t\0" + /* 7777 */ "xvftintrneh.l.s\t\0" + /* 7794 */ "xvftintrmh.l.s\t\0" + /* 7810 */ "xvftintrph.l.s\t\0" + /* 7826 */ "xvftinth.l.s\t\0" + /* 7840 */ "xvftintrzh.l.s\t\0" + /* 7856 */ "xvftintrnel.l.s\t\0" + /* 7873 */ "xvftintrml.l.s\t\0" + /* 7889 */ "xvftintrpl.l.s\t\0" + /* 7905 */ "xvftintl.l.s\t\0" + /* 7919 */ "xvftintrzl.l.s\t\0" + /* 7935 */ "ftintrm.l.s\t\0" + /* 7948 */ "ftintrp.l.s\t\0" + /* 7961 */ "ftint.l.s\t\0" + /* 7972 */ "ftintrz.l.s\t\0" + /* 7985 */ "xvfmul.s\t\0" + /* 7995 */ "xvfrintrm.s\t\0" + /* 8008 */ "fcopysign.s\t\0" + /* 8021 */ "xvfmin.s\t\0" + /* 8031 */ "xvfcmp.cun.s\t\0" + /* 8045 */ "xvfcmp.sun.s\t\0" + /* 8059 */ "xvfrecip.s\t\0" + /* 8071 */ "xvfrintrp.s\t\0" + /* 8084 */ "xvfcmp.ceq.s\t\0" + /* 8098 */ "xvfcmp.seq.s\t\0" + /* 8112 */ "xvfcmp.cueq.s\t\0" + /* 8127 */ "xvfcmp.sueq.s\t\0" + /* 8142 */ "movfrh2gr.s\t\0" + /* 8155 */ "movfr2gr.s\t\0" + /* 8167 */ "xvfcmp.cor.s\t\0" + /* 8181 */ "xvfcmp.sor.s\t\0" + /* 8195 */ "fabs.s\t\0" + /* 8203 */ "xvfclass.s\t\0" + /* 8215 */ "fldgt.s\t\0" + /* 8224 */ "fstgt.s\t\0" + /* 8233 */ "xvfcmp.clt.s\t\0" + /* 8247 */ "xvfcmp.slt.s\t\0" + /* 8261 */ "xvfcmp.cult.s\t\0" + /* 8276 */ "xvfcmp.sult.s\t\0" + /* 8291 */ "xvfrint.s\t\0" + /* 8302 */ "xvfsqrt.s\t\0" + /* 8313 */ "xvfrsqrt.s\t\0" + /* 8325 */ "fst.s\t\0" + /* 8332 */ "xvftint.wu.s\t\0" + /* 8346 */ "xvftintrz.wu.s\t\0" + /* 8362 */ "xvfdiv.s\t\0" + /* 8372 */ "fmov.s\t\0" + /* 8380 */ "xvftintrne.w.s\t\0" + /* 8396 */ "xvftintrm.w.s\t\0" + /* 8411 */ "xvftintrp.w.s\t\0" + /* 8426 */ "xvftint.w.s\t\0" + /* 8439 */ "xvftintrz.w.s\t\0" + /* 8454 */ "xvfmax.s\t\0" + /* 8464 */ "fldx.s\t\0" + /* 8472 */ "fstx.s\t\0" + /* 8480 */ "xvfrintrz.s\t\0" + /* 8493 */ "la.abs\t\0" + /* 8501 */ "blt\t\0" + /* 8506 */ "slt\t\0" + /* 8511 */ "la.got\t\0" + /* 8519 */ "xvst\t\0" + /* 8525 */ "xvssub.bu\t\0" + /* 8536 */ "xvsadd.bu\t\0" + /* 8547 */ "ld.bu\t\0" + /* 8554 */ "xvmod.bu\t\0" + /* 8564 */ "xvabsd.bu\t\0" + /* 8575 */ "xvsle.bu\t\0" + /* 8585 */ "xvavg.bu\t\0" + /* 8595 */ "xvsubwod.h.bu\t\0" + /* 8610 */ "xvmaddwod.h.bu\t\0" + /* 8626 */ "xvaddwod.h.bu\t\0" + /* 8641 */ "xvmulwod.h.bu\t\0" + /* 8656 */ "xvsubwev.h.bu\t\0" + /* 8671 */ "xvmaddwev.h.bu\t\0" + /* 8687 */ "xvaddwev.h.bu\t\0" + /* 8702 */ "xvmulwev.h.bu\t\0" + /* 8717 */ "xvmuh.bu\t\0" + /* 8727 */ "xvsubi.bu\t\0" + /* 8738 */ "xvaddi.bu\t\0" + /* 8749 */ "xvslei.bu\t\0" + /* 8760 */ "xvmini.bu\t\0" + /* 8771 */ "xvslti.bu\t\0" + /* 8782 */ "xvmaxi.bu\t\0" + /* 8793 */ "x86mul.bu\t\0" + /* 8804 */ "xvmin.bu\t\0" + /* 8814 */ "vpickve2gr.bu\t\0" + /* 8829 */ "xvavgr.bu\t\0" + /* 8840 */ "xvsat.bu\t\0" + /* 8850 */ "xvslt.bu\t\0" + /* 8860 */ "vext2xv.du.bu\t\0" + /* 8875 */ "xvexth.hu.bu\t\0" + /* 8889 */ "xvsllwil.hu.bu\t\0" + /* 8905 */ "vext2xv.hu.bu\t\0" + /* 8920 */ "xvhsubw.hu.bu\t\0" + /* 8935 */ "xvhaddw.hu.bu\t\0" + /* 8950 */ "vext2xv.wu.bu\t\0" + /* 8965 */ "xvdiv.bu\t\0" + /* 8975 */ "xvmax.bu\t\0" + /* 8985 */ "ldx.bu\t\0" + /* 8993 */ "ammin_db.du\t\0" + /* 9006 */ "ammax_db.du\t\0" + /* 9019 */ "x86sub.du\t\0" + /* 9030 */ "xvssub.du\t\0" + /* 9041 */ "x86add.du\t\0" + /* 9052 */ "xvsadd.du\t\0" + /* 9063 */ "xvmod.du\t\0" + /* 9073 */ "xvabsd.du\t\0" + /* 9084 */ "xvsle.du\t\0" + /* 9094 */ "xvavg.du\t\0" + /* 9104 */ "mulh.du\t\0" + /* 9113 */ "xvmuh.du\t\0" + /* 9123 */ "xvsubi.du\t\0" + /* 9134 */ "xvaddi.du\t\0" + /* 9145 */ "xvslei.du\t\0" + /* 9156 */ "xvmini.du\t\0" + /* 9167 */ "xvslti.du\t\0" + /* 9178 */ "xvmaxi.du\t\0" + /* 9189 */ "x86mul.du\t\0" + /* 9200 */ "ammin.du\t\0" + /* 9210 */ "xvmin.du\t\0" + /* 9220 */ "xvsubwod.q.du\t\0" + /* 9235 */ "xvmaddwod.q.du\t\0" + /* 9251 */ "xvaddwod.q.du\t\0" + /* 9266 */ "xvmulwod.q.du\t\0" + /* 9281 */ "xvsubwev.q.du\t\0" + /* 9296 */ "xvmaddwev.q.du\t\0" + /* 9312 */ "xvaddwev.q.du\t\0" + /* 9327 */ "xvmulwev.q.du\t\0" + /* 9342 */ "xvpickve2gr.du\t\0" + /* 9358 */ "xvavgr.du\t\0" + /* 9369 */ "xvsat.du\t\0" + /* 9379 */ "xvslt.du\t\0" + /* 9389 */ "xvexth.qu.du\t\0" + /* 9403 */ "xvextl.qu.du\t\0" + /* 9417 */ "xvhsubw.qu.du\t\0" + /* 9432 */ "xvhaddw.qu.du\t\0" + /* 9447 */ "xvdiv.du\t\0" + /* 9457 */ "ammax.du\t\0" + /* 9467 */ "xvmax.du\t\0" + /* 9477 */ "bgeu\t\0" + /* 9483 */ "xvssub.hu\t\0" + /* 9494 */ "xvsadd.hu\t\0" + /* 9505 */ "ld.hu\t\0" + /* 9512 */ "xvmod.hu\t\0" + /* 9522 */ "xvabsd.hu\t\0" + /* 9533 */ "xvsle.hu\t\0" + /* 9543 */ "xvavg.hu\t\0" + /* 9553 */ "xvmuh.hu\t\0" + /* 9563 */ "xvsubi.hu\t\0" + /* 9574 */ "xvaddi.hu\t\0" + /* 9585 */ "xvslei.hu\t\0" + /* 9596 */ "xvmini.hu\t\0" + /* 9607 */ "xvslti.hu\t\0" + /* 9618 */ "xvmaxi.hu\t\0" + /* 9629 */ "x86mul.hu\t\0" + /* 9640 */ "xvmin.hu\t\0" + /* 9650 */ "vpickve2gr.hu\t\0" + /* 9665 */ "xvavgr.hu\t\0" + /* 9676 */ "xvsat.hu\t\0" + /* 9686 */ "xvslt.hu\t\0" + /* 9696 */ "vext2xv.du.hu\t\0" + /* 9711 */ "xvexth.wu.hu\t\0" + /* 9725 */ "xvsllwil.wu.hu\t\0" + /* 9741 */ "vext2xv.wu.hu\t\0" + /* 9756 */ "xvhsubw.wu.hu\t\0" + /* 9771 */ "xvhaddw.wu.hu\t\0" + /* 9786 */ "xvdiv.hu\t\0" + /* 9796 */ "xvsubwod.w.hu\t\0" + /* 9811 */ "xvmaddwod.w.hu\t\0" + /* 9827 */ "xvaddwod.w.hu\t\0" + /* 9842 */ "xvmulwod.w.hu\t\0" + /* 9857 */ "xvsubwev.w.hu\t\0" + /* 9872 */ "xvmaddwev.w.hu\t\0" + /* 9888 */ "xvaddwev.w.hu\t\0" + /* 9903 */ "xvmulwev.w.hu\t\0" + /* 9918 */ "xvmax.hu\t\0" + /* 9928 */ "ldx.hu\t\0" + /* 9936 */ "xvffint.d.lu\t\0" + /* 9950 */ "bltu\t\0" + /* 9956 */ "sltu\t\0" + /* 9962 */ "ammin_db.wu\t\0" + /* 9975 */ "ammax_db.wu\t\0" + /* 9988 */ "x86sub.wu\t\0" + /* 9999 */ "xvssub.wu\t\0" + /* 10010 */ "xvsubwod.d.wu\t\0" + /* 10025 */ "xvmaddwod.d.wu\t\0" + /* 10041 */ "xvaddwod.d.wu\t\0" + /* 10056 */ "xvmulwod.d.wu\t\0" + /* 10071 */ "xvsubwev.d.wu\t\0" + /* 10086 */ "xvmaddwev.d.wu\t\0" + /* 10102 */ "xvaddwev.d.wu\t\0" + /* 10117 */ "xvmulwev.d.wu\t\0" + /* 10132 */ "mulw.d.wu\t\0" + /* 10143 */ "x86add.wu\t\0" + /* 10154 */ "xvsadd.wu\t\0" + /* 10165 */ "ld.wu\t\0" + /* 10172 */ "xvmod.wu\t\0" + /* 10182 */ "xvabsd.wu\t\0" + /* 10193 */ "xvsle.wu\t\0" + /* 10203 */ "xvavg.wu\t\0" + /* 10213 */ "mulh.wu\t\0" + /* 10222 */ "xvmuh.wu\t\0" + /* 10232 */ "xvsubi.wu\t\0" + /* 10243 */ "xvaddi.wu\t\0" + /* 10254 */ "xvslei.wu\t\0" + /* 10265 */ "xvmini.wu\t\0" + /* 10276 */ "xvslti.wu\t\0" + /* 10287 */ "xvmaxi.wu\t\0" + /* 10298 */ "alsl.wu\t\0" + /* 10307 */ "x86mul.wu\t\0" + /* 10318 */ "ammin.wu\t\0" + /* 10328 */ "xvmin.wu\t\0" + /* 10338 */ "xvpickve2gr.wu\t\0" + /* 10354 */ "xvavgr.wu\t\0" + /* 10365 */ "xvffint.s.wu\t\0" + /* 10379 */ "xvsat.wu\t\0" + /* 10389 */ "xvslt.wu\t\0" + /* 10399 */ "xvexth.du.wu\t\0" + /* 10413 */ "xvsllwil.du.wu\t\0" + /* 10429 */ "vext2xv.du.wu\t\0" + /* 10444 */ "xvhsubw.du.wu\t\0" + /* 10459 */ "xvhaddw.du.wu\t\0" + /* 10474 */ "xvdiv.wu\t\0" + /* 10484 */ "ammax.wu\t\0" + /* 10494 */ "xvmax.wu\t\0" + /* 10504 */ "ldx.wu\t\0" + /* 10512 */ "xvand.v\t\0" + /* 10521 */ "xvbitsel.v\t\0" + /* 10533 */ "xvbsll.v\t\0" + /* 10543 */ "xvbsrl.v\t\0" + /* 10553 */ "xvandn.v\t\0" + /* 10563 */ "xvorn.v\t\0" + /* 10572 */ "xvnor.v\t\0" + /* 10581 */ "xvor.v\t\0" + /* 10589 */ "xvxor.v\t\0" + /* 10598 */ "xvsetnez.v\t\0" + /* 10610 */ "xvseteqz.v\t\0" + /* 10622 */ "xvreplve0.w\t\0" + /* 10635 */ "xvinsve0.w\t\0" + /* 10647 */ "xvadda.w\t\0" + /* 10657 */ "x86sra.w\t\0" + /* 10667 */ "armsra.w\t\0" + /* 10677 */ "xvsra.w\t\0" + /* 10686 */ "crcc.w.b.w\t\0" + /* 10698 */ "crc.w.b.w\t\0" + /* 10709 */ "amadd_db.w\t\0" + /* 10721 */ "amand_db.w\t\0" + /* 10733 */ "ammin_db.w\t\0" + /* 10745 */ "amswap_db.w\t\0" + /* 10758 */ "amor_db.w\t\0" + /* 10769 */ "amxor_db.w\t\0" + /* 10781 */ "amcas_db.w\t\0" + /* 10793 */ "ammax_db.w\t\0" + /* 10805 */ "x86sub.w\t\0" + /* 10815 */ "armsub.w\t\0" + /* 10825 */ "xvmsub.w\t\0" + /* 10835 */ "xvssub.w\t\0" + /* 10845 */ "xvsub.w\t\0" + /* 10854 */ "x86sbc.w\t\0" + /* 10864 */ "armsbc.w\t\0" + /* 10874 */ "x86adc.w\t\0" + /* 10884 */ "armadc.w\t\0" + /* 10894 */ "x86dec.w\t\0" + /* 10904 */ "x86inc.w\t\0" + /* 10914 */ "sc.w\t\0" + /* 10920 */ "xvsubwod.d.w\t\0" + /* 10934 */ "xvmaddwod.d.w\t\0" + /* 10949 */ "xvaddwod.d.w\t\0" + /* 10963 */ "xvmulwod.d.w\t\0" + /* 10977 */ "xvffinth.d.w\t\0" + /* 10991 */ "xvexth.d.w\t\0" + /* 11003 */ "xvsllwil.d.w\t\0" + /* 11017 */ "xvffintl.d.w\t\0" + /* 11031 */ "ffint.d.w\t\0" + /* 11042 */ "xvsubwev.d.w\t\0" + /* 11056 */ "xvmaddwev.d.w\t\0" + /* 11071 */ "xvaddwev.d.w\t\0" + /* 11085 */ "xvmulwev.d.w\t\0" + /* 11099 */ "vext2xv.d.w\t\0" + /* 11112 */ "crcc.w.d.w\t\0" + /* 11124 */ "crc.w.d.w\t\0" + /* 11135 */ "xvhsubw.d.w\t\0" + /* 11148 */ "xvhaddw.d.w\t\0" + /* 11161 */ "mulw.d.w\t\0" + /* 11171 */ "x86add.w\t\0" + /* 11181 */ "amadd.w\t\0" + /* 11190 */ "armadd.w\t\0" + /* 11200 */ "xvmadd.w\t\0" + /* 11210 */ "xvsadd.w\t\0" + /* 11220 */ "xvadd.w\t\0" + /* 11229 */ "ld.w\t\0" + /* 11235 */ "x86and.w\t\0" + /* 11245 */ "amand.w\t\0" + /* 11254 */ "armand.w\t\0" + /* 11264 */ "xvpackod.w\t\0" + /* 11276 */ "xvpickod.w\t\0" + /* 11288 */ "xvmod.w\t\0" + /* 11297 */ "iocsrrd.w\t\0" + /* 11308 */ "xvabsd.w\t\0" + /* 11318 */ "ldle.w\t\0" + /* 11326 */ "xvsle.w\t\0" + /* 11335 */ "stle.w\t\0" + /* 11343 */ "xvpickve.w\t\0" + /* 11355 */ "xvreplve.w\t\0" + /* 11367 */ "xvshuf.w\t\0" + /* 11377 */ "xvneg.w\t\0" + /* 11386 */ "xvavg.w\t\0" + /* 11395 */ "xvssrani.h.w\t\0" + /* 11409 */ "xvsrani.h.w\t\0" + /* 11422 */ "xvssrlni.h.w\t\0" + /* 11436 */ "xvsrlni.h.w\t\0" + /* 11449 */ "xvssrarni.h.w\t\0" + /* 11464 */ "xvsrarni.h.w\t\0" + /* 11478 */ "xvssrlrni.h.w\t\0" + /* 11493 */ "xvsrlrni.h.w\t\0" + /* 11507 */ "xvssran.h.w\t\0" + /* 11520 */ "xvsran.h.w\t\0" + /* 11532 */ "xvssrln.h.w\t\0" + /* 11545 */ "xvsrln.h.w\t\0" + /* 11557 */ "xvssrarn.h.w\t\0" + /* 11571 */ "xvsrarn.h.w\t\0" + /* 11584 */ "xvssrlrn.h.w\t\0" + /* 11598 */ "xvsrlrn.h.w\t\0" + /* 11611 */ "crcc.w.h.w\t\0" + /* 11623 */ "crc.w.h.w\t\0" + /* 11634 */ "rdtimeh.w\t\0" + /* 11645 */ "mulh.w\t\0" + /* 11653 */ "movgr2frh.w\t\0" + /* 11666 */ "xvmuh.w\t\0" + /* 11675 */ "xvilvh.w\t\0" + /* 11685 */ "addu12i.w\t\0" + /* 11696 */ "lu12i.w\t\0" + /* 11705 */ "xvshuf4i.w\t\0" + /* 11717 */ "x86srai.w\t\0" + /* 11728 */ "armsrai.w\t\0" + /* 11739 */ "xvsrai.w\t\0" + /* 11749 */ "addi.w\t\0" + /* 11757 */ "xvslei.w\t\0" + /* 11767 */ "xvrepl128vei.w\t\0" + /* 11783 */ "vreplvei.w\t\0" + /* 11795 */ "x86rcli.w\t\0" + /* 11806 */ "x86slli.w\t\0" + /* 11817 */ "armslli.w\t\0" + /* 11828 */ "xvslli.w\t\0" + /* 11838 */ "xvrepli.w\t\0" + /* 11849 */ "x86srli.w\t\0" + /* 11860 */ "armsrli.w\t\0" + /* 11871 */ "xvsrli.w\t\0" + /* 11881 */ "x86rotli.w\t\0" + /* 11893 */ "xvpermi.w\t\0" + /* 11904 */ "xvmini.w\t\0" + /* 11914 */ "xvseqi.w\t\0" + /* 11924 */ "xvsrari.w\t\0" + /* 11935 */ "x86rcri.w\t\0" + /* 11946 */ "xvbitclri.w\t\0" + /* 11959 */ "xvsrlri.w\t\0" + /* 11970 */ "x86rotri.w\t\0" + /* 11982 */ "armrotri.w\t\0" + /* 11994 */ "xvrotri.w\t\0" + /* 12005 */ "xvbitseti.w\t\0" + /* 12018 */ "xvslti.w\t\0" + /* 12028 */ "xvbitrevi.w\t\0" + /* 12041 */ "xvmaxi.w\t\0" + /* 12051 */ "bytepick.w\t\0" + /* 12063 */ "bstrpick.w\t\0" + /* 12075 */ "x86rcl.w\t\0" + /* 12085 */ "ldl.w\t\0" + /* 12092 */ "rdtimel.w\t\0" + /* 12103 */ "screl.w\t\0" + /* 12112 */ "x86sll.w\t\0" + /* 12122 */ "armsll.w\t\0" + /* 12132 */ "xvsll.w\t\0" + /* 12141 */ "xvldrepl.w\t\0" + /* 12153 */ "x86srl.w\t\0" + /* 12163 */ "armsrl.w\t\0" + /* 12173 */ "xvsrl.w\t\0" + /* 12182 */ "alsl.w\t\0" + /* 12190 */ "x86rotl.w\t\0" + /* 12201 */ "stl.w\t\0" + /* 12208 */ "x86mul.w\t\0" + /* 12218 */ "xvmul.w\t\0" + /* 12227 */ "xvilvl.w\t\0" + /* 12237 */ "xvstelm.w\t\0" + /* 12248 */ "xvperm.w\t\0" + /* 12258 */ "ammin.w\t\0" + /* 12267 */ "xvmin.w\t\0" + /* 12276 */ "xvclo.w\t\0" + /* 12285 */ "cto.w\t\0" + /* 12292 */ "amswap.w\t\0" + /* 12302 */ "llacq.w\t\0" + /* 12311 */ "xvseq.w\t\0" + /* 12320 */ "xvsrar.w\t\0" + /* 12330 */ "x86rcr.w\t\0" + /* 12340 */ "ldr.w\t\0" + /* 12347 */ "movgr2fr.w\t\0" + /* 12359 */ "xvpickve2gr.w\t\0" + /* 12374 */ "xvavgr.w\t\0" + /* 12384 */ "xvbitclr.w\t\0" + /* 12396 */ "xvsrlr.w\t\0" + /* 12406 */ "x86or.w\t\0" + /* 12415 */ "amor.w\t\0" + /* 12423 */ "armor.w\t\0" + /* 12432 */ "x86xor.w\t\0" + /* 12442 */ "amxor.w\t\0" + /* 12451 */ "armxor.w\t\0" + /* 12461 */ "x86rotr.w\t\0" + /* 12472 */ "armrotr.w\t\0" + /* 12483 */ "xvrotr.w\t\0" + /* 12493 */ "ldptr.w\t\0" + /* 12502 */ "stptr.w\t\0" + /* 12511 */ "str.w\t\0" + /* 12518 */ "xvreplgr2vr.w\t\0" + /* 12533 */ "xvinsgr2vr.w\t\0" + /* 12547 */ "iocsrwr.w\t\0" + /* 12558 */ "xvffint.s.w\t\0" + /* 12571 */ "amcas.w\t\0" + /* 12580 */ "bstrins.w\t\0" + /* 12591 */ "xvextrins.w\t\0" + /* 12604 */ "xvsat.w\t\0" + /* 12613 */ "xvbitset.w\t\0" + /* 12625 */ "ldgt.w\t\0" + /* 12633 */ "stgt.w\t\0" + /* 12641 */ "xvslt.w\t\0" + /* 12650 */ "xvpcnt.w\t\0" + /* 12660 */ "armnot.w\t\0" + /* 12670 */ "st.w\t\0" + /* 12676 */ "xvssrani.hu.w\t\0" + /* 12691 */ "xvssrlni.hu.w\t\0" + /* 12706 */ "xvssrarni.hu.w\t\0" + /* 12722 */ "xvssrlrni.hu.w\t\0" + /* 12738 */ "xvssran.hu.w\t\0" + /* 12752 */ "xvssrln.hu.w\t\0" + /* 12766 */ "xvssrarn.hu.w\t\0" + /* 12781 */ "xvssrlrn.hu.w\t\0" + /* 12796 */ "xvmaddwod.d.wu.w\t\0" + /* 12814 */ "xvaddwod.d.wu.w\t\0" + /* 12831 */ "xvmulwod.d.wu.w\t\0" + /* 12848 */ "xvmaddwev.d.wu.w\t\0" + /* 12866 */ "xvaddwev.d.wu.w\t\0" + /* 12883 */ "xvmulwev.d.wu.w\t\0" + /* 12900 */ "xvpackev.w\t\0" + /* 12912 */ "xvpickev.w\t\0" + /* 12924 */ "xvbitrev.w\t\0" + /* 12936 */ "xvdiv.w\t\0" + /* 12945 */ "xvsigncov.w\t\0" + /* 12958 */ "armmov.w\t\0" + /* 12968 */ "crcc.w.w.w\t\0" + /* 12980 */ "crc.w.w.w\t\0" + /* 12991 */ "ammax.w\t\0" + /* 13000 */ "xvmax.w\t\0" + /* 13009 */ "ldx.w\t\0" + /* 13016 */ "armrrx.w\t\0" + /* 13026 */ "stx.w\t\0" + /* 13033 */ "xvsetallnez.w\t\0" + /* 13048 */ "xvclz.w\t\0" + /* 13057 */ "xvsetanyeqz.w\t\0" + /* 13072 */ "ctz.w\t\0" + /* 13079 */ "xvmskltz.w\t\0" + /* 13091 */ "revb.2w\t\0" + /* 13100 */ "revh.2w\t\0" + /* 13109 */ "preldx\t\0" + /* 13117 */ "xvldx\t\0" + /* 13124 */ "xvstx\t\0" + /* 13131 */ "bnez\t\0" + /* 13137 */ "bcnez\t\0" + /* 13144 */ "masknez\t\0" + /* 13153 */ "beqz\t\0" + /* 13159 */ "bceqz\t\0" + /* 13166 */ "maskeqz\t\0" + /* 13175 */ "# XRay Function Patchable RET.\0" + /* 13206 */ "# XRay Typed Event Log.\0" + /* 13230 */ "# XRay Custom Event Log.\0" + /* 13255 */ "# XRay Function Enter.\0" + /* 13278 */ "# XRay Tail Call Exit.\0" + /* 13301 */ "# XRay Function Exit.\0" + /* 13323 */ "LIFETIME_END\0" + /* 13336 */ "PSEUDO_PROBE\0" + /* 13349 */ "BUNDLE\0" + /* 13356 */ "DBG_VALUE\0" + /* 13366 */ "DBG_INSTR_REF\0" + /* 13380 */ "DBG_PHI\0" + /* 13388 */ "DBG_LABEL\0" + /* 13398 */ "LIFETIME_START\0" + /* 13413 */ "DBG_VALUE_LIST\0" + /* 13428 */ "# FEntry call\0" +}; +#endif // CAPSTONE_DIET + + static const uint16_t OpInfo0[] = { + 0U, // PHI + 0U, // INLINEASM + 0U, // INLINEASM_BR + 0U, // CFI_INSTRUCTION + 0U, // EH_LABEL + 0U, // GC_LABEL + 0U, // ANNOTATION_LABEL + 0U, // KILL + 0U, // EXTRACT_SUBREG + 0U, // INSERT_SUBREG + 0U, // IMPLICIT_DEF + 0U, // SUBREG_TO_REG + 0U, // COPY_TO_REGCLASS + 13357U, // DBG_VALUE + 13414U, // DBG_VALUE_LIST + 13367U, // DBG_INSTR_REF + 13381U, // DBG_PHI + 13389U, // DBG_LABEL + 0U, // REG_SEQUENCE + 0U, // COPY + 13350U, // BUNDLE + 13399U, // LIFETIME_START + 13324U, // LIFETIME_END + 13337U, // PSEUDO_PROBE + 0U, // ARITH_FENCE + 0U, // STACKMAP + 13429U, // FENTRY_CALL + 0U, // PATCHPOINT + 0U, // LOAD_STACK_GUARD + 0U, // PREALLOCATED_SETUP + 0U, // PREALLOCATED_ARG + 0U, // STATEPOINT + 0U, // LOCAL_ESCAPE + 0U, // FAULTING_OP + 0U, // PATCHABLE_OP + 13256U, // PATCHABLE_FUNCTION_ENTER + 13176U, // PATCHABLE_RET + 13302U, // PATCHABLE_FUNCTION_EXIT + 13279U, // PATCHABLE_TAIL_CALL + 13231U, // PATCHABLE_EVENT_CALL + 13207U, // PATCHABLE_TYPED_EVENT_CALL + 0U, // ICALL_BRANCH_FUNNEL + 0U, // MEMBARRIER + 0U, // JUMP_TABLE_DEBUG_INFO + 0U, // G_ASSERT_SEXT + 0U, // G_ASSERT_ZEXT + 0U, // G_ASSERT_ALIGN + 0U, // G_ADD + 0U, // G_SUB + 0U, // G_MUL + 0U, // G_SDIV + 0U, // G_UDIV + 0U, // G_SREM + 0U, // G_UREM + 0U, // G_SDIVREM + 0U, // G_UDIVREM + 0U, // G_AND + 0U, // G_OR + 0U, // G_XOR + 0U, // G_IMPLICIT_DEF + 0U, // G_PHI + 0U, // G_FRAME_INDEX + 0U, // G_GLOBAL_VALUE + 0U, // G_CONSTANT_POOL + 0U, // G_EXTRACT + 0U, // G_UNMERGE_VALUES + 0U, // G_INSERT + 0U, // G_MERGE_VALUES + 0U, // G_BUILD_VECTOR + 0U, // G_BUILD_VECTOR_TRUNC + 0U, // G_CONCAT_VECTORS + 0U, // G_PTRTOINT + 0U, // G_INTTOPTR + 0U, // G_BITCAST + 0U, // G_FREEZE + 0U, // G_CONSTANT_FOLD_BARRIER + 0U, // G_INTRINSIC_FPTRUNC_ROUND + 0U, // G_INTRINSIC_TRUNC + 0U, // G_INTRINSIC_ROUND + 0U, // G_INTRINSIC_LRINT + 0U, // G_INTRINSIC_ROUNDEVEN + 0U, // G_READCYCLECOUNTER + 0U, // G_LOAD + 0U, // G_SEXTLOAD + 0U, // G_ZEXTLOAD + 0U, // G_INDEXED_LOAD + 0U, // G_INDEXED_SEXTLOAD + 0U, // G_INDEXED_ZEXTLOAD + 0U, // G_STORE + 0U, // G_INDEXED_STORE + 0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS + 0U, // G_ATOMIC_CMPXCHG + 0U, // G_ATOMICRMW_XCHG + 0U, // G_ATOMICRMW_ADD + 0U, // G_ATOMICRMW_SUB + 0U, // G_ATOMICRMW_AND + 0U, // G_ATOMICRMW_NAND + 0U, // G_ATOMICRMW_OR + 0U, // G_ATOMICRMW_XOR + 0U, // G_ATOMICRMW_MAX + 0U, // G_ATOMICRMW_MIN + 0U, // G_ATOMICRMW_UMAX + 0U, // G_ATOMICRMW_UMIN + 0U, // G_ATOMICRMW_FADD + 0U, // G_ATOMICRMW_FSUB + 0U, // G_ATOMICRMW_FMAX + 0U, // G_ATOMICRMW_FMIN + 0U, // G_ATOMICRMW_UINC_WRAP + 0U, // G_ATOMICRMW_UDEC_WRAP + 0U, // G_FENCE + 0U, // G_PREFETCH + 0U, // G_BRCOND + 0U, // G_BRINDIRECT + 0U, // G_INVOKE_REGION_START + 0U, // G_INTRINSIC + 0U, // G_INTRINSIC_W_SIDE_EFFECTS + 0U, // G_INTRINSIC_CONVERGENT + 0U, // G_INTRINSIC_CONVERGENT_W_SIDE_EFFECTS + 0U, // G_ANYEXT + 0U, // G_TRUNC + 0U, // G_CONSTANT + 0U, // G_FCONSTANT + 0U, // G_VASTART + 0U, // G_VAARG + 0U, // G_SEXT + 0U, // G_SEXT_INREG + 0U, // G_ZEXT + 0U, // G_SHL + 0U, // G_LSHR + 0U, // G_ASHR + 0U, // G_FSHL + 0U, // G_FSHR + 0U, // G_ROTR + 0U, // G_ROTL + 0U, // G_ICMP + 0U, // G_FCMP + 0U, // G_SELECT + 0U, // G_UADDO + 0U, // G_UADDE + 0U, // G_USUBO + 0U, // G_USUBE + 0U, // G_SADDO + 0U, // G_SADDE + 0U, // G_SSUBO + 0U, // G_SSUBE + 0U, // G_UMULO + 0U, // G_SMULO + 0U, // G_UMULH + 0U, // G_SMULH + 0U, // G_UADDSAT + 0U, // G_SADDSAT + 0U, // G_USUBSAT + 0U, // G_SSUBSAT + 0U, // G_USHLSAT + 0U, // G_SSHLSAT + 0U, // G_SMULFIX + 0U, // G_UMULFIX + 0U, // G_SMULFIXSAT + 0U, // G_UMULFIXSAT + 0U, // G_SDIVFIX + 0U, // G_UDIVFIX + 0U, // G_SDIVFIXSAT + 0U, // G_UDIVFIXSAT + 0U, // G_FADD + 0U, // G_FSUB + 0U, // G_FMUL + 0U, // G_FMA + 0U, // G_FMAD + 0U, // G_FDIV + 0U, // G_FREM + 0U, // G_FPOW + 0U, // G_FPOWI + 0U, // G_FEXP + 0U, // G_FEXP2 + 0U, // G_FEXP10 + 0U, // G_FLOG + 0U, // G_FLOG2 + 0U, // G_FLOG10 + 0U, // G_FLDEXP + 0U, // G_FFREXP + 0U, // G_FNEG + 0U, // G_FPEXT + 0U, // G_FPTRUNC + 0U, // G_FPTOSI + 0U, // G_FPTOUI + 0U, // G_SITOFP + 0U, // G_UITOFP + 0U, // G_FABS + 0U, // G_FCOPYSIGN + 0U, // G_IS_FPCLASS + 0U, // G_FCANONICALIZE + 0U, // G_FMINNUM + 0U, // G_FMAXNUM + 0U, // G_FMINNUM_IEEE + 0U, // G_FMAXNUM_IEEE + 0U, // G_FMINIMUM + 0U, // G_FMAXIMUM + 0U, // G_GET_FPENV + 0U, // G_SET_FPENV + 0U, // G_RESET_FPENV + 0U, // G_GET_FPMODE + 0U, // G_SET_FPMODE + 0U, // G_RESET_FPMODE + 0U, // G_PTR_ADD + 0U, // G_PTRMASK + 0U, // G_SMIN + 0U, // G_SMAX + 0U, // G_UMIN + 0U, // G_UMAX + 0U, // G_ABS + 0U, // G_LROUND + 0U, // G_LLROUND + 0U, // G_BR + 0U, // G_BRJT + 0U, // G_INSERT_VECTOR_ELT + 0U, // G_EXTRACT_VECTOR_ELT + 0U, // G_SHUFFLE_VECTOR + 0U, // G_CTTZ + 0U, // G_CTTZ_ZERO_UNDEF + 0U, // G_CTLZ + 0U, // G_CTLZ_ZERO_UNDEF + 0U, // G_CTPOP + 0U, // G_BSWAP + 0U, // G_BITREVERSE + 0U, // G_FCEIL + 0U, // G_FCOS + 0U, // G_FSIN + 0U, // G_FSQRT + 0U, // G_FFLOOR + 0U, // G_FRINT + 0U, // G_FNEARBYINT + 0U, // G_ADDRSPACE_CAST + 0U, // G_BLOCK_ADDR + 0U, // G_JUMP_TABLE + 0U, // G_DYN_STACKALLOC + 0U, // G_STACKSAVE + 0U, // G_STACKRESTORE + 0U, // G_STRICT_FADD + 0U, // G_STRICT_FSUB + 0U, // G_STRICT_FMUL + 0U, // G_STRICT_FDIV + 0U, // G_STRICT_FREM + 0U, // G_STRICT_FMA + 0U, // G_STRICT_FSQRT + 0U, // G_STRICT_FLDEXP + 0U, // G_READ_REGISTER + 0U, // G_WRITE_REGISTER + 0U, // G_MEMCPY + 0U, // G_MEMCPY_INLINE + 0U, // G_MEMMOVE + 0U, // G_MEMSET + 0U, // G_BZERO + 0U, // G_VECREDUCE_SEQ_FADD + 0U, // G_VECREDUCE_SEQ_FMUL + 0U, // G_VECREDUCE_FADD + 0U, // G_VECREDUCE_FMUL + 0U, // G_VECREDUCE_FMAX + 0U, // G_VECREDUCE_FMIN + 0U, // G_VECREDUCE_FMAXIMUM + 0U, // G_VECREDUCE_FMINIMUM + 0U, // G_VECREDUCE_ADD + 0U, // G_VECREDUCE_MUL + 0U, // G_VECREDUCE_AND + 0U, // G_VECREDUCE_OR + 0U, // G_VECREDUCE_XOR + 0U, // G_VECREDUCE_SMAX + 0U, // G_VECREDUCE_SMIN + 0U, // G_VECREDUCE_UMAX + 0U, // G_VECREDUCE_UMIN + 0U, // G_SBFX + 0U, // G_UBFX + 7U, // ADJCALLSTACKDOWN + 7U, // ADJCALLSTACKUP + 7U, // PseudoAtomicLoadAdd32 + 7U, // PseudoAtomicLoadAnd32 + 7U, // PseudoAtomicLoadNand32 + 7U, // PseudoAtomicLoadNand64 + 7U, // PseudoAtomicLoadOr32 + 7U, // PseudoAtomicLoadSub32 + 7U, // PseudoAtomicLoadXor32 + 7U, // PseudoAtomicStoreD + 7U, // PseudoAtomicStoreW + 7U, // PseudoAtomicSwap32 + 7U, // PseudoBR + 7U, // PseudoBRIND + 7U, // PseudoB_TAIL + 7U, // PseudoCALL + 16409U, // PseudoCALL36 + 7U, // PseudoCALLIndirect + 7U, // PseudoCALL_LARGE + 7U, // PseudoCALL_MEDIUM + 7U, // PseudoCmpXchg32 + 7U, // PseudoCmpXchg64 + 7U, // PseudoCopyCFR + 7U, // PseudoJIRL_CALL + 7U, // PseudoJIRL_TAIL + 24878U, // PseudoLA_ABS + 24878U, // PseudoLA_ABS_LARGE + 24896U, // PseudoLA_GOT + 24896U, // PseudoLA_GOT_LARGE + 23302U, // PseudoLA_PCREL + 23302U, // PseudoLA_PCREL_LARGE + 21043U, // PseudoLA_TLS_GD + 21043U, // PseudoLA_TLS_GD_LARGE + 21114U, // PseudoLA_TLS_IE + 21114U, // PseudoLA_TLS_IE_LARGE + 21065U, // PseudoLA_TLS_LD + 21065U, // PseudoLA_TLS_LD_LARGE + 21125U, // PseudoLA_TLS_LE + 7U, // PseudoLD_CFR + 18967U, // PseudoLI_D + 28185U, // PseudoLI_W + 7U, // PseudoMaskedAtomicLoadAdd32 + 7U, // PseudoMaskedAtomicLoadMax32 + 7U, // PseudoMaskedAtomicLoadMin32 + 7U, // PseudoMaskedAtomicLoadNand32 + 7U, // PseudoMaskedAtomicLoadSub32 + 7U, // PseudoMaskedAtomicLoadUMax32 + 7U, // PseudoMaskedAtomicLoadUMin32 + 7U, // PseudoMaskedAtomicSwap32 + 7U, // PseudoMaskedCmpXchg32 + 7U, // PseudoRET + 7U, // PseudoST_CFR + 7U, // PseudoTAIL + 16401U, // PseudoTAIL36 + 7U, // PseudoTAILIndirect + 7U, // PseudoTAIL_LARGE + 7U, // PseudoTAIL_MEDIUM + 7U, // PseudoUNIMP + 7U, // PseudoVBNZ + 7U, // PseudoVBNZ_B + 7U, // PseudoVBNZ_D + 7U, // PseudoVBNZ_H + 7U, // PseudoVBNZ_W + 7U, // PseudoVBZ + 7U, // PseudoVBZ_B + 7U, // PseudoVBZ_D + 7U, // PseudoVBZ_H + 7U, // PseudoVBZ_W + 17096U, // PseudoVREPLI_B + 19006U, // PseudoVREPLI_D + 21976U, // PseudoVREPLI_H + 28224U, // PseudoVREPLI_W + 7U, // PseudoXVBNZ + 7U, // PseudoXVBNZ_B + 7U, // PseudoXVBNZ_D + 7U, // PseudoXVBNZ_H + 7U, // PseudoXVBNZ_W + 7U, // PseudoXVBZ + 7U, // PseudoXVBZ_B + 7U, // PseudoXVBZ_D + 7U, // PseudoXVBZ_H + 7U, // PseudoXVBZ_W + 7U, // PseudoXVINSGR2VR_B + 7U, // PseudoXVINSGR2VR_H + 17095U, // PseudoXVREPLI_B + 19005U, // PseudoXVREPLI_D + 21975U, // PseudoXVREPLI_H + 28223U, // PseudoXVREPLI_W + 7U, // RDFCSR + 7U, // WRFCSR + 16548U, // ADC_B + 18301U, // ADC_D + 21630U, // ADC_H + 27262U, // ADC_W + 18916U, // ADDI_D + 28134U, // ADDI_W + 18843U, // ADDU12I_D + 28070U, // ADDU12I_W + 18884U, // ADDU16I_D + 18337U, // ADD_D + 27559U, // ADD_W + 19369U, // ALSL_D + 28567U, // ALSL_W + 26683U, // ALSL_WU + 16598U, // AMADD_B + 18354U, // AMADD_D + 21680U, // AMADD_H + 27566U, // AMADD_W + 16459U, // AMADD__DB_B + 18090U, // AMADD__DB_D + 21541U, // AMADD__DB_H + 27094U, // AMADD__DB_W + 18443U, // AMAND_D + 27630U, // AMAND_W + 18102U, // AMAND__DB_D + 27106U, // AMAND__DB_W + 17619U, // AMCAS_B + 20078U, // AMCAS_D + 22496U, // AMCAS_H + 28956U, // AMCAS_W + 16484U, // AMCAS__DB_B + 18162U, // AMCAS__DB_D + 21566U, // AMCAS__DB_H + 27166U, // AMCAS__DB_W + 20938U, // AMMAX_D + 25842U, // AMMAX_DU + 29376U, // AMMAX_W + 26869U, // AMMAX_WU + 18174U, // AMMAX__DB_D + 25391U, // AMMAX__DB_DU + 27178U, // AMMAX__DB_W + 26360U, // AMMAX__DB_WU + 19481U, // AMMIN_D + 25585U, // AMMIN_DU + 28643U, // AMMIN_W + 26703U, // AMMIN_WU + 18114U, // AMMIN__DB_D + 25378U, // AMMIN__DB_DU + 27118U, // AMMIN__DB_W + 26347U, // AMMIN__DB_WU + 19939U, // AMOR_D + 28800U, // AMOR_W + 18139U, // AMOR__DB_D + 27143U, // AMOR__DB_W + 17444U, // AMSWAP_B + 19543U, // AMSWAP_D + 22295U, // AMSWAP_H + 28677U, // AMSWAP_W + 16471U, // AMSWAP__DB_B + 18126U, // AMSWAP__DB_D + 21553U, // AMSWAP__DB_H + 27130U, // AMSWAP__DB_W + 19971U, // AMXOR_D + 28827U, // AMXOR_W + 18150U, // AMXOR__DB_D + 27154U, // AMXOR__DB_W + 21089U, // AND + 23210U, // ANDI + 23362U, // ANDN + 27269U, // ARMADC_W + 27575U, // ARMADD_W + 27639U, // ARMAND_W + 21221U, // ARMMFFLAG + 21181U, // ARMMOVE + 20628U, // ARMMOV_D + 29343U, // ARMMOV_W + 21243U, // ARMMTFLAG + 29045U, // ARMNOT_W + 28808U, // ARMOR_W + 28367U, // ARMROTRI_W + 28857U, // ARMROTR_W + 29401U, // ARMRRX_W + 27249U, // ARMSBC_W + 28202U, // ARMSLLI_W + 28507U, // ARMSLL_W + 28113U, // ARMSRAI_W + 27052U, // ARMSRA_W + 28245U, // ARMSRLI_W + 28548U, // ARMSRL_W + 27200U, // ARMSUB_W + 28836U, // ARMXOR_W + 20161U, // ASRTGT_D + 18563U, // ASRTLE_D + 16427U, // B + 29544U, // BCEQZ + 29522U, // BCNEZ + 23650U, // BEQ + 29538U, // BEQZ + 21109U, // BGE + 25862U, // BGEU + 17984U, // BITREV_4B + 17995U, // BITREV_8B + 20578U, // BITREV_D + 29311U, // BITREV_W + 23286U, // BL + 24886U, // BLT + 26335U, // BLTU + 21142U, // BNE + 29516U, // BNEZ + 23253U, // BREAK + 36479U, // BSTRINS_D + 45349U, // BSTRINS_W + 19207U, // BSTRPICK_D + 28448U, // BSTRPICK_W + 19195U, // BYTEPICK_D + 28436U, // BYTEPICK_W + 23379U, // CACOP + 19529U, // CLO_D + 28663U, // CLO_W + 20989U, // CLZ_D + 29435U, // CLZ_W + 21265U, // CPUCFG + 27071U, // CRCC_W_B_W + 27497U, // CRCC_W_D_W + 27996U, // CRCC_W_H_W + 29353U, // CRCC_W_W_W + 27083U, // CRC_W_B_W + 27509U, // CRC_W_D_W + 28008U, // CRC_W_H_W + 29365U, // CRC_W_W_W + 21102U, // CSRRD + 40150U, // CSRWR + 37658U, // CSRXCHG + 19536U, // CTO_D + 28670U, // CTO_W + 21024U, // CTZ_D + 29457U, // CTZ_W + 23655U, // DBAR + 23290U, // DBCL + 20591U, // DIV_D + 25834U, // DIV_DU + 29323U, // DIV_W + 26861U, // DIV_WU + 6989U, // ERTN + 17865U, // EXT_W_B + 22945U, // EXT_W_H + 20087U, // FABS_D + 24580U, // FABS_S + 18346U, // FADD_D + 23888U, // FADD_S + 20121U, // FCLASS_D + 24590U, // FCLASS_S + 18746U, // FCMP_CAF_D + 24102U, // FCMP_CAF_S + 19753U, // FCMP_CEQ_D + 24471U, // FCMP_CEQ_S + 18519U, // FCMP_CLE_D + 23928U, // FCMP_CLE_S + 20182U, // FCMP_CLT_D + 24620U, // FCMP_CLT_S + 18624U, // FCMP_CNE_D + 24004U, // FCMP_CNE_S + 19927U, // FCMP_COR_D + 24554U, // FCMP_COR_S + 19790U, // FCMP_CUEQ_D + 24499U, // FCMP_CUEQ_S + 18584U, // FCMP_CULE_D + 23974U, // FCMP_CULE_S + 20219U, // FCMP_CULT_D + 24648U, // FCMP_CULT_S + 18666U, // FCMP_CUNE_D + 24046U, // FCMP_CUNE_S + 19501U, // FCMP_CUN_D + 24418U, // FCMP_CUN_S + 18760U, // FCMP_SAF_D + 24116U, // FCMP_SAF_S + 19767U, // FCMP_SEQ_D + 24485U, // FCMP_SEQ_S + 18542U, // FCMP_SLE_D + 23951U, // FCMP_SLE_S + 20196U, // FCMP_SLT_D + 24634U, // FCMP_SLT_S + 18652U, // FCMP_SNE_D + 24032U, // FCMP_SNE_S + 19949U, // FCMP_SOR_D + 24568U, // FCMP_SOR_S + 19805U, // FCMP_SUEQ_D + 24514U, // FCMP_SUEQ_S + 18599U, // FCMP_SULE_D + 23989U, // FCMP_SULE_S + 20234U, // FCMP_SULT_D + 24663U, // FCMP_SULT_S + 18681U, // FCMP_SUNE_D + 24061U, // FCMP_SUNE_S + 19515U, // FCMP_SUN_D + 24432U, // FCMP_SUN_S + 19458U, // FCOPYSIGN_D + 24393U, // FCOPYSIGN_S + 21054U, // FCVT_D_LD + 23876U, // FCVT_D_S + 18415U, // FCVT_LD_D + 20068U, // FCVT_S_D + 18506U, // FCVT_UD_D + 20590U, // FDIV_D + 24749U, // FDIV_S + 23262U, // FFINT_D_L + 27416U, // FFINT_D_W + 23275U, // FFINT_S_L + 28945U, // FFINT_S_W + 20152U, // FLDGT_D + 24600U, // FLDGT_S + 18531U, // FLDLE_D + 23940U, // FLDLE_S + 20956U, // FLDX_D + 24849U, // FLDX_S + 18426U, // FLD_D + 23919U, // FLD_S + 18199U, // FLOGB_D + 23808U, // FLOGB_S + 18365U, // FMADD_D + 23898U, // FMADD_S + 18081U, // FMAXA_D + 23786U, // FMAXA_S + 20930U, // FMAX_D + 24841U, // FMAX_S + 18051U, // FMINA_D + 23775U, // FMINA_S + 19473U, // FMIN_D + 24408U, // FMIN_S + 20620U, // FMOV_D + 24757U, // FMOV_S + 18230U, // FMSUB_D + 23829U, // FMSUB_S + 19407U, // FMUL_D + 24372U, // FMUL_S + 18782U, // FNEG_D + 24128U, // FNEG_S + 18376U, // FNMADD_D + 23909U, // FNMADD_S + 18241U, // FNMSUB_D + 23840U, // FNMSUB_S + 18696U, // FRECIPE_D + 24076U, // FRECIPE_S + 19555U, // FRECIP_D + 24446U, // FRECIP_S + 20259U, // FRINT_D + 24678U, // FRINT_S + 18709U, // FRSQRTE_D + 24089U, // FRSQRTE_S + 20281U, // FRSQRT_D + 24700U, // FRSQRT_S + 18186U, // FSCALEB_D + 23795U, // FSCALEB_S + 23312U, // FSEL_xD + 23312U, // FSEL_xS + 20270U, // FSQRT_D + 24689U, // FSQRT_S + 20171U, // FSTGT_D + 24609U, // FSTGT_S + 18573U, // FSTLE_D + 23963U, // FSTLE_S + 20964U, // FSTX_D + 24857U, // FSTX_S + 20291U, // FST_D + 24710U, // FST_S + 18220U, // FSUB_D + 23819U, // FSUB_S + 19237U, // FTINTRM_L_D + 24320U, // FTINTRM_L_S + 20768U, // FTINTRM_W_D + 24783U, // FTINTRM_W_S + 19221U, // FTINTRNE_L_D + 24148U, // FTINTRNE_L_S + 20640U, // FTINTRNE_W_D + 24767U, // FTINTRNE_W_S + 19252U, // FTINTRP_L_D + 24333U, // FTINTRP_L_S + 20887U, // FTINTRP_W_D + 24798U, // FTINTRP_W_S + 19280U, // FTINTRZ_L_D + 24357U, // FTINTRZ_L_S + 20915U, // FTINTRZ_W_D + 24826U, // FTINTRZ_W_S + 19267U, // FTINT_L_D + 24346U, // FTINT_L_S + 20902U, // FTINT_W_D + 24813U, // FTINT_W_S + 21101U, // GCSRRD + 40149U, // GCSRWR + 37657U, // GCSRXCHG + 6767U, // GTLBFLUSH + 23296U, // HVCL + 23661U, // IBAR + 21136U, // IDLE + 50774U, // INVTLB + 16685U, // IOCSRRD_B + 18485U, // IOCSRRD_D + 21767U, // IOCSRRD_H + 27682U, // IOCSRRD_W + 17608U, // IOCSRWR_B + 20055U, // IOCSRWR_D + 22459U, // IOCSRWR_H + 28932U, // IOCSRWR_W + 23336U, // JIRL + 16385U, // JISCR0 + 16393U, // JISCR1 + 23721U, // LDDIR + 17662U, // LDGT_B + 20153U, // LDGT_D + 22539U, // LDGT_H + 29010U, // LDGT_W + 16706U, // LDLE_B + 18532U, // LDLE_D + 21788U, // LDLE_H + 27703U, // LDLE_W + 19303U, // LDL_D + 28470U, // LDL_W + 21174U, // LDPTE + 20001U, // LDPTR_D + 28878U, // LDPTR_W + 19838U, // LDR_D + 28725U, // LDR_W + 17896U, // LDX_B + 25370U, // LDX_BU + 20957U, // LDX_D + 23059U, // LDX_H + 26313U, // LDX_HU + 29394U, // LDX_W + 26889U, // LDX_WU + 16636U, // LD_B + 24932U, // LD_BU + 18420U, // LD_D + 21718U, // LD_H + 25890U, // LD_HU + 27614U, // LD_W + 26550U, // LD_WU + 19742U, // LLACQ_D + 28687U, // LLACQ_W + 19323U, // LL_D + 28501U, // LL_W + 28081U, // LU12I_W + 35238U, // LU32I_D + 18863U, // LU52I_D + 29551U, // MASKEQZ + 29529U, // MASKNEZ + 18478U, // MOD_D + 25450U, // MOD_DU + 27675U, // MOD_W + 26559U, // MOD_WU + 23678U, // MOVCF2FR_xS + 23688U, // MOVCF2GR + 23709U, // MOVFCSR2GR + 21190U, // MOVFR2CF_xS + 19872U, // MOVFR2GR_D + 24540U, // MOVFR2GR_S + 24540U, // MOVFR2GR_S_64 + 24527U, // MOVFRH2GR_S + 21200U, // MOVGR2CF + 23746U, // MOVGR2FCSR + 44422U, // MOVGR2FRH_W + 19845U, // MOVGR2FR_D + 28732U, // MOVGR2FR_W + 28732U, // MOVGR2FR_W_64 + 23667U, // MOVGR2SCR + 23698U, // MOVSCR2GR + 18808U, // MULH_D + 25489U, // MULH_DU + 28030U, // MULH_W + 26598U, // MULH_WU + 27546U, // MULW_D_W + 26517U, // MULW_D_WU + 19398U, // MUL_D + 28596U, // MUL_W + 23736U, // NOR + 23737U, // OR + 23217U, // ORI + 23368U, // ORN + 23195U, // PCADDI + 23173U, // PCADDU12I + 23184U, // PCADDU18I + 23162U, // PCALAU12I + 21076U, // PRELD + 29494U, // PRELDX + 17185U, // RCRI_B + 19094U, // RCRI_D + 22065U, // RCRI_H + 28323U, // RCRI_W + 17487U, // RCR_B + 19831U, // RCR_D + 22338U, // RCR_H + 28718U, // RCR_W + 28019U, // RDTIMEH_W + 28477U, // RDTIMEL_W + 18612U, // RDTIME_D + 23124U, // REVB_2H + 29476U, // REVB_2W + 23133U, // REVB_4H + 18280U, // REVB_D + 29485U, // REVH_2W + 18825U, // REVH_D + 17249U, // ROTRI_B + 19129U, // ROTRI_D + 22100U, // ROTRI_H + 28358U, // ROTRI_W + 17562U, // ROTR_B + 19983U, // ROTR_D + 22413U, // ROTR_H + 28849U, // ROTR_W + 16538U, // SBC_B + 18291U, // SBC_D + 21620U, // SBC_H + 27242U, // SBC_W + 35694U, // SCREL_D + 44872U, // SCREL_W + 34712U, // SC_D + 39834U, // SC_Q + 43683U, // SC_W + 23244U, // SETARMJ + 23235U, // SETX86J + 21161U, // SETX86LOOPE + 21147U, // SETX86LOOPNE + 24102U, // SET_CFR_FALSE + 24499U, // SET_CFR_TRUE + 18987U, // SLLI_D + 28194U, // SLLI_W + 19322U, // SLL_D + 28500U, // SLL_W + 24891U, // SLT + 23222U, // SLTI + 26341U, // SLTU + 23228U, // SLTUI + 18898U, // SRAI_D + 28105U, // SRAI_W + 18063U, // SRA_D + 27045U, // SRA_W + 19019U, // SRLI_D + 28237U, // SRLI_W + 19353U, // SRL_D + 28541U, // SRL_W + 17670U, // STGT_B + 20172U, // STGT_D + 22547U, // STGT_H + 29018U, // STGT_W + 16723U, // STLE_B + 18574U, // STLE_D + 21805U, // STLE_H + 27720U, // STLE_W + 19388U, // STL_D + 28586U, // STL_W + 20010U, // STPTR_D + 28887U, // STPTR_W + 20019U, // STR_D + 28896U, // STR_W + 17903U, // STX_B + 20965U, // STX_D + 23066U, // STX_H + 29411U, // STX_W + 17697U, // ST_B + 20292U, // ST_D + 22574U, // ST_H + 29055U, // ST_W + 18211U, // SUB_D + 27193U, // SUB_W + 23318U, // SYSCALL + 7344U, // TLBCLR + 6943U, // TLBFILL + 6768U, // TLBFLUSH + 4710U, // TLBRD + 6758U, // TLBSRCH + 7374U, // TLBWR + 16697U, // VABSD_B + 24950U, // VABSD_BU + 18497U, // VABSD_D + 25459U, // VABSD_DU + 21779U, // VABSD_H + 25908U, // VABSD_HU + 27694U, // VABSD_W + 26568U, // VABSD_WU + 16431U, // VADDA_B + 18040U, // VADDA_D + 21297U, // VADDA_H + 27033U, // VADDA_W + 25124U, // VADDI_BU + 25520U, // VADDI_DU + 25960U, // VADDI_HU + 26629U, // VADDI_WU + 27457U, // VADDWEV_D_W + 26488U, // VADDWEV_D_WU + 29252U, // VADDWEV_D_WU_W + 16884U, // VADDWEV_H_B + 25073U, // VADDWEV_H_BU + 17774U, // VADDWEV_H_BU_B + 19689U, // VADDWEV_Q_D + 25698U, // VADDWEV_Q_DU + 20369U, // VADDWEV_Q_DU_D + 22984U, // VADDWEV_W_H + 26274U, // VADDWEV_W_HU + 22771U, // VADDWEV_W_HU_H + 27335U, // VADDWOD_D_W + 26427U, // VADDWOD_D_WU + 29200U, // VADDWOD_D_WU_W + 16801U, // VADDWOD_H_B + 25012U, // VADDWOD_H_BU + 17722U, // VADDWOD_H_BU_B + 19608U, // VADDWOD_Q_D + 25637U, // VADDWOD_Q_DU + 20317U, // VADDWOD_Q_DU_D + 22892U, // VADDWOD_W_H + 26213U, // VADDWOD_W_HU + 22719U, // VADDWOD_W_HU_H + 16628U, // VADD_B + 18407U, // VADD_D + 21710U, // VADD_H + 23569U, // VADD_Q + 27606U, // VADD_W + 17003U, // VANDI_B + 26939U, // VANDN_V + 26898U, // VAND_V + 17509U, // VAVGR_B + 25215U, // VAVGR_BU + 19885U, // VAVGR_D + 25744U, // VAVGR_DU + 22360U, // VAVGR_H + 26051U, // VAVGR_HU + 28760U, // VAVGR_W + 26740U, // VAVGR_WU + 16763U, // VAVG_B + 24971U, // VAVG_BU + 18800U, // VAVG_D + 25480U, // VAVG_DU + 21845U, // VAVG_H + 25929U, // VAVG_HU + 27772U, // VAVG_W + 26589U, // VAVG_WU + 17194U, // VBITCLRI_B + 19103U, // VBITCLRI_D + 22074U, // VBITCLRI_H + 28332U, // VBITCLRI_W + 17519U, // VBITCLR_B + 19895U, // VBITCLR_D + 22370U, // VBITCLR_H + 28770U, // VBITCLR_W + 17293U, // VBITREVI_B + 19173U, // VBITREVI_D + 22144U, // VBITREVI_H + 28414U, // VBITREVI_W + 17832U, // VBITREV_B + 20577U, // VBITREV_D + 22829U, // VBITREV_H + 29310U, // VBITREV_W + 33446U, // VBITSELI_B + 26907U, // VBITSEL_V + 17270U, // VBITSETI_B + 19150U, // VBITSETI_D + 22121U, // VBITSETI_H + 28391U, // VBITSETI_W + 17651U, // VBITSET_B + 20141U, // VBITSET_D + 22528U, // VBITSET_H + 28999U, // VBITSET_W + 26919U, // VBSLL_V + 26929U, // VBSRL_V + 17436U, // VCLO_B + 19528U, // VCLO_D + 22287U, // VCLO_H + 28662U, // VCLO_W + 17938U, // VCLZ_B + 20988U, // VCLZ_D + 23089U, // VCLZ_H + 29434U, // VCLZ_W + 17844U, // VDIV_B + 25351U, // VDIV_BU + 20599U, // VDIV_D + 25833U, // VDIV_DU + 22841U, // VDIV_H + 26172U, // VDIV_HU + 29322U, // VDIV_W + 26860U, // VDIV_WU + 25245U, // VEXT2XV_DU_BU + 26081U, // VEXT2XV_DU_HU + 26814U, // VEXT2XV_DU_WU + 16575U, // VEXT2XV_D_B + 21657U, // VEXT2XV_D_H + 27484U, // VEXT2XV_D_W + 25290U, // VEXT2XV_HU_BU + 16911U, // VEXT2XV_H_B + 25335U, // VEXT2XV_WU_BU + 26126U, // VEXT2XV_WU_HU + 17874U, // VEXT2XV_W_B + 23011U, // VEXT2XV_W_H + 26785U, // VEXTH_DU_WU + 27377U, // VEXTH_D_W + 25261U, // VEXTH_HU_BU + 16829U, // VEXTH_H_B + 25775U, // VEXTH_QU_DU + 19636U, // VEXTH_Q_D + 26097U, // VEXTH_WU_HU + 22920U, // VEXTH_W_H + 25789U, // VEXTL_QU_DU + 19648U, // VEXTL_Q_D + 34013U, // VEXTRINS_B + 36491U, // VEXTRINS_D + 38890U, // VEXTRINS_H + 45361U, // VEXTRINS_W + 18345U, // VFADD_D + 23887U, // VFADD_S + 20120U, // VFCLASS_D + 24589U, // VFCLASS_S + 18745U, // VFCMP_CAF_D + 24101U, // VFCMP_CAF_S + 19752U, // VFCMP_CEQ_D + 24470U, // VFCMP_CEQ_S + 18518U, // VFCMP_CLE_D + 23927U, // VFCMP_CLE_S + 20181U, // VFCMP_CLT_D + 24619U, // VFCMP_CLT_S + 18623U, // VFCMP_CNE_D + 24003U, // VFCMP_CNE_S + 19926U, // VFCMP_COR_D + 24553U, // VFCMP_COR_S + 19789U, // VFCMP_CUEQ_D + 24498U, // VFCMP_CUEQ_S + 18583U, // VFCMP_CULE_D + 23973U, // VFCMP_CULE_S + 20218U, // VFCMP_CULT_D + 24647U, // VFCMP_CULT_S + 18665U, // VFCMP_CUNE_D + 24045U, // VFCMP_CUNE_S + 19500U, // VFCMP_CUN_D + 24417U, // VFCMP_CUN_S + 18759U, // VFCMP_SAF_D + 24115U, // VFCMP_SAF_S + 19766U, // VFCMP_SEQ_D + 24484U, // VFCMP_SEQ_S + 18541U, // VFCMP_SLE_D + 23950U, // VFCMP_SLE_S + 20195U, // VFCMP_SLT_D + 24633U, // VFCMP_SLT_S + 18651U, // VFCMP_SNE_D + 24031U, // VFCMP_SNE_S + 19948U, // VFCMP_SOR_D + 24567U, // VFCMP_SOR_S + 19804U, // VFCMP_SUEQ_D + 24513U, // VFCMP_SUEQ_S + 18598U, // VFCMP_SULE_D + 23988U, // VFCMP_SULE_S + 20233U, // VFCMP_SULT_D + 24662U, // VFCMP_SULT_S + 18680U, // VFCMP_SUNE_D + 24060U, // VFCMP_SUNE_S + 19514U, // VFCMP_SUN_D + 24431U, // VFCMP_SUN_S + 23851U, // VFCVTH_D_S + 22471U, // VFCVTH_S_H + 23864U, // VFCVTL_D_S + 22484U, // VFCVTL_S_H + 24137U, // VFCVT_H_S + 20067U, // VFCVT_S_D + 20589U, // VFDIV_D + 24748U, // VFDIV_S + 27363U, // VFFINTH_D_W + 27403U, // VFFINTL_D_W + 23261U, // VFFINT_D_L + 26322U, // VFFINT_D_LU + 23274U, // VFFINT_S_L + 28944U, // VFFINT_S_W + 26751U, // VFFINT_S_WU + 18198U, // VFLOGB_D + 23807U, // VFLOGB_S + 18364U, // VFMADD_D + 23897U, // VFMADD_S + 18080U, // VFMAXA_D + 23785U, // VFMAXA_S + 20929U, // VFMAX_D + 24840U, // VFMAX_S + 18050U, // VFMINA_D + 23774U, // VFMINA_S + 19472U, // VFMIN_D + 24407U, // VFMIN_S + 18229U, // VFMSUB_D + 23828U, // VFMSUB_S + 19406U, // VFMUL_D + 24371U, // VFMUL_S + 18375U, // VFNMADD_D + 23908U, // VFNMADD_S + 18240U, // VFNMSUB_D + 23839U, // VFNMSUB_S + 18695U, // VFRECIPE_D + 24075U, // VFRECIPE_S + 19554U, // VFRECIP_D + 24445U, // VFRECIP_S + 19446U, // VFRINTRM_D + 24381U, // VFRINTRM_S + 18637U, // VFRINTRNE_D + 24017U, // VFRINTRNE_S + 19566U, // VFRINTRP_D + 24457U, // VFRINTRP_S + 21012U, // VFRINTRZ_D + 24866U, // VFRINTRZ_S + 20258U, // VFRINT_D + 24677U, // VFRINT_S + 18708U, // VFRSQRTE_D + 24088U, // VFRSQRTE_S + 20280U, // VFRSQRT_D + 24699U, // VFRSQRT_S + 33534U, // VFRSTPI_B + 38414U, // VFRSTPI_H + 33839U, // VFRSTP_B + 38690U, // VFRSTP_H + 20269U, // VFSQRT_D + 24688U, // VFSQRT_S + 18219U, // VFSUB_D + 23818U, // VFSUB_S + 24212U, // VFTINTH_L_S + 24291U, // VFTINTL_L_S + 24180U, // VFTINTRMH_L_S + 24259U, // VFTINTRML_L_S + 19236U, // VFTINTRM_L_D + 20767U, // VFTINTRM_W_D + 24782U, // VFTINTRM_W_S + 24163U, // VFTINTRNEH_L_S + 24242U, // VFTINTRNEL_L_S + 19220U, // VFTINTRNE_L_D + 20639U, // VFTINTRNE_W_D + 24766U, // VFTINTRNE_W_S + 24196U, // VFTINTRPH_L_S + 24275U, // VFTINTRPL_L_S + 19251U, // VFTINTRP_L_D + 20886U, // VFTINTRP_W_D + 24797U, // VFTINTRP_W_S + 24226U, // VFTINTRZH_L_S + 24305U, // VFTINTRZL_L_S + 20417U, // VFTINTRZ_LU_D + 19279U, // VFTINTRZ_L_D + 24732U, // VFTINTRZ_WU_S + 20914U, // VFTINTRZ_W_D + 24825U, // VFTINTRZ_W_S + 20403U, // VFTINT_LU_D + 19266U, // VFTINT_L_D + 24718U, // VFTINT_WU_S + 20901U, // VFTINT_W_D + 24812U, // VFTINT_W_S + 26845U, // VHADDW_DU_WU + 27534U, // VHADDW_D_W + 25321U, // VHADDW_HU_BU + 16938U, // VHADDW_H_B + 25818U, // VHADDW_QU_DU + 19730U, // VHADDW_Q_D + 26157U, // VHADDW_WU_HU + 23038U, // VHADDW_W_H + 26830U, // VHSUBW_DU_WU + 27521U, // VHSUBW_D_W + 25306U, // VHSUBW_HU_BU + 16925U, // VHSUBW_H_B + 25803U, // VHSUBW_QU_DU + 19717U, // VHSUBW_Q_D + 26142U, // VHSUBW_WU_HU + 23025U, // VHSUBW_W_H + 16960U, // VILVH_B + 18834U, // VILVH_D + 21863U, // VILVH_H + 28061U, // VILVH_W + 17406U, // VILVL_B + 19425U, // VILVL_D + 22257U, // VILVL_H + 28613U, // VILVL_W + 33979U, // VINSGR2VR_B + 36426U, // VINSGR2VR_D + 38830U, // VINSGR2VR_H + 45303U, // VINSGR2VR_W + 21084U, // VLD + 23204U, // VLDI + 17345U, // VLDREPL_B + 19339U, // VLDREPL_D + 22196U, // VLDREPL_H + 28527U, // VLDREPL_W + 29503U, // VLDX + 43826U, // VMADDWEV_D_W + 42856U, // VMADDWEV_D_WU + 45618U, // VMADDWEV_D_WU_W + 33253U, // VMADDWEV_H_B + 41441U, // VMADDWEV_H_BU + 34140U, // VMADDWEV_H_BU_B + 36058U, // VMADDWEV_Q_D + 42066U, // VMADDWEV_Q_DU + 36735U, // VMADDWEV_Q_DU_D + 39353U, // VMADDWEV_W_H + 42642U, // VMADDWEV_W_HU + 39137U, // VMADDWEV_W_HU_H + 43704U, // VMADDWOD_D_W + 42795U, // VMADDWOD_D_WU + 45566U, // VMADDWOD_D_WU_W + 33170U, // VMADDWOD_H_B + 41380U, // VMADDWOD_H_BU + 34088U, // VMADDWOD_H_BU_B + 35977U, // VMADDWOD_Q_D + 42005U, // VMADDWOD_Q_DU + 36683U, // VMADDWOD_Q_DU_D + 39261U, // VMADDWOD_W_H + 42581U, // VMADDWOD_W_HU + 39085U, // VMADDWOD_W_HU_H + 32992U, // VMADD_B + 34771U, // VMADD_D + 38074U, // VMADD_H + 43970U, // VMADD_W + 17306U, // VMAXI_B + 25168U, // VMAXI_BU + 19186U, // VMAXI_D + 25564U, // VMAXI_DU + 22157U, // VMAXI_H + 26004U, // VMAXI_HU + 28427U, // VMAXI_W + 26673U, // VMAXI_WU + 17888U, // VMAX_B + 25361U, // VMAX_BU + 20948U, // VMAX_D + 25853U, // VMAX_DU + 23051U, // VMAX_H + 26304U, // VMAX_HU + 29386U, // VMAX_W + 26880U, // VMAX_WU + 17140U, // VMINI_B + 25146U, // VMINI_BU + 19061U, // VMINI_D + 25542U, // VMINI_DU + 22020U, // VMINI_H + 25982U, // VMINI_HU + 28290U, // VMINI_W + 26651U, // VMINI_WU + 17427U, // VMIN_B + 25190U, // VMIN_BU + 19491U, // VMIN_D + 25596U, // VMIN_DU + 22278U, // VMIN_H + 26026U, // VMIN_HU + 28653U, // VMIN_W + 26714U, // VMIN_WU + 16677U, // VMOD_B + 24940U, // VMOD_BU + 18477U, // VMOD_D + 25449U, // VMOD_DU + 21759U, // VMOD_H + 25898U, // VMOD_HU + 27674U, // VMOD_W + 26558U, // VMOD_WU + 17911U, // VMSKGEZ_B + 17973U, // VMSKLTZ_B + 21032U, // VMSKLTZ_D + 23113U, // VMSKLTZ_H + 29465U, // VMSKLTZ_W + 17947U, // VMSKNZ_B + 32891U, // VMSUB_B + 34636U, // VMSUB_D + 37973U, // VMSUB_H + 43595U, // VMSUB_W + 16951U, // VMUH_B + 25103U, // VMUH_BU + 18817U, // VMUH_D + 25499U, // VMUH_DU + 21854U, // VMUH_H + 25939U, // VMUH_HU + 28052U, // VMUH_W + 26608U, // VMUH_WU + 27471U, // VMULWEV_D_W + 26503U, // VMULWEV_D_WU + 29269U, // VMULWEV_D_WU_W + 16898U, // VMULWEV_H_B + 25088U, // VMULWEV_H_BU + 17791U, // VMULWEV_H_BU_B + 19703U, // VMULWEV_Q_D + 25713U, // VMULWEV_Q_DU + 20386U, // VMULWEV_Q_DU_D + 22998U, // VMULWEV_W_H + 26289U, // VMULWEV_W_HU + 22788U, // VMULWEV_W_HU_H + 27349U, // VMULWOD_D_W + 26442U, // VMULWOD_D_WU + 29217U, // VMULWOD_D_WU_W + 16815U, // VMULWOD_H_B + 25027U, // VMULWOD_H_BU + 17739U, // VMULWOD_H_BU_B + 19622U, // VMULWOD_Q_D + 25652U, // VMULWOD_Q_DU + 20334U, // VMULWOD_Q_DU_D + 22906U, // VMULWOD_W_H + 26228U, // VMULWOD_W_HU + 22736U, // VMULWOD_W_HU_H + 17397U, // VMUL_B + 19416U, // VMUL_D + 22248U, // VMUL_H + 28604U, // VMUL_W + 16754U, // VNEG_B + 18791U, // VNEG_D + 21836U, // VNEG_H + 27763U, // VNEG_W + 17218U, // VNORI_B + 26958U, // VNOR_V + 17228U, // VORI_B + 26949U, // VORN_V + 26967U, // VOR_V + 17808U, // VPACKEV_B + 20553U, // VPACKEV_D + 22805U, // VPACKEV_H + 29286U, // VPACKEV_W + 16653U, // VPACKOD_B + 18453U, // VPACKOD_D + 21735U, // VPACKOD_H + 27650U, // VPACKOD_W + 17688U, // VPCNT_B + 20248U, // VPCNT_D + 22565U, // VPCNT_H + 29036U, // VPCNT_W + 44663U, // VPERMI_W + 17820U, // VPICKEV_B + 20565U, // VPICKEV_D + 22817U, // VPICKEV_H + 29298U, // VPICKEV_W + 16665U, // VPICKOD_B + 18465U, // VPICKOD_D + 21747U, // VPICKOD_H + 27662U, // VPICKOD_W + 17494U, // VPICKVE2GR_B + 25199U, // VPICKVE2GR_BU + 19858U, // VPICKVE2GR_D + 25728U, // VPICKVE2GR_DU + 22345U, // VPICKVE2GR_H + 26035U, // VPICKVE2GR_HU + 28745U, // VPICKVE2GR_W + 26724U, // VPICKVE2GR_WU + 17581U, // VREPLGR2VR_B + 20027U, // VREPLGR2VR_D + 22432U, // VREPLGR2VR_H + 28904U, // VREPLGR2VR_W + 17038U, // VREPLVEI_B + 18950U, // VREPLVEI_D + 21931U, // VREPLVEI_H + 28168U, // VREPLVEI_W + 16732U, // VREPLVE_B + 18733U, // VREPLVE_D + 21814U, // VREPLVE_H + 27741U, // VREPLVE_W + 17259U, // VROTRI_B + 19139U, // VROTRI_D + 22110U, // VROTRI_H + 28380U, // VROTRI_W + 17571U, // VROTR_B + 19992U, // VROTR_D + 22422U, // VROTR_H + 28869U, // VROTR_W + 16618U, // VSADD_B + 24922U, // VSADD_BU + 18397U, // VSADD_D + 25438U, // VSADD_DU + 21700U, // VSADD_H + 25880U, // VSADD_HU + 27596U, // VSADD_W + 26540U, // VSADD_WU + 17642U, // VSAT_B + 25226U, // VSAT_BU + 20132U, // VSAT_D + 25755U, // VSAT_DU + 22519U, // VSAT_H + 26062U, // VSAT_HU + 28990U, // VSAT_W + 26765U, // VSAT_WU + 17162U, // VSEQI_B + 19071U, // VSEQI_D + 22042U, // VSEQI_H + 28300U, // VSEQI_W + 17466U, // VSEQ_B + 19780U, // VSEQ_D + 22317U, // VSEQ_H + 28697U, // VSEQ_W + 17923U, // VSETALLNEZ_B + 20973U, // VSETALLNEZ_D + 23074U, // VSETALLNEZ_H + 29419U, // VSETALLNEZ_W + 17958U, // VSETANYEQZ_B + 20997U, // VSETANYEQZ_D + 23098U, // VSETANYEQZ_H + 29443U, // VSETANYEQZ_W + 26996U, // VSETEQZ_V + 26984U, // VSETNEZ_V + 16970U, // VSHUF4I_B + 35257U, // VSHUF4I_D + 21873U, // VSHUF4I_H + 28091U, // VSHUF4I_W + 16744U, // VSHUF_B + 35157U, // VSHUF_D + 38210U, // VSHUF_H + 44137U, // VSHUF_W + 17853U, // VSIGNCOV_B + 20608U, // VSIGNCOV_D + 22850U, // VSIGNCOV_H + 29331U, // VSIGNCOV_W + 17013U, // VSLEI_B + 25135U, // VSLEI_BU + 18925U, // VSLEI_D + 25531U, // VSLEI_DU + 21906U, // VSLEI_H + 25971U, // VSLEI_HU + 28143U, // VSLEI_W + 26640U, // VSLEI_WU + 16715U, // VSLE_B + 24961U, // VSLE_BU + 18555U, // VSLE_D + 25470U, // VSLE_DU + 21797U, // VSLE_H + 25919U, // VSLE_HU + 27712U, // VSLE_W + 26579U, // VSLE_WU + 17086U, // VSLLI_B + 18996U, // VSLLI_D + 21966U, // VSLLI_H + 28214U, // VSLLI_W + 26799U, // VSLLWIL_DU_WU + 27389U, // VSLLWIL_D_W + 25275U, // VSLLWIL_HU_BU + 16841U, // VSLLWIL_H_B + 26111U, // VSLLWIL_WU_HU + 22932U, // VSLLWIL_W_H + 17336U, // VSLL_B + 19330U, // VSLL_D + 22187U, // VSLL_H + 28518U, // VSLL_W + 17283U, // VSLTI_B + 25157U, // VSLTI_BU + 19163U, // VSLTI_D + 25553U, // VSLTI_DU + 22134U, // VSLTI_H + 25993U, // VSLTI_HU + 28404U, // VSLTI_W + 26662U, // VSLTI_WU + 17679U, // VSLT_B + 25236U, // VSLT_BU + 20209U, // VSLT_D + 25765U, // VSLT_DU + 22556U, // VSLT_H + 26072U, // VSLT_HU + 29027U, // VSLT_W + 26775U, // VSLT_WU + 16993U, // VSRAI_B + 18907U, // VSRAI_D + 21896U, // VSRAI_H + 28125U, // VSRAI_W + 37724U, // VSRANI_B_H + 39855U, // VSRANI_D_Q + 44179U, // VSRANI_H_W + 37053U, // VSRANI_W_D + 21451U, // VSRAN_B_H + 27906U, // VSRAN_H_W + 20795U, // VSRAN_W_D + 17172U, // VSRARI_B + 19081U, // VSRARI_D + 22052U, // VSRARI_H + 28310U, // VSRARI_W + 37779U, // VSRARNI_B_H + 39910U, // VSRARNI_D_Q + 44234U, // VSRARNI_H_W + 37108U, // VSRARNI_W_D + 21502U, // VSRARN_B_H + 27957U, // VSRARN_H_W + 20846U, // VSRARN_W_D + 17475U, // VSRAR_B + 19819U, // VSRAR_D + 22326U, // VSRAR_H + 28706U, // VSRAR_W + 16451U, // VSRA_B + 18071U, // VSRA_D + 21317U, // VSRA_H + 27063U, // VSRA_W + 17118U, // VSRLI_B + 19028U, // VSRLI_D + 21998U, // VSRLI_H + 28257U, // VSRLI_W + 37751U, // VSRLNI_B_H + 39882U, // VSRLNI_D_Q + 44206U, // VSRLNI_H_W + 37080U, // VSRLNI_W_D + 21476U, // VSRLN_B_H + 27931U, // VSRLN_H_W + 20820U, // VSRLN_W_D + 17207U, // VSRLRI_B + 19116U, // VSRLRI_D + 22087U, // VSRLRI_H + 28345U, // VSRLRI_W + 37808U, // VSRLRNI_B_H + 39939U, // VSRLRNI_D_Q + 44263U, // VSRLRNI_H_W + 37137U, // VSRLRNI_W_D + 21529U, // VSRLRN_B_H + 27984U, // VSRLRN_H_W + 20873U, // VSRLRN_W_D + 17531U, // VSRLR_B + 19907U, // VSRLR_D + 22382U, // VSRLR_H + 28782U, // VSRLR_W + 17367U, // VSRL_B + 19361U, // VSRL_D + 22218U, // VSRL_H + 28559U, // VSRL_W + 38965U, // VSSRANI_BU_H + 37710U, // VSSRANI_B_H + 39973U, // VSSRANI_DU_Q + 39841U, // VSSRANI_D_Q + 45446U, // VSSRANI_HU_W + 44165U, // VSSRANI_H_W + 36817U, // VSSRANI_WU_D + 37039U, // VSSRANI_W_D + 22643U, // VSSRAN_BU_H + 21438U, // VSSRAN_B_H + 29124U, // VSSRAN_HU_W + 27893U, // VSSRAN_H_W + 20495U, // VSSRAN_WU_D + 20782U, // VSSRAN_W_D + 38995U, // VSSRARNI_BU_H + 37764U, // VSSRARNI_B_H + 40003U, // VSSRARNI_DU_Q + 39895U, // VSSRARNI_D_Q + 45476U, // VSSRARNI_HU_W + 44219U, // VSSRARNI_H_W + 36847U, // VSSRARNI_WU_D + 37093U, // VSSRARNI_W_D + 22671U, // VSSRARN_BU_H + 21488U, // VSSRARN_B_H + 29152U, // VSSRARN_HU_W + 27943U, // VSSRARN_H_W + 20523U, // VSSRARN_WU_D + 20832U, // VSSRARN_W_D + 38980U, // VSSRLNI_BU_H + 37737U, // VSSRLNI_B_H + 39988U, // VSSRLNI_DU_Q + 39868U, // VSSRLNI_D_Q + 45461U, // VSSRLNI_HU_W + 44192U, // VSSRLNI_H_W + 36832U, // VSSRLNI_WU_D + 37066U, // VSSRLNI_W_D + 22657U, // VSSRLN_BU_H + 21463U, // VSSRLN_B_H + 29138U, // VSSRLN_HU_W + 27918U, // VSSRLN_H_W + 20509U, // VSSRLN_WU_D + 20807U, // VSSRLN_W_D + 39011U, // VSSRLRNI_BU_H + 37793U, // VSSRLRNI_B_H + 40019U, // VSSRLRNI_DU_Q + 39924U, // VSSRLRNI_D_Q + 45492U, // VSSRLRNI_HU_W + 44248U, // VSSRLRNI_H_W + 36863U, // VSSRLRNI_WU_D + 37122U, // VSSRLRNI_W_D + 22686U, // VSSRLRN_BU_H + 21515U, // VSSRLRN_B_H + 29167U, // VSSRLRN_HU_W + 27970U, // VSSRLRN_H_W + 20538U, // VSSRLRN_WU_D + 20859U, // VSSRLRN_W_D + 16517U, // VSSUB_B + 24911U, // VSSUB_BU + 18262U, // VSSUB_D + 25416U, // VSSUB_DU + 21599U, // VSSUB_H + 25869U, // VSSUB_HU + 27221U, // VSSUB_W + 26385U, // VSSUB_WU + 24905U, // VST + 17416U, // VSTELM_B + 19435U, // VSTELM_D + 22267U, // VSTELM_H + 28623U, // VSTELM_W + 29510U, // VSTX + 25113U, // VSUBI_BU + 25509U, // VSUBI_DU + 25949U, // VSUBI_HU + 26618U, // VSUBI_WU + 27428U, // VSUBWEV_D_W + 26457U, // VSUBWEV_D_WU + 16855U, // VSUBWEV_H_B + 25042U, // VSUBWEV_H_BU + 19660U, // VSUBWEV_Q_D + 25667U, // VSUBWEV_Q_DU + 22955U, // VSUBWEV_W_H + 26243U, // VSUBWEV_W_HU + 27306U, // VSUBWOD_D_W + 26396U, // VSUBWOD_D_WU + 16772U, // VSUBWOD_H_B + 24981U, // VSUBWOD_H_BU + 19579U, // VSUBWOD_Q_D + 25606U, // VSUBWOD_Q_DU + 22863U, // VSUBWOD_W_H + 26182U, // VSUBWOD_W_HU + 16527U, // VSUB_B + 18272U, // VSUB_D + 21609U, // VSUB_H + 23442U, // VSUB_Q + 27231U, // VSUB_W + 17237U, // VXORI_B + 26975U, // VXOR_V + 16545U, // X86ADC_B + 18298U, // X86ADC_D + 21627U, // X86ADC_H + 27259U, // X86ADC_W + 16588U, // X86ADD_B + 18334U, // X86ADD_D + 25426U, // X86ADD_DU + 21670U, // X86ADD_H + 27556U, // X86ADD_W + 26528U, // X86ADD_WU + 16642U, // X86AND_B + 18433U, // X86AND_D + 21724U, // X86AND_H + 27620U, // X86AND_W + 6958U, // X86CLRTM + 7002U, // X86DECTOP + 16555U, // X86DEC_B + 18308U, // X86DEC_D + 21637U, // X86DEC_H + 27279U, // X86DEC_W + 7013U, // X86INCTOP + 16565U, // X86INC_B + 18318U, // X86INC_D + 21647U, // X86INC_H + 27289U, // X86INC_W + 21210U, // X86MFFLAG + 23408U, // X86MFTOP + 21232U, // X86MTFLAG + 23418U, // X86MTTOP + 17386U, // X86MUL_B + 25178U, // X86MUL_BU + 19395U, // X86MUL_D + 25574U, // X86MUL_DU + 22237U, // X86MUL_H + 26014U, // X86MUL_HU + 28593U, // X86MUL_W + 26692U, // X86MUL_WU + 17540U, // X86OR_B + 19916U, // X86OR_D + 22391U, // X86OR_H + 28791U, // X86OR_W + 17050U, // X86RCLI_B + 18962U, // X86RCLI_D + 21943U, // X86RCLI_H + 28180U, // X86RCLI_W + 17315U, // X86RCL_B + 19293U, // X86RCL_D + 22166U, // X86RCL_H + 28460U, // X86RCL_W + 17182U, // X86RCRI_B + 19091U, // X86RCRI_D + 22062U, // X86RCRI_H + 28320U, // X86RCRI_W + 17484U, // X86RCR_B + 19828U, // X86RCR_D + 22335U, // X86RCR_H + 28715U, // X86RCR_W + 17127U, // X86ROTLI_B + 19037U, // X86ROTLI_D + 22007U, // X86ROTLI_H + 28266U, // X86ROTLI_W + 17375U, // X86ROTL_B + 19377U, // X86ROTL_D + 22226U, // X86ROTL_H + 28575U, // X86ROTL_W + 17246U, // X86ROTRI_B + 19126U, // X86ROTRI_D + 22097U, // X86ROTRI_H + 28355U, // X86ROTRI_W + 17559U, // X86ROTR_B + 19980U, // X86ROTR_D + 22410U, // X86ROTR_H + 28846U, // X86ROTR_W + 16535U, // X86SBC_B + 18288U, // X86SBC_D + 21617U, // X86SBC_H + 27239U, // X86SBC_W + 21254U, // X86SETTAG + 6968U, // X86SETTM + 17074U, // X86SLLI_B + 18984U, // X86SLLI_D + 21954U, // X86SLLI_H + 28191U, // X86SLLI_W + 17325U, // X86SLL_B + 19319U, // X86SLL_D + 22176U, // X86SLL_H + 28497U, // X86SLL_W + 16981U, // X86SRAI_B + 18895U, // X86SRAI_D + 21884U, // X86SRAI_H + 28102U, // X86SRAI_W + 16440U, // X86SRA_B + 18060U, // X86SRA_D + 21306U, // X86SRA_H + 27042U, // X86SRA_W + 17106U, // X86SRLI_B + 19016U, // X86SRLI_D + 21986U, // X86SRLI_H + 28234U, // X86SRLI_W + 17356U, // X86SRL_B + 19350U, // X86SRL_D + 22207U, // X86SRL_H + 28538U, // X86SRL_W + 16496U, // X86SUB_B + 18208U, // X86SUB_D + 25404U, // X86SUB_DU + 21578U, // X86SUB_H + 27190U, // X86SUB_W + 26373U, // X86SUB_WU + 17549U, // X86XOR_B + 19961U, // X86XOR_D + 22400U, // X86XOR_H + 28817U, // X86XOR_W + 23741U, // XOR + 23216U, // XORI + 16696U, // XVABSD_B + 24949U, // XVABSD_BU + 18496U, // XVABSD_D + 25458U, // XVABSD_DU + 21778U, // XVABSD_H + 25907U, // XVABSD_HU + 27693U, // XVABSD_W + 26567U, // XVABSD_WU + 16430U, // XVADDA_B + 18039U, // XVADDA_D + 21296U, // XVADDA_H + 27032U, // XVADDA_W + 25123U, // XVADDI_BU + 25519U, // XVADDI_DU + 25959U, // XVADDI_HU + 26628U, // XVADDI_WU + 27456U, // XVADDWEV_D_W + 26487U, // XVADDWEV_D_WU + 29251U, // XVADDWEV_D_WU_W + 16883U, // XVADDWEV_H_B + 25072U, // XVADDWEV_H_BU + 17773U, // XVADDWEV_H_BU_B + 19688U, // XVADDWEV_Q_D + 25697U, // XVADDWEV_Q_DU + 20368U, // XVADDWEV_Q_DU_D + 22983U, // XVADDWEV_W_H + 26273U, // XVADDWEV_W_HU + 22770U, // XVADDWEV_W_HU_H + 27334U, // XVADDWOD_D_W + 26426U, // XVADDWOD_D_WU + 29199U, // XVADDWOD_D_WU_W + 16800U, // XVADDWOD_H_B + 25011U, // XVADDWOD_H_BU + 17721U, // XVADDWOD_H_BU_B + 19607U, // XVADDWOD_Q_D + 25636U, // XVADDWOD_Q_DU + 20316U, // XVADDWOD_Q_DU_D + 22891U, // XVADDWOD_W_H + 26212U, // XVADDWOD_W_HU + 22718U, // XVADDWOD_W_HU_H + 16627U, // XVADD_B + 18406U, // XVADD_D + 21709U, // XVADD_H + 23568U, // XVADD_Q + 27605U, // XVADD_W + 17002U, // XVANDI_B + 26938U, // XVANDN_V + 26897U, // XVAND_V + 17508U, // XVAVGR_B + 25214U, // XVAVGR_BU + 19884U, // XVAVGR_D + 25743U, // XVAVGR_DU + 22359U, // XVAVGR_H + 26050U, // XVAVGR_HU + 28759U, // XVAVGR_W + 26739U, // XVAVGR_WU + 16762U, // XVAVG_B + 24970U, // XVAVG_BU + 18799U, // XVAVG_D + 25479U, // XVAVG_DU + 21844U, // XVAVG_H + 25928U, // XVAVG_HU + 27771U, // XVAVG_W + 26588U, // XVAVG_WU + 17193U, // XVBITCLRI_B + 19102U, // XVBITCLRI_D + 22073U, // XVBITCLRI_H + 28331U, // XVBITCLRI_W + 17518U, // XVBITCLR_B + 19894U, // XVBITCLR_D + 22369U, // XVBITCLR_H + 28769U, // XVBITCLR_W + 17292U, // XVBITREVI_B + 19172U, // XVBITREVI_D + 22143U, // XVBITREVI_H + 28413U, // XVBITREVI_W + 17831U, // XVBITREV_B + 20576U, // XVBITREV_D + 22828U, // XVBITREV_H + 29309U, // XVBITREV_W + 33445U, // XVBITSELI_B + 26906U, // XVBITSEL_V + 17269U, // XVBITSETI_B + 19149U, // XVBITSETI_D + 22120U, // XVBITSETI_H + 28390U, // XVBITSETI_W + 17650U, // XVBITSET_B + 20140U, // XVBITSET_D + 22527U, // XVBITSET_H + 28998U, // XVBITSET_W + 26918U, // XVBSLL_V + 26928U, // XVBSRL_V + 17435U, // XVCLO_B + 19527U, // XVCLO_D + 22286U, // XVCLO_H + 28661U, // XVCLO_W + 17937U, // XVCLZ_B + 20987U, // XVCLZ_D + 23088U, // XVCLZ_H + 29433U, // XVCLZ_W + 17843U, // XVDIV_B + 25350U, // XVDIV_BU + 20598U, // XVDIV_D + 25832U, // XVDIV_DU + 22840U, // XVDIV_H + 26171U, // XVDIV_HU + 29321U, // XVDIV_W + 26859U, // XVDIV_WU + 26784U, // XVEXTH_DU_WU + 27376U, // XVEXTH_D_W + 25260U, // XVEXTH_HU_BU + 16828U, // XVEXTH_H_B + 25774U, // XVEXTH_QU_DU + 19635U, // XVEXTH_Q_D + 26096U, // XVEXTH_WU_HU + 22919U, // XVEXTH_W_H + 25788U, // XVEXTL_QU_DU + 19647U, // XVEXTL_Q_D + 34012U, // XVEXTRINS_B + 36490U, // XVEXTRINS_D + 38889U, // XVEXTRINS_H + 45360U, // XVEXTRINS_W + 18344U, // XVFADD_D + 23886U, // XVFADD_S + 20119U, // XVFCLASS_D + 24588U, // XVFCLASS_S + 18744U, // XVFCMP_CAF_D + 24100U, // XVFCMP_CAF_S + 19751U, // XVFCMP_CEQ_D + 24469U, // XVFCMP_CEQ_S + 18517U, // XVFCMP_CLE_D + 23926U, // XVFCMP_CLE_S + 20180U, // XVFCMP_CLT_D + 24618U, // XVFCMP_CLT_S + 18622U, // XVFCMP_CNE_D + 24002U, // XVFCMP_CNE_S + 19925U, // XVFCMP_COR_D + 24552U, // XVFCMP_COR_S + 19788U, // XVFCMP_CUEQ_D + 24497U, // XVFCMP_CUEQ_S + 18582U, // XVFCMP_CULE_D + 23972U, // XVFCMP_CULE_S + 20217U, // XVFCMP_CULT_D + 24646U, // XVFCMP_CULT_S + 18664U, // XVFCMP_CUNE_D + 24044U, // XVFCMP_CUNE_S + 19499U, // XVFCMP_CUN_D + 24416U, // XVFCMP_CUN_S + 18758U, // XVFCMP_SAF_D + 24114U, // XVFCMP_SAF_S + 19765U, // XVFCMP_SEQ_D + 24483U, // XVFCMP_SEQ_S + 18540U, // XVFCMP_SLE_D + 23949U, // XVFCMP_SLE_S + 20194U, // XVFCMP_SLT_D + 24632U, // XVFCMP_SLT_S + 18650U, // XVFCMP_SNE_D + 24030U, // XVFCMP_SNE_S + 19947U, // XVFCMP_SOR_D + 24566U, // XVFCMP_SOR_S + 19803U, // XVFCMP_SUEQ_D + 24512U, // XVFCMP_SUEQ_S + 18597U, // XVFCMP_SULE_D + 23987U, // XVFCMP_SULE_S + 20232U, // XVFCMP_SULT_D + 24661U, // XVFCMP_SULT_S + 18679U, // XVFCMP_SUNE_D + 24059U, // XVFCMP_SUNE_S + 19513U, // XVFCMP_SUN_D + 24430U, // XVFCMP_SUN_S + 23850U, // XVFCVTH_D_S + 22470U, // XVFCVTH_S_H + 23863U, // XVFCVTL_D_S + 22483U, // XVFCVTL_S_H + 24136U, // XVFCVT_H_S + 20066U, // XVFCVT_S_D + 20588U, // XVFDIV_D + 24747U, // XVFDIV_S + 27362U, // XVFFINTH_D_W + 27402U, // XVFFINTL_D_W + 23260U, // XVFFINT_D_L + 26321U, // XVFFINT_D_LU + 23273U, // XVFFINT_S_L + 28943U, // XVFFINT_S_W + 26750U, // XVFFINT_S_WU + 18197U, // XVFLOGB_D + 23806U, // XVFLOGB_S + 18363U, // XVFMADD_D + 23896U, // XVFMADD_S + 18079U, // XVFMAXA_D + 23784U, // XVFMAXA_S + 20928U, // XVFMAX_D + 24839U, // XVFMAX_S + 18049U, // XVFMINA_D + 23773U, // XVFMINA_S + 19471U, // XVFMIN_D + 24406U, // XVFMIN_S + 18228U, // XVFMSUB_D + 23827U, // XVFMSUB_S + 19405U, // XVFMUL_D + 24370U, // XVFMUL_S + 18374U, // XVFNMADD_D + 23907U, // XVFNMADD_S + 18239U, // XVFNMSUB_D + 23838U, // XVFNMSUB_S + 18694U, // XVFRECIPE_D + 24074U, // XVFRECIPE_S + 19553U, // XVFRECIP_D + 24444U, // XVFRECIP_S + 19445U, // XVFRINTRM_D + 24380U, // XVFRINTRM_S + 18636U, // XVFRINTRNE_D + 24016U, // XVFRINTRNE_S + 19565U, // XVFRINTRP_D + 24456U, // XVFRINTRP_S + 21011U, // XVFRINTRZ_D + 24865U, // XVFRINTRZ_S + 20257U, // XVFRINT_D + 24676U, // XVFRINT_S + 18707U, // XVFRSQRTE_D + 24087U, // XVFRSQRTE_S + 20279U, // XVFRSQRT_D + 24698U, // XVFRSQRT_S + 33533U, // XVFRSTPI_B + 38413U, // XVFRSTPI_H + 33838U, // XVFRSTP_B + 38689U, // XVFRSTP_H + 20268U, // XVFSQRT_D + 24687U, // XVFSQRT_S + 18218U, // XVFSUB_D + 23817U, // XVFSUB_S + 24211U, // XVFTINTH_L_S + 24290U, // XVFTINTL_L_S + 24179U, // XVFTINTRMH_L_S + 24258U, // XVFTINTRML_L_S + 19235U, // XVFTINTRM_L_D + 20766U, // XVFTINTRM_W_D + 24781U, // XVFTINTRM_W_S + 24162U, // XVFTINTRNEH_L_S + 24241U, // XVFTINTRNEL_L_S + 19219U, // XVFTINTRNE_L_D + 20638U, // XVFTINTRNE_W_D + 24765U, // XVFTINTRNE_W_S + 24195U, // XVFTINTRPH_L_S + 24274U, // XVFTINTRPL_L_S + 19250U, // XVFTINTRP_L_D + 20885U, // XVFTINTRP_W_D + 24796U, // XVFTINTRP_W_S + 24225U, // XVFTINTRZH_L_S + 24304U, // XVFTINTRZL_L_S + 20416U, // XVFTINTRZ_LU_D + 19278U, // XVFTINTRZ_L_D + 24731U, // XVFTINTRZ_WU_S + 20913U, // XVFTINTRZ_W_D + 24824U, // XVFTINTRZ_W_S + 20402U, // XVFTINT_LU_D + 19265U, // XVFTINT_L_D + 24717U, // XVFTINT_WU_S + 20900U, // XVFTINT_W_D + 24811U, // XVFTINT_W_S + 26844U, // XVHADDW_DU_WU + 27533U, // XVHADDW_D_W + 25320U, // XVHADDW_HU_BU + 16937U, // XVHADDW_H_B + 25817U, // XVHADDW_QU_DU + 19729U, // XVHADDW_Q_D + 26156U, // XVHADDW_WU_HU + 23037U, // XVHADDW_W_H + 18973U, // XVHSELI_D + 26829U, // XVHSUBW_DU_WU + 27520U, // XVHSUBW_D_W + 25305U, // XVHSUBW_HU_BU + 16924U, // XVHSUBW_H_B + 25802U, // XVHSUBW_QU_DU + 19716U, // XVHSUBW_Q_D + 26141U, // XVHSUBW_WU_HU + 23024U, // XVHSUBW_W_H + 16959U, // XVILVH_B + 18833U, // XVILVH_D + 21862U, // XVILVH_H + 28060U, // XVILVH_W + 17405U, // XVILVL_B + 19424U, // XVILVL_D + 22256U, // XVILVL_H + 28612U, // XVILVL_W + 36425U, // XVINSGR2VR_D + 45302U, // XVINSGR2VR_W + 34411U, // XVINSVE0_D + 43404U, // XVINSVE0_W + 21083U, // XVLD + 23203U, // XVLDI + 17344U, // XVLDREPL_B + 19338U, // XVLDREPL_D + 22195U, // XVLDREPL_H + 28526U, // XVLDREPL_W + 29502U, // XVLDX + 43825U, // XVMADDWEV_D_W + 42855U, // XVMADDWEV_D_WU + 45617U, // XVMADDWEV_D_WU_W + 33252U, // XVMADDWEV_H_B + 41440U, // XVMADDWEV_H_BU + 34139U, // XVMADDWEV_H_BU_B + 36057U, // XVMADDWEV_Q_D + 42065U, // XVMADDWEV_Q_DU + 36734U, // XVMADDWEV_Q_DU_D + 39352U, // XVMADDWEV_W_H + 42641U, // XVMADDWEV_W_HU + 39136U, // XVMADDWEV_W_HU_H + 43703U, // XVMADDWOD_D_W + 42794U, // XVMADDWOD_D_WU + 45565U, // XVMADDWOD_D_WU_W + 33169U, // XVMADDWOD_H_B + 41379U, // XVMADDWOD_H_BU + 34087U, // XVMADDWOD_H_BU_B + 35976U, // XVMADDWOD_Q_D + 42004U, // XVMADDWOD_Q_DU + 36682U, // XVMADDWOD_Q_DU_D + 39260U, // XVMADDWOD_W_H + 42580U, // XVMADDWOD_W_HU + 39084U, // XVMADDWOD_W_HU_H + 32991U, // XVMADD_B + 34770U, // XVMADD_D + 38073U, // XVMADD_H + 43969U, // XVMADD_W + 17305U, // XVMAXI_B + 25167U, // XVMAXI_BU + 19185U, // XVMAXI_D + 25563U, // XVMAXI_DU + 22156U, // XVMAXI_H + 26003U, // XVMAXI_HU + 28426U, // XVMAXI_W + 26672U, // XVMAXI_WU + 17887U, // XVMAX_B + 25360U, // XVMAX_BU + 20947U, // XVMAX_D + 25852U, // XVMAX_DU + 23050U, // XVMAX_H + 26303U, // XVMAX_HU + 29385U, // XVMAX_W + 26879U, // XVMAX_WU + 17139U, // XVMINI_B + 25145U, // XVMINI_BU + 19060U, // XVMINI_D + 25541U, // XVMINI_DU + 22019U, // XVMINI_H + 25981U, // XVMINI_HU + 28289U, // XVMINI_W + 26650U, // XVMINI_WU + 17426U, // XVMIN_B + 25189U, // XVMIN_BU + 19490U, // XVMIN_D + 25595U, // XVMIN_DU + 22277U, // XVMIN_H + 26025U, // XVMIN_HU + 28652U, // XVMIN_W + 26713U, // XVMIN_WU + 16676U, // XVMOD_B + 24939U, // XVMOD_BU + 18476U, // XVMOD_D + 25448U, // XVMOD_DU + 21758U, // XVMOD_H + 25897U, // XVMOD_HU + 27673U, // XVMOD_W + 26557U, // XVMOD_WU + 17910U, // XVMSKGEZ_B + 17972U, // XVMSKLTZ_B + 21031U, // XVMSKLTZ_D + 23112U, // XVMSKLTZ_H + 29464U, // XVMSKLTZ_W + 17946U, // XVMSKNZ_B + 32890U, // XVMSUB_B + 34635U, // XVMSUB_D + 37972U, // XVMSUB_H + 43594U, // XVMSUB_W + 16950U, // XVMUH_B + 25102U, // XVMUH_BU + 18816U, // XVMUH_D + 25498U, // XVMUH_DU + 21853U, // XVMUH_H + 25938U, // XVMUH_HU + 28051U, // XVMUH_W + 26607U, // XVMUH_WU + 27470U, // XVMULWEV_D_W + 26502U, // XVMULWEV_D_WU + 29268U, // XVMULWEV_D_WU_W + 16897U, // XVMULWEV_H_B + 25087U, // XVMULWEV_H_BU + 17790U, // XVMULWEV_H_BU_B + 19702U, // XVMULWEV_Q_D + 25712U, // XVMULWEV_Q_DU + 20385U, // XVMULWEV_Q_DU_D + 22997U, // XVMULWEV_W_H + 26288U, // XVMULWEV_W_HU + 22787U, // XVMULWEV_W_HU_H + 27348U, // XVMULWOD_D_W + 26441U, // XVMULWOD_D_WU + 29216U, // XVMULWOD_D_WU_W + 16814U, // XVMULWOD_H_B + 25026U, // XVMULWOD_H_BU + 17738U, // XVMULWOD_H_BU_B + 19621U, // XVMULWOD_Q_D + 25651U, // XVMULWOD_Q_DU + 20333U, // XVMULWOD_Q_DU_D + 22905U, // XVMULWOD_W_H + 26227U, // XVMULWOD_W_HU + 22735U, // XVMULWOD_W_HU_H + 17396U, // XVMUL_B + 19415U, // XVMUL_D + 22247U, // XVMUL_H + 28603U, // XVMUL_W + 16753U, // XVNEG_B + 18790U, // XVNEG_D + 21835U, // XVNEG_H + 27762U, // XVNEG_W + 17217U, // XVNORI_B + 26957U, // XVNOR_V + 17227U, // XVORI_B + 26948U, // XVORN_V + 26966U, // XVOR_V + 17807U, // XVPACKEV_B + 20552U, // XVPACKEV_D + 22804U, // XVPACKEV_H + 29285U, // XVPACKEV_W + 16652U, // XVPACKOD_B + 18452U, // XVPACKOD_D + 21734U, // XVPACKOD_H + 27649U, // XVPACKOD_W + 17687U, // XVPCNT_B + 20247U, // XVPCNT_D + 22564U, // XVPCNT_H + 29035U, // XVPCNT_W + 19049U, // XVPERMI_D + 39961U, // XVPERMI_Q + 44662U, // XVPERMI_W + 28633U, // XVPERM_W + 17819U, // XVPICKEV_B + 20564U, // XVPICKEV_D + 22816U, // XVPICKEV_H + 29297U, // XVPICKEV_W + 16664U, // XVPICKOD_B + 18464U, // XVPICKOD_D + 21746U, // XVPICKOD_H + 27661U, // XVPICKOD_W + 19857U, // XVPICKVE2GR_D + 25727U, // XVPICKVE2GR_DU + 28744U, // XVPICKVE2GR_W + 26723U, // XVPICKVE2GR_WU + 18720U, // XVPICKVE_D + 27728U, // XVPICKVE_W + 17022U, // XVREPL128VEI_B + 18934U, // XVREPL128VEI_D + 21915U, // XVREPL128VEI_H + 28152U, // XVREPL128VEI_W + 17580U, // XVREPLGR2VR_B + 20026U, // XVREPLGR2VR_D + 22431U, // XVREPLGR2VR_H + 28903U, // XVREPLGR2VR_W + 16417U, // XVREPLVE0_B + 18014U, // XVREPLVE0_D + 21283U, // XVREPLVE0_H + 23428U, // XVREPLVE0_Q + 27007U, // XVREPLVE0_W + 16731U, // XVREPLVE_B + 18732U, // XVREPLVE_D + 21813U, // XVREPLVE_H + 27740U, // XVREPLVE_W + 17258U, // XVROTRI_B + 19138U, // XVROTRI_D + 22109U, // XVROTRI_H + 28379U, // XVROTRI_W + 17570U, // XVROTR_B + 19991U, // XVROTR_D + 22421U, // XVROTR_H + 28868U, // XVROTR_W + 16617U, // XVSADD_B + 24921U, // XVSADD_BU + 18396U, // XVSADD_D + 25437U, // XVSADD_DU + 21699U, // XVSADD_H + 25879U, // XVSADD_HU + 27595U, // XVSADD_W + 26539U, // XVSADD_WU + 17641U, // XVSAT_B + 25225U, // XVSAT_BU + 20131U, // XVSAT_D + 25754U, // XVSAT_DU + 22518U, // XVSAT_H + 26061U, // XVSAT_HU + 28989U, // XVSAT_W + 26764U, // XVSAT_WU + 17161U, // XVSEQI_B + 19070U, // XVSEQI_D + 22041U, // XVSEQI_H + 28299U, // XVSEQI_W + 17465U, // XVSEQ_B + 19779U, // XVSEQ_D + 22316U, // XVSEQ_H + 28696U, // XVSEQ_W + 17922U, // XVSETALLNEZ_B + 20972U, // XVSETALLNEZ_D + 23073U, // XVSETALLNEZ_H + 29418U, // XVSETALLNEZ_W + 17957U, // XVSETANYEQZ_B + 20996U, // XVSETANYEQZ_D + 23097U, // XVSETANYEQZ_H + 29442U, // XVSETANYEQZ_W + 26995U, // XVSETEQZ_V + 26983U, // XVSETNEZ_V + 16969U, // XVSHUF4I_B + 35256U, // XVSHUF4I_D + 21872U, // XVSHUF4I_H + 28090U, // XVSHUF4I_W + 16743U, // XVSHUF_B + 35156U, // XVSHUF_D + 38209U, // XVSHUF_H + 44136U, // XVSHUF_W + 17852U, // XVSIGNCOV_B + 20607U, // XVSIGNCOV_D + 22849U, // XVSIGNCOV_H + 29330U, // XVSIGNCOV_W + 17012U, // XVSLEI_B + 25134U, // XVSLEI_BU + 18924U, // XVSLEI_D + 25530U, // XVSLEI_DU + 21905U, // XVSLEI_H + 25970U, // XVSLEI_HU + 28142U, // XVSLEI_W + 26639U, // XVSLEI_WU + 16714U, // XVSLE_B + 24960U, // XVSLE_BU + 18554U, // XVSLE_D + 25469U, // XVSLE_DU + 21796U, // XVSLE_H + 25918U, // XVSLE_HU + 27711U, // XVSLE_W + 26578U, // XVSLE_WU + 17085U, // XVSLLI_B + 18995U, // XVSLLI_D + 21965U, // XVSLLI_H + 28213U, // XVSLLI_W + 26798U, // XVSLLWIL_DU_WU + 27388U, // XVSLLWIL_D_W + 25274U, // XVSLLWIL_HU_BU + 16840U, // XVSLLWIL_H_B + 26110U, // XVSLLWIL_WU_HU + 22931U, // XVSLLWIL_W_H + 17335U, // XVSLL_B + 19329U, // XVSLL_D + 22186U, // XVSLL_H + 28517U, // XVSLL_W + 17282U, // XVSLTI_B + 25156U, // XVSLTI_BU + 19162U, // XVSLTI_D + 25552U, // XVSLTI_DU + 22133U, // XVSLTI_H + 25992U, // XVSLTI_HU + 28403U, // XVSLTI_W + 26661U, // XVSLTI_WU + 17678U, // XVSLT_B + 25235U, // XVSLT_BU + 20208U, // XVSLT_D + 25764U, // XVSLT_DU + 22555U, // XVSLT_H + 26071U, // XVSLT_HU + 29026U, // XVSLT_W + 26774U, // XVSLT_WU + 16992U, // XVSRAI_B + 18906U, // XVSRAI_D + 21895U, // XVSRAI_H + 28124U, // XVSRAI_W + 37723U, // XVSRANI_B_H + 39854U, // XVSRANI_D_Q + 44178U, // XVSRANI_H_W + 37052U, // XVSRANI_W_D + 21450U, // XVSRAN_B_H + 27905U, // XVSRAN_H_W + 20794U, // XVSRAN_W_D + 17171U, // XVSRARI_B + 19080U, // XVSRARI_D + 22051U, // XVSRARI_H + 28309U, // XVSRARI_W + 37778U, // XVSRARNI_B_H + 39909U, // XVSRARNI_D_Q + 44233U, // XVSRARNI_H_W + 37107U, // XVSRARNI_W_D + 21501U, // XVSRARN_B_H + 27956U, // XVSRARN_H_W + 20845U, // XVSRARN_W_D + 17474U, // XVSRAR_B + 19818U, // XVSRAR_D + 22325U, // XVSRAR_H + 28705U, // XVSRAR_W + 16450U, // XVSRA_B + 18070U, // XVSRA_D + 21316U, // XVSRA_H + 27062U, // XVSRA_W + 17117U, // XVSRLI_B + 19027U, // XVSRLI_D + 21997U, // XVSRLI_H + 28256U, // XVSRLI_W + 37750U, // XVSRLNI_B_H + 39881U, // XVSRLNI_D_Q + 44205U, // XVSRLNI_H_W + 37079U, // XVSRLNI_W_D + 21475U, // XVSRLN_B_H + 27930U, // XVSRLN_H_W + 20819U, // XVSRLN_W_D + 17206U, // XVSRLRI_B + 19115U, // XVSRLRI_D + 22086U, // XVSRLRI_H + 28344U, // XVSRLRI_W + 37807U, // XVSRLRNI_B_H + 39938U, // XVSRLRNI_D_Q + 44262U, // XVSRLRNI_H_W + 37136U, // XVSRLRNI_W_D + 21528U, // XVSRLRN_B_H + 27983U, // XVSRLRN_H_W + 20872U, // XVSRLRN_W_D + 17530U, // XVSRLR_B + 19906U, // XVSRLR_D + 22381U, // XVSRLR_H + 28781U, // XVSRLR_W + 17366U, // XVSRL_B + 19360U, // XVSRL_D + 22217U, // XVSRL_H + 28558U, // XVSRL_W + 38964U, // XVSSRANI_BU_H + 37709U, // XVSSRANI_B_H + 39972U, // XVSSRANI_DU_Q + 39840U, // XVSSRANI_D_Q + 45445U, // XVSSRANI_HU_W + 44164U, // XVSSRANI_H_W + 36816U, // XVSSRANI_WU_D + 37038U, // XVSSRANI_W_D + 22642U, // XVSSRAN_BU_H + 21437U, // XVSSRAN_B_H + 29123U, // XVSSRAN_HU_W + 27892U, // XVSSRAN_H_W + 20494U, // XVSSRAN_WU_D + 20781U, // XVSSRAN_W_D + 38994U, // XVSSRARNI_BU_H + 37763U, // XVSSRARNI_B_H + 40002U, // XVSSRARNI_DU_Q + 39894U, // XVSSRARNI_D_Q + 45475U, // XVSSRARNI_HU_W + 44218U, // XVSSRARNI_H_W + 36846U, // XVSSRARNI_WU_D + 37092U, // XVSSRARNI_W_D + 22670U, // XVSSRARN_BU_H + 21487U, // XVSSRARN_B_H + 29151U, // XVSSRARN_HU_W + 27942U, // XVSSRARN_H_W + 20522U, // XVSSRARN_WU_D + 20831U, // XVSSRARN_W_D + 38979U, // XVSSRLNI_BU_H + 37736U, // XVSSRLNI_B_H + 39987U, // XVSSRLNI_DU_Q + 39867U, // XVSSRLNI_D_Q + 45460U, // XVSSRLNI_HU_W + 44191U, // XVSSRLNI_H_W + 36831U, // XVSSRLNI_WU_D + 37065U, // XVSSRLNI_W_D + 22656U, // XVSSRLN_BU_H + 21462U, // XVSSRLN_B_H + 29137U, // XVSSRLN_HU_W + 27917U, // XVSSRLN_H_W + 20508U, // XVSSRLN_WU_D + 20806U, // XVSSRLN_W_D + 39010U, // XVSSRLRNI_BU_H + 37792U, // XVSSRLRNI_B_H + 40018U, // XVSSRLRNI_DU_Q + 39923U, // XVSSRLRNI_D_Q + 45491U, // XVSSRLRNI_HU_W + 44247U, // XVSSRLRNI_H_W + 36862U, // XVSSRLRNI_WU_D + 37121U, // XVSSRLRNI_W_D + 22685U, // XVSSRLRN_BU_H + 21514U, // XVSSRLRN_B_H + 29166U, // XVSSRLRN_HU_W + 27969U, // XVSSRLRN_H_W + 20537U, // XVSSRLRN_WU_D + 20858U, // XVSSRLRN_W_D + 16516U, // XVSSUB_B + 24910U, // XVSSUB_BU + 18261U, // XVSSUB_D + 25415U, // XVSSUB_DU + 21598U, // XVSSUB_H + 25868U, // XVSSUB_HU + 27220U, // XVSSUB_W + 26384U, // XVSSUB_WU + 24904U, // XVST + 17415U, // XVSTELM_B + 19434U, // XVSTELM_D + 22266U, // XVSTELM_H + 28622U, // XVSTELM_W + 29509U, // XVSTX + 25112U, // XVSUBI_BU + 25508U, // XVSUBI_DU + 25948U, // XVSUBI_HU + 26617U, // XVSUBI_WU + 27427U, // XVSUBWEV_D_W + 26456U, // XVSUBWEV_D_WU + 16854U, // XVSUBWEV_H_B + 25041U, // XVSUBWEV_H_BU + 19659U, // XVSUBWEV_Q_D + 25666U, // XVSUBWEV_Q_DU + 22954U, // XVSUBWEV_W_H + 26242U, // XVSUBWEV_W_HU + 27305U, // XVSUBWOD_D_W + 26395U, // XVSUBWOD_D_WU + 16771U, // XVSUBWOD_H_B + 24980U, // XVSUBWOD_H_BU + 19578U, // XVSUBWOD_Q_D + 25605U, // XVSUBWOD_Q_DU + 22862U, // XVSUBWOD_W_H + 26181U, // XVSUBWOD_W_HU + 16526U, // XVSUB_B + 18271U, // XVSUB_D + 21608U, // XVSUB_H + 23441U, // XVSUB_Q + 27230U, // XVSUB_W + 17236U, // XVXORI_B + 26974U, // XVXOR_V + }; + + static const uint8_t OpInfo1[] = { + 0U, // PHI + 0U, // INLINEASM + 0U, // INLINEASM_BR + 0U, // CFI_INSTRUCTION + 0U, // EH_LABEL + 0U, // GC_LABEL + 0U, // ANNOTATION_LABEL + 0U, // KILL + 0U, // EXTRACT_SUBREG + 0U, // INSERT_SUBREG + 0U, // IMPLICIT_DEF + 0U, // SUBREG_TO_REG + 0U, // COPY_TO_REGCLASS + 0U, // DBG_VALUE + 0U, // DBG_VALUE_LIST + 0U, // DBG_INSTR_REF + 0U, // DBG_PHI + 0U, // DBG_LABEL + 0U, // REG_SEQUENCE + 0U, // COPY + 0U, // BUNDLE + 0U, // LIFETIME_START + 0U, // LIFETIME_END + 0U, // PSEUDO_PROBE + 0U, // ARITH_FENCE + 0U, // STACKMAP + 0U, // FENTRY_CALL + 0U, // PATCHPOINT + 0U, // LOAD_STACK_GUARD + 0U, // PREALLOCATED_SETUP + 0U, // PREALLOCATED_ARG + 0U, // STATEPOINT + 0U, // LOCAL_ESCAPE + 0U, // FAULTING_OP + 0U, // PATCHABLE_OP + 0U, // PATCHABLE_FUNCTION_ENTER + 0U, // PATCHABLE_RET + 0U, // PATCHABLE_FUNCTION_EXIT + 0U, // PATCHABLE_TAIL_CALL + 0U, // PATCHABLE_EVENT_CALL + 0U, // PATCHABLE_TYPED_EVENT_CALL + 0U, // ICALL_BRANCH_FUNNEL + 0U, // MEMBARRIER + 0U, // JUMP_TABLE_DEBUG_INFO + 0U, // G_ASSERT_SEXT + 0U, // G_ASSERT_ZEXT + 0U, // G_ASSERT_ALIGN + 0U, // G_ADD + 0U, // G_SUB + 0U, // G_MUL + 0U, // G_SDIV + 0U, // G_UDIV + 0U, // G_SREM + 0U, // G_UREM + 0U, // G_SDIVREM + 0U, // G_UDIVREM + 0U, // G_AND + 0U, // G_OR + 0U, // G_XOR + 0U, // G_IMPLICIT_DEF + 0U, // G_PHI + 0U, // G_FRAME_INDEX + 0U, // G_GLOBAL_VALUE + 0U, // G_CONSTANT_POOL + 0U, // G_EXTRACT + 0U, // G_UNMERGE_VALUES + 0U, // G_INSERT + 0U, // G_MERGE_VALUES + 0U, // G_BUILD_VECTOR + 0U, // G_BUILD_VECTOR_TRUNC + 0U, // G_CONCAT_VECTORS + 0U, // G_PTRTOINT + 0U, // G_INTTOPTR + 0U, // G_BITCAST + 0U, // G_FREEZE + 0U, // G_CONSTANT_FOLD_BARRIER + 0U, // G_INTRINSIC_FPTRUNC_ROUND + 0U, // G_INTRINSIC_TRUNC + 0U, // G_INTRINSIC_ROUND + 0U, // G_INTRINSIC_LRINT + 0U, // G_INTRINSIC_ROUNDEVEN + 0U, // G_READCYCLECOUNTER + 0U, // G_LOAD + 0U, // G_SEXTLOAD + 0U, // G_ZEXTLOAD + 0U, // G_INDEXED_LOAD + 0U, // G_INDEXED_SEXTLOAD + 0U, // G_INDEXED_ZEXTLOAD + 0U, // G_STORE + 0U, // G_INDEXED_STORE + 0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS + 0U, // G_ATOMIC_CMPXCHG + 0U, // G_ATOMICRMW_XCHG + 0U, // G_ATOMICRMW_ADD + 0U, // G_ATOMICRMW_SUB + 0U, // G_ATOMICRMW_AND + 0U, // G_ATOMICRMW_NAND + 0U, // G_ATOMICRMW_OR + 0U, // G_ATOMICRMW_XOR + 0U, // G_ATOMICRMW_MAX + 0U, // G_ATOMICRMW_MIN + 0U, // G_ATOMICRMW_UMAX + 0U, // G_ATOMICRMW_UMIN + 0U, // G_ATOMICRMW_FADD + 0U, // G_ATOMICRMW_FSUB + 0U, // G_ATOMICRMW_FMAX + 0U, // G_ATOMICRMW_FMIN + 0U, // G_ATOMICRMW_UINC_WRAP + 0U, // G_ATOMICRMW_UDEC_WRAP + 0U, // G_FENCE + 0U, // G_PREFETCH + 0U, // G_BRCOND + 0U, // G_BRINDIRECT + 0U, // G_INVOKE_REGION_START + 0U, // G_INTRINSIC + 0U, // G_INTRINSIC_W_SIDE_EFFECTS + 0U, // G_INTRINSIC_CONVERGENT + 0U, // G_INTRINSIC_CONVERGENT_W_SIDE_EFFECTS + 0U, // G_ANYEXT + 0U, // G_TRUNC + 0U, // G_CONSTANT + 0U, // G_FCONSTANT + 0U, // G_VASTART + 0U, // G_VAARG + 0U, // G_SEXT + 0U, // G_SEXT_INREG + 0U, // G_ZEXT + 0U, // G_SHL + 0U, // G_LSHR + 0U, // G_ASHR + 0U, // G_FSHL + 0U, // G_FSHR + 0U, // G_ROTR + 0U, // G_ROTL + 0U, // G_ICMP + 0U, // G_FCMP + 0U, // G_SELECT + 0U, // G_UADDO + 0U, // G_UADDE + 0U, // G_USUBO + 0U, // G_USUBE + 0U, // G_SADDO + 0U, // G_SADDE + 0U, // G_SSUBO + 0U, // G_SSUBE + 0U, // G_UMULO + 0U, // G_SMULO + 0U, // G_UMULH + 0U, // G_SMULH + 0U, // G_UADDSAT + 0U, // G_SADDSAT + 0U, // G_USUBSAT + 0U, // G_SSUBSAT + 0U, // G_USHLSAT + 0U, // G_SSHLSAT + 0U, // G_SMULFIX + 0U, // G_UMULFIX + 0U, // G_SMULFIXSAT + 0U, // G_UMULFIXSAT + 0U, // G_SDIVFIX + 0U, // G_UDIVFIX + 0U, // G_SDIVFIXSAT + 0U, // G_UDIVFIXSAT + 0U, // G_FADD + 0U, // G_FSUB + 0U, // G_FMUL + 0U, // G_FMA + 0U, // G_FMAD + 0U, // G_FDIV + 0U, // G_FREM + 0U, // G_FPOW + 0U, // G_FPOWI + 0U, // G_FEXP + 0U, // G_FEXP2 + 0U, // G_FEXP10 + 0U, // G_FLOG + 0U, // G_FLOG2 + 0U, // G_FLOG10 + 0U, // G_FLDEXP + 0U, // G_FFREXP + 0U, // G_FNEG + 0U, // G_FPEXT + 0U, // G_FPTRUNC + 0U, // G_FPTOSI + 0U, // G_FPTOUI + 0U, // G_SITOFP + 0U, // G_UITOFP + 0U, // G_FABS + 0U, // G_FCOPYSIGN + 0U, // G_IS_FPCLASS + 0U, // G_FCANONICALIZE + 0U, // G_FMINNUM + 0U, // G_FMAXNUM + 0U, // G_FMINNUM_IEEE + 0U, // G_FMAXNUM_IEEE + 0U, // G_FMINIMUM + 0U, // G_FMAXIMUM + 0U, // G_GET_FPENV + 0U, // G_SET_FPENV + 0U, // G_RESET_FPENV + 0U, // G_GET_FPMODE + 0U, // G_SET_FPMODE + 0U, // G_RESET_FPMODE + 0U, // G_PTR_ADD + 0U, // G_PTRMASK + 0U, // G_SMIN + 0U, // G_SMAX + 0U, // G_UMIN + 0U, // G_UMAX + 0U, // G_ABS + 0U, // G_LROUND + 0U, // G_LLROUND + 0U, // G_BR + 0U, // G_BRJT + 0U, // G_INSERT_VECTOR_ELT + 0U, // G_EXTRACT_VECTOR_ELT + 0U, // G_SHUFFLE_VECTOR + 0U, // G_CTTZ + 0U, // G_CTTZ_ZERO_UNDEF + 0U, // G_CTLZ + 0U, // G_CTLZ_ZERO_UNDEF + 0U, // G_CTPOP + 0U, // G_BSWAP + 0U, // G_BITREVERSE + 0U, // G_FCEIL + 0U, // G_FCOS + 0U, // G_FSIN + 0U, // G_FSQRT + 0U, // G_FFLOOR + 0U, // G_FRINT + 0U, // G_FNEARBYINT + 0U, // G_ADDRSPACE_CAST + 0U, // G_BLOCK_ADDR + 0U, // G_JUMP_TABLE + 0U, // G_DYN_STACKALLOC + 0U, // G_STACKSAVE + 0U, // G_STACKRESTORE + 0U, // G_STRICT_FADD + 0U, // G_STRICT_FSUB + 0U, // G_STRICT_FMUL + 0U, // G_STRICT_FDIV + 0U, // G_STRICT_FREM + 0U, // G_STRICT_FMA + 0U, // G_STRICT_FSQRT + 0U, // G_STRICT_FLDEXP + 0U, // G_READ_REGISTER + 0U, // G_WRITE_REGISTER + 0U, // G_MEMCPY + 0U, // G_MEMCPY_INLINE + 0U, // G_MEMMOVE + 0U, // G_MEMSET + 0U, // G_BZERO + 0U, // G_VECREDUCE_SEQ_FADD + 0U, // G_VECREDUCE_SEQ_FMUL + 0U, // G_VECREDUCE_FADD + 0U, // G_VECREDUCE_FMUL + 0U, // G_VECREDUCE_FMAX + 0U, // G_VECREDUCE_FMIN + 0U, // G_VECREDUCE_FMAXIMUM + 0U, // G_VECREDUCE_FMINIMUM + 0U, // G_VECREDUCE_ADD + 0U, // G_VECREDUCE_MUL + 0U, // G_VECREDUCE_AND + 0U, // G_VECREDUCE_OR + 0U, // G_VECREDUCE_XOR + 0U, // G_VECREDUCE_SMAX + 0U, // G_VECREDUCE_SMIN + 0U, // G_VECREDUCE_UMAX + 0U, // G_VECREDUCE_UMIN + 0U, // G_SBFX + 0U, // G_UBFX + 0U, // ADJCALLSTACKDOWN + 0U, // ADJCALLSTACKUP + 0U, // PseudoAtomicLoadAdd32 + 0U, // PseudoAtomicLoadAnd32 + 0U, // PseudoAtomicLoadNand32 + 0U, // PseudoAtomicLoadNand64 + 0U, // PseudoAtomicLoadOr32 + 0U, // PseudoAtomicLoadSub32 + 0U, // PseudoAtomicLoadXor32 + 0U, // PseudoAtomicStoreD + 0U, // PseudoAtomicStoreW + 0U, // PseudoAtomicSwap32 + 0U, // PseudoBR + 0U, // PseudoBRIND + 0U, // PseudoB_TAIL + 0U, // PseudoCALL + 0U, // PseudoCALL36 + 0U, // PseudoCALLIndirect + 0U, // PseudoCALL_LARGE + 0U, // PseudoCALL_MEDIUM + 0U, // PseudoCmpXchg32 + 0U, // PseudoCmpXchg64 + 0U, // PseudoCopyCFR + 0U, // PseudoJIRL_CALL + 0U, // PseudoJIRL_TAIL + 1U, // PseudoLA_ABS + 5U, // PseudoLA_ABS_LARGE + 1U, // PseudoLA_GOT + 17U, // PseudoLA_GOT_LARGE + 1U, // PseudoLA_PCREL + 17U, // PseudoLA_PCREL_LARGE + 1U, // PseudoLA_TLS_GD + 17U, // PseudoLA_TLS_GD_LARGE + 1U, // PseudoLA_TLS_IE + 17U, // PseudoLA_TLS_IE_LARGE + 1U, // PseudoLA_TLS_LD + 17U, // PseudoLA_TLS_LD_LARGE + 1U, // PseudoLA_TLS_LE + 0U, // PseudoLD_CFR + 1U, // PseudoLI_D + 1U, // PseudoLI_W + 0U, // PseudoMaskedAtomicLoadAdd32 + 0U, // PseudoMaskedAtomicLoadMax32 + 0U, // PseudoMaskedAtomicLoadMin32 + 0U, // PseudoMaskedAtomicLoadNand32 + 0U, // PseudoMaskedAtomicLoadSub32 + 0U, // PseudoMaskedAtomicLoadUMax32 + 0U, // PseudoMaskedAtomicLoadUMin32 + 0U, // PseudoMaskedAtomicSwap32 + 0U, // PseudoMaskedCmpXchg32 + 0U, // PseudoRET + 0U, // PseudoST_CFR + 0U, // PseudoTAIL + 1U, // PseudoTAIL36 + 0U, // PseudoTAILIndirect + 0U, // PseudoTAIL_LARGE + 0U, // PseudoTAIL_MEDIUM + 0U, // PseudoUNIMP + 0U, // PseudoVBNZ + 0U, // PseudoVBNZ_B + 0U, // PseudoVBNZ_D + 0U, // PseudoVBNZ_H + 0U, // PseudoVBNZ_W + 0U, // PseudoVBZ + 0U, // PseudoVBZ_B + 0U, // PseudoVBZ_D + 0U, // PseudoVBZ_H + 0U, // PseudoVBZ_W + 1U, // PseudoVREPLI_B + 1U, // PseudoVREPLI_D + 1U, // PseudoVREPLI_H + 1U, // PseudoVREPLI_W + 0U, // PseudoXVBNZ + 0U, // PseudoXVBNZ_B + 0U, // PseudoXVBNZ_D + 0U, // PseudoXVBNZ_H + 0U, // PseudoXVBNZ_W + 0U, // PseudoXVBZ + 0U, // PseudoXVBZ_B + 0U, // PseudoXVBZ_D + 0U, // PseudoXVBZ_H + 0U, // PseudoXVBZ_W + 0U, // PseudoXVINSGR2VR_B + 0U, // PseudoXVINSGR2VR_H + 1U, // PseudoXVREPLI_B + 1U, // PseudoXVREPLI_D + 1U, // PseudoXVREPLI_H + 1U, // PseudoXVREPLI_W + 0U, // RDFCSR + 0U, // WRFCSR + 17U, // ADC_B + 17U, // ADC_D + 17U, // ADC_H + 17U, // ADC_W + 17U, // ADDI_D + 17U, // ADDI_W + 17U, // ADDU12I_D + 17U, // ADDU12I_W + 17U, // ADDU16I_D + 17U, // ADD_D + 17U, // ADD_W + 145U, // ALSL_D + 145U, // ALSL_W + 145U, // ALSL_WU + 49U, // AMADD_B + 49U, // AMADD_D + 49U, // AMADD_H + 49U, // AMADD_W + 49U, // AMADD__DB_B + 49U, // AMADD__DB_D + 49U, // AMADD__DB_H + 49U, // AMADD__DB_W + 49U, // AMAND_D + 49U, // AMAND_W + 49U, // AMAND__DB_D + 49U, // AMAND__DB_W + 49U, // AMCAS_B + 49U, // AMCAS_D + 49U, // AMCAS_H + 49U, // AMCAS_W + 49U, // AMCAS__DB_B + 49U, // AMCAS__DB_D + 49U, // AMCAS__DB_H + 49U, // AMCAS__DB_W + 49U, // AMMAX_D + 49U, // AMMAX_DU + 49U, // AMMAX_W + 49U, // AMMAX_WU + 49U, // AMMAX__DB_D + 49U, // AMMAX__DB_DU + 49U, // AMMAX__DB_W + 49U, // AMMAX__DB_WU + 49U, // AMMIN_D + 49U, // AMMIN_DU + 49U, // AMMIN_W + 49U, // AMMIN_WU + 49U, // AMMIN__DB_D + 49U, // AMMIN__DB_DU + 49U, // AMMIN__DB_W + 49U, // AMMIN__DB_WU + 49U, // AMOR_D + 49U, // AMOR_W + 49U, // AMOR__DB_D + 49U, // AMOR__DB_W + 49U, // AMSWAP_B + 49U, // AMSWAP_D + 49U, // AMSWAP_H + 49U, // AMSWAP_W + 49U, // AMSWAP__DB_B + 49U, // AMSWAP__DB_D + 49U, // AMSWAP__DB_H + 49U, // AMSWAP__DB_W + 49U, // AMXOR_D + 49U, // AMXOR_W + 49U, // AMXOR__DB_D + 49U, // AMXOR__DB_W + 17U, // AND + 17U, // ANDI + 17U, // ANDN + 17U, // ARMADC_W + 17U, // ARMADD_W + 17U, // ARMAND_W + 1U, // ARMMFFLAG + 17U, // ARMMOVE + 1U, // ARMMOV_D + 1U, // ARMMOV_W + 1U, // ARMMTFLAG + 1U, // ARMNOT_W + 17U, // ARMOR_W + 17U, // ARMROTRI_W + 17U, // ARMROTR_W + 1U, // ARMRRX_W + 17U, // ARMSBC_W + 17U, // ARMSLLI_W + 17U, // ARMSLL_W + 17U, // ARMSRAI_W + 17U, // ARMSRA_W + 17U, // ARMSRLI_W + 17U, // ARMSRL_W + 17U, // ARMSUB_W + 17U, // ARMXOR_W + 1U, // ASRTGT_D + 1U, // ASRTLE_D + 0U, // B + 1U, // BCEQZ + 1U, // BCNEZ + 17U, // BEQ + 1U, // BEQZ + 17U, // BGE + 17U, // BGEU + 1U, // BITREV_4B + 1U, // BITREV_8B + 1U, // BITREV_D + 1U, // BITREV_W + 0U, // BL + 17U, // BLT + 17U, // BLTU + 17U, // BNE + 1U, // BNEZ + 0U, // BREAK + 89U, // BSTRINS_D + 89U, // BSTRINS_W + 145U, // BSTRPICK_D + 145U, // BSTRPICK_W + 145U, // BYTEPICK_D + 145U, // BYTEPICK_W + 17U, // CACOP + 1U, // CLO_D + 1U, // CLO_W + 1U, // CLZ_D + 1U, // CLZ_W + 1U, // CPUCFG + 17U, // CRCC_W_B_W + 17U, // CRCC_W_D_W + 17U, // CRCC_W_H_W + 17U, // CRCC_W_W_W + 17U, // CRC_W_B_W + 17U, // CRC_W_D_W + 17U, // CRC_W_H_W + 17U, // CRC_W_W_W + 1U, // CSRRD + 0U, // CSRWR + 9U, // CSRXCHG + 1U, // CTO_D + 1U, // CTO_W + 1U, // CTZ_D + 1U, // CTZ_W + 0U, // DBAR + 0U, // DBCL + 17U, // DIV_D + 17U, // DIV_DU + 17U, // DIV_W + 17U, // DIV_WU + 0U, // ERTN + 1U, // EXT_W_B + 1U, // EXT_W_H + 1U, // FABS_D + 1U, // FABS_S + 17U, // FADD_D + 17U, // FADD_S + 1U, // FCLASS_D + 1U, // FCLASS_S + 17U, // FCMP_CAF_D + 17U, // FCMP_CAF_S + 17U, // FCMP_CEQ_D + 17U, // FCMP_CEQ_S + 17U, // FCMP_CLE_D + 17U, // FCMP_CLE_S + 17U, // FCMP_CLT_D + 17U, // FCMP_CLT_S + 17U, // FCMP_CNE_D + 17U, // FCMP_CNE_S + 17U, // FCMP_COR_D + 17U, // FCMP_COR_S + 17U, // FCMP_CUEQ_D + 17U, // FCMP_CUEQ_S + 17U, // FCMP_CULE_D + 17U, // FCMP_CULE_S + 17U, // FCMP_CULT_D + 17U, // FCMP_CULT_S + 17U, // FCMP_CUNE_D + 17U, // FCMP_CUNE_S + 17U, // FCMP_CUN_D + 17U, // FCMP_CUN_S + 17U, // FCMP_SAF_D + 17U, // FCMP_SAF_S + 17U, // FCMP_SEQ_D + 17U, // FCMP_SEQ_S + 17U, // FCMP_SLE_D + 17U, // FCMP_SLE_S + 17U, // FCMP_SLT_D + 17U, // FCMP_SLT_S + 17U, // FCMP_SNE_D + 17U, // FCMP_SNE_S + 17U, // FCMP_SOR_D + 17U, // FCMP_SOR_S + 17U, // FCMP_SUEQ_D + 17U, // FCMP_SUEQ_S + 17U, // FCMP_SULE_D + 17U, // FCMP_SULE_S + 17U, // FCMP_SULT_D + 17U, // FCMP_SULT_S + 17U, // FCMP_SUNE_D + 17U, // FCMP_SUNE_S + 17U, // FCMP_SUN_D + 17U, // FCMP_SUN_S + 17U, // FCOPYSIGN_D + 17U, // FCOPYSIGN_S + 17U, // FCVT_D_LD + 1U, // FCVT_D_S + 1U, // FCVT_LD_D + 1U, // FCVT_S_D + 1U, // FCVT_UD_D + 17U, // FDIV_D + 17U, // FDIV_S + 1U, // FFINT_D_L + 1U, // FFINT_D_W + 1U, // FFINT_S_L + 1U, // FFINT_S_W + 17U, // FLDGT_D + 17U, // FLDGT_S + 17U, // FLDLE_D + 17U, // FLDLE_S + 17U, // FLDX_D + 17U, // FLDX_S + 17U, // FLD_D + 17U, // FLD_S + 1U, // FLOGB_D + 1U, // FLOGB_S + 145U, // FMADD_D + 145U, // FMADD_S + 17U, // FMAXA_D + 17U, // FMAXA_S + 17U, // FMAX_D + 17U, // FMAX_S + 17U, // FMINA_D + 17U, // FMINA_S + 17U, // FMIN_D + 17U, // FMIN_S + 1U, // FMOV_D + 1U, // FMOV_S + 145U, // FMSUB_D + 145U, // FMSUB_S + 17U, // FMUL_D + 17U, // FMUL_S + 1U, // FNEG_D + 1U, // FNEG_S + 145U, // FNMADD_D + 145U, // FNMADD_S + 145U, // FNMSUB_D + 145U, // FNMSUB_S + 1U, // FRECIPE_D + 1U, // FRECIPE_S + 1U, // FRECIP_D + 1U, // FRECIP_S + 1U, // FRINT_D + 1U, // FRINT_S + 1U, // FRSQRTE_D + 1U, // FRSQRTE_S + 1U, // FRSQRT_D + 1U, // FRSQRT_S + 17U, // FSCALEB_D + 17U, // FSCALEB_S + 145U, // FSEL_xD + 145U, // FSEL_xS + 1U, // FSQRT_D + 1U, // FSQRT_S + 17U, // FSTGT_D + 17U, // FSTGT_S + 17U, // FSTLE_D + 17U, // FSTLE_S + 17U, // FSTX_D + 17U, // FSTX_S + 17U, // FST_D + 17U, // FST_S + 17U, // FSUB_D + 17U, // FSUB_S + 1U, // FTINTRM_L_D + 1U, // FTINTRM_L_S + 1U, // FTINTRM_W_D + 1U, // FTINTRM_W_S + 1U, // FTINTRNE_L_D + 1U, // FTINTRNE_L_S + 1U, // FTINTRNE_W_D + 1U, // FTINTRNE_W_S + 1U, // FTINTRP_L_D + 1U, // FTINTRP_L_S + 1U, // FTINTRP_W_D + 1U, // FTINTRP_W_S + 1U, // FTINTRZ_L_D + 1U, // FTINTRZ_L_S + 1U, // FTINTRZ_W_D + 1U, // FTINTRZ_W_S + 1U, // FTINT_L_D + 1U, // FTINT_L_S + 1U, // FTINT_W_D + 1U, // FTINT_W_S + 1U, // GCSRRD + 0U, // GCSRWR + 9U, // GCSRXCHG + 0U, // GTLBFLUSH + 0U, // HVCL + 0U, // IBAR + 0U, // IDLE + 0U, // INVTLB + 1U, // IOCSRRD_B + 1U, // IOCSRRD_D + 1U, // IOCSRRD_H + 1U, // IOCSRRD_W + 1U, // IOCSRWR_B + 1U, // IOCSRWR_D + 1U, // IOCSRWR_H + 1U, // IOCSRWR_W + 17U, // JIRL + 0U, // JISCR0 + 0U, // JISCR1 + 17U, // LDDIR + 17U, // LDGT_B + 17U, // LDGT_D + 17U, // LDGT_H + 17U, // LDGT_W + 17U, // LDLE_B + 17U, // LDLE_D + 17U, // LDLE_H + 17U, // LDLE_W + 17U, // LDL_D + 17U, // LDL_W + 1U, // LDPTE + 17U, // LDPTR_D + 17U, // LDPTR_W + 17U, // LDR_D + 17U, // LDR_W + 17U, // LDX_B + 17U, // LDX_BU + 17U, // LDX_D + 17U, // LDX_H + 17U, // LDX_HU + 17U, // LDX_W + 17U, // LDX_WU + 17U, // LD_B + 17U, // LD_BU + 17U, // LD_D + 17U, // LD_H + 17U, // LD_HU + 17U, // LD_W + 17U, // LD_WU + 1U, // LLACQ_D + 1U, // LLACQ_W + 17U, // LL_D + 17U, // LL_W + 1U, // LU12I_W + 0U, // LU32I_D + 17U, // LU52I_D + 17U, // MASKEQZ + 17U, // MASKNEZ + 17U, // MOD_D + 17U, // MOD_DU + 17U, // MOD_W + 17U, // MOD_WU + 1U, // MOVCF2FR_xS + 1U, // MOVCF2GR + 1U, // MOVFCSR2GR + 1U, // MOVFR2CF_xS + 1U, // MOVFR2GR_D + 1U, // MOVFR2GR_S + 1U, // MOVFR2GR_S_64 + 1U, // MOVFRH2GR_S + 1U, // MOVGR2CF + 1U, // MOVGR2FCSR + 0U, // MOVGR2FRH_W + 1U, // MOVGR2FR_D + 1U, // MOVGR2FR_W + 1U, // MOVGR2FR_W_64 + 1U, // MOVGR2SCR + 1U, // MOVSCR2GR + 17U, // MULH_D + 17U, // MULH_DU + 17U, // MULH_W + 17U, // MULH_WU + 17U, // MULW_D_W + 17U, // MULW_D_WU + 17U, // MUL_D + 17U, // MUL_W + 17U, // NOR + 17U, // OR + 17U, // ORI + 17U, // ORN + 1U, // PCADDI + 1U, // PCADDU12I + 1U, // PCADDU18I + 1U, // PCALAU12I + 17U, // PRELD + 17U, // PRELDX + 17U, // RCRI_B + 17U, // RCRI_D + 17U, // RCRI_H + 17U, // RCRI_W + 17U, // RCR_B + 17U, // RCR_D + 17U, // RCR_H + 17U, // RCR_W + 1U, // RDTIMEH_W + 1U, // RDTIMEL_W + 1U, // RDTIME_D + 1U, // REVB_2H + 1U, // REVB_2W + 1U, // REVB_4H + 1U, // REVB_D + 1U, // REVH_2W + 1U, // REVH_D + 17U, // ROTRI_B + 17U, // ROTRI_D + 17U, // ROTRI_H + 17U, // ROTRI_W + 17U, // ROTR_B + 17U, // ROTR_D + 17U, // ROTR_H + 17U, // ROTR_W + 17U, // SBC_B + 17U, // SBC_D + 17U, // SBC_H + 17U, // SBC_W + 0U, // SCREL_D + 0U, // SCREL_W + 9U, // SC_D + 9U, // SC_Q + 9U, // SC_W + 1U, // SETARMJ + 1U, // SETX86J + 1U, // SETX86LOOPE + 1U, // SETX86LOOPNE + 2U, // SET_CFR_FALSE + 2U, // SET_CFR_TRUE + 17U, // SLLI_D + 17U, // SLLI_W + 17U, // SLL_D + 17U, // SLL_W + 17U, // SLT + 17U, // SLTI + 17U, // SLTU + 17U, // SLTUI + 17U, // SRAI_D + 17U, // SRAI_W + 17U, // SRA_D + 17U, // SRA_W + 17U, // SRLI_D + 17U, // SRLI_W + 17U, // SRL_D + 17U, // SRL_W + 17U, // STGT_B + 17U, // STGT_D + 17U, // STGT_H + 17U, // STGT_W + 17U, // STLE_B + 17U, // STLE_D + 17U, // STLE_H + 17U, // STLE_W + 17U, // STL_D + 17U, // STL_W + 17U, // STPTR_D + 17U, // STPTR_W + 17U, // STR_D + 17U, // STR_W + 17U, // STX_B + 17U, // STX_D + 17U, // STX_H + 17U, // STX_W + 17U, // ST_B + 17U, // ST_D + 17U, // ST_H + 17U, // ST_W + 17U, // SUB_D + 17U, // SUB_W + 0U, // SYSCALL + 0U, // TLBCLR + 0U, // TLBFILL + 0U, // TLBFLUSH + 0U, // TLBRD + 0U, // TLBSRCH + 0U, // TLBWR + 17U, // VABSD_B + 17U, // VABSD_BU + 17U, // VABSD_D + 17U, // VABSD_DU + 17U, // VABSD_H + 17U, // VABSD_HU + 17U, // VABSD_W + 17U, // VABSD_WU + 17U, // VADDA_B + 17U, // VADDA_D + 17U, // VADDA_H + 17U, // VADDA_W + 17U, // VADDI_BU + 17U, // VADDI_DU + 17U, // VADDI_HU + 17U, // VADDI_WU + 17U, // VADDWEV_D_W + 17U, // VADDWEV_D_WU + 17U, // VADDWEV_D_WU_W + 17U, // VADDWEV_H_B + 17U, // VADDWEV_H_BU + 17U, // VADDWEV_H_BU_B + 17U, // VADDWEV_Q_D + 17U, // VADDWEV_Q_DU + 17U, // VADDWEV_Q_DU_D + 17U, // VADDWEV_W_H + 17U, // VADDWEV_W_HU + 17U, // VADDWEV_W_HU_H + 17U, // VADDWOD_D_W + 17U, // VADDWOD_D_WU + 17U, // VADDWOD_D_WU_W + 17U, // VADDWOD_H_B + 17U, // VADDWOD_H_BU + 17U, // VADDWOD_H_BU_B + 17U, // VADDWOD_Q_D + 17U, // VADDWOD_Q_DU + 17U, // VADDWOD_Q_DU_D + 17U, // VADDWOD_W_H + 17U, // VADDWOD_W_HU + 17U, // VADDWOD_W_HU_H + 17U, // VADD_B + 17U, // VADD_D + 17U, // VADD_H + 17U, // VADD_Q + 17U, // VADD_W + 17U, // VANDI_B + 17U, // VANDN_V + 17U, // VAND_V + 17U, // VAVGR_B + 17U, // VAVGR_BU + 17U, // VAVGR_D + 17U, // VAVGR_DU + 17U, // VAVGR_H + 17U, // VAVGR_HU + 17U, // VAVGR_W + 17U, // VAVGR_WU + 17U, // VAVG_B + 17U, // VAVG_BU + 17U, // VAVG_D + 17U, // VAVG_DU + 17U, // VAVG_H + 17U, // VAVG_HU + 17U, // VAVG_W + 17U, // VAVG_WU + 17U, // VBITCLRI_B + 17U, // VBITCLRI_D + 17U, // VBITCLRI_H + 17U, // VBITCLRI_W + 17U, // VBITCLR_B + 17U, // VBITCLR_D + 17U, // VBITCLR_H + 17U, // VBITCLR_W + 17U, // VBITREVI_B + 17U, // VBITREVI_D + 17U, // VBITREVI_H + 17U, // VBITREVI_W + 17U, // VBITREV_B + 17U, // VBITREV_D + 17U, // VBITREV_H + 17U, // VBITREV_W + 9U, // VBITSELI_B + 145U, // VBITSEL_V + 17U, // VBITSETI_B + 17U, // VBITSETI_D + 17U, // VBITSETI_H + 17U, // VBITSETI_W + 17U, // VBITSET_B + 17U, // VBITSET_D + 17U, // VBITSET_H + 17U, // VBITSET_W + 17U, // VBSLL_V + 17U, // VBSRL_V + 1U, // VCLO_B + 1U, // VCLO_D + 1U, // VCLO_H + 1U, // VCLO_W + 1U, // VCLZ_B + 1U, // VCLZ_D + 1U, // VCLZ_H + 1U, // VCLZ_W + 17U, // VDIV_B + 17U, // VDIV_BU + 17U, // VDIV_D + 17U, // VDIV_DU + 17U, // VDIV_H + 17U, // VDIV_HU + 17U, // VDIV_W + 17U, // VDIV_WU + 1U, // VEXT2XV_DU_BU + 1U, // VEXT2XV_DU_HU + 1U, // VEXT2XV_DU_WU + 1U, // VEXT2XV_D_B + 1U, // VEXT2XV_D_H + 1U, // VEXT2XV_D_W + 1U, // VEXT2XV_HU_BU + 1U, // VEXT2XV_H_B + 1U, // VEXT2XV_WU_BU + 1U, // VEXT2XV_WU_HU + 1U, // VEXT2XV_W_B + 1U, // VEXT2XV_W_H + 1U, // VEXTH_DU_WU + 1U, // VEXTH_D_W + 1U, // VEXTH_HU_BU + 1U, // VEXTH_H_B + 1U, // VEXTH_QU_DU + 1U, // VEXTH_Q_D + 1U, // VEXTH_WU_HU + 1U, // VEXTH_W_H + 1U, // VEXTL_QU_DU + 1U, // VEXTL_Q_D + 9U, // VEXTRINS_B + 9U, // VEXTRINS_D + 9U, // VEXTRINS_H + 9U, // VEXTRINS_W + 17U, // VFADD_D + 17U, // VFADD_S + 1U, // VFCLASS_D + 1U, // VFCLASS_S + 17U, // VFCMP_CAF_D + 17U, // VFCMP_CAF_S + 17U, // VFCMP_CEQ_D + 17U, // VFCMP_CEQ_S + 17U, // VFCMP_CLE_D + 17U, // VFCMP_CLE_S + 17U, // VFCMP_CLT_D + 17U, // VFCMP_CLT_S + 17U, // VFCMP_CNE_D + 17U, // VFCMP_CNE_S + 17U, // VFCMP_COR_D + 17U, // VFCMP_COR_S + 17U, // VFCMP_CUEQ_D + 17U, // VFCMP_CUEQ_S + 17U, // VFCMP_CULE_D + 17U, // VFCMP_CULE_S + 17U, // VFCMP_CULT_D + 17U, // VFCMP_CULT_S + 17U, // VFCMP_CUNE_D + 17U, // VFCMP_CUNE_S + 17U, // VFCMP_CUN_D + 17U, // VFCMP_CUN_S + 17U, // VFCMP_SAF_D + 17U, // VFCMP_SAF_S + 17U, // VFCMP_SEQ_D + 17U, // VFCMP_SEQ_S + 17U, // VFCMP_SLE_D + 17U, // VFCMP_SLE_S + 17U, // VFCMP_SLT_D + 17U, // VFCMP_SLT_S + 17U, // VFCMP_SNE_D + 17U, // VFCMP_SNE_S + 17U, // VFCMP_SOR_D + 17U, // VFCMP_SOR_S + 17U, // VFCMP_SUEQ_D + 17U, // VFCMP_SUEQ_S + 17U, // VFCMP_SULE_D + 17U, // VFCMP_SULE_S + 17U, // VFCMP_SULT_D + 17U, // VFCMP_SULT_S + 17U, // VFCMP_SUNE_D + 17U, // VFCMP_SUNE_S + 17U, // VFCMP_SUN_D + 17U, // VFCMP_SUN_S + 1U, // VFCVTH_D_S + 1U, // VFCVTH_S_H + 1U, // VFCVTL_D_S + 1U, // VFCVTL_S_H + 17U, // VFCVT_H_S + 17U, // VFCVT_S_D + 17U, // VFDIV_D + 17U, // VFDIV_S + 1U, // VFFINTH_D_W + 1U, // VFFINTL_D_W + 1U, // VFFINT_D_L + 1U, // VFFINT_D_LU + 17U, // VFFINT_S_L + 1U, // VFFINT_S_W + 1U, // VFFINT_S_WU + 1U, // VFLOGB_D + 1U, // VFLOGB_S + 145U, // VFMADD_D + 145U, // VFMADD_S + 17U, // VFMAXA_D + 17U, // VFMAXA_S + 17U, // VFMAX_D + 17U, // VFMAX_S + 17U, // VFMINA_D + 17U, // VFMINA_S + 17U, // VFMIN_D + 17U, // VFMIN_S + 145U, // VFMSUB_D + 145U, // VFMSUB_S + 17U, // VFMUL_D + 17U, // VFMUL_S + 145U, // VFNMADD_D + 145U, // VFNMADD_S + 145U, // VFNMSUB_D + 145U, // VFNMSUB_S + 1U, // VFRECIPE_D + 1U, // VFRECIPE_S + 1U, // VFRECIP_D + 1U, // VFRECIP_S + 1U, // VFRINTRM_D + 1U, // VFRINTRM_S + 1U, // VFRINTRNE_D + 1U, // VFRINTRNE_S + 1U, // VFRINTRP_D + 1U, // VFRINTRP_S + 1U, // VFRINTRZ_D + 1U, // VFRINTRZ_S + 1U, // VFRINT_D + 1U, // VFRINT_S + 1U, // VFRSQRTE_D + 1U, // VFRSQRTE_S + 1U, // VFRSQRT_D + 1U, // VFRSQRT_S + 9U, // VFRSTPI_B + 9U, // VFRSTPI_H + 9U, // VFRSTP_B + 9U, // VFRSTP_H + 1U, // VFSQRT_D + 1U, // VFSQRT_S + 17U, // VFSUB_D + 17U, // VFSUB_S + 1U, // VFTINTH_L_S + 1U, // VFTINTL_L_S + 1U, // VFTINTRMH_L_S + 1U, // VFTINTRML_L_S + 1U, // VFTINTRM_L_D + 17U, // VFTINTRM_W_D + 1U, // VFTINTRM_W_S + 1U, // VFTINTRNEH_L_S + 1U, // VFTINTRNEL_L_S + 1U, // VFTINTRNE_L_D + 17U, // VFTINTRNE_W_D + 1U, // VFTINTRNE_W_S + 1U, // VFTINTRPH_L_S + 1U, // VFTINTRPL_L_S + 1U, // VFTINTRP_L_D + 17U, // VFTINTRP_W_D + 1U, // VFTINTRP_W_S + 1U, // VFTINTRZH_L_S + 1U, // VFTINTRZL_L_S + 1U, // VFTINTRZ_LU_D + 1U, // VFTINTRZ_L_D + 1U, // VFTINTRZ_WU_S + 17U, // VFTINTRZ_W_D + 1U, // VFTINTRZ_W_S + 1U, // VFTINT_LU_D + 1U, // VFTINT_L_D + 1U, // VFTINT_WU_S + 17U, // VFTINT_W_D + 1U, // VFTINT_W_S + 17U, // VHADDW_DU_WU + 17U, // VHADDW_D_W + 17U, // VHADDW_HU_BU + 17U, // VHADDW_H_B + 17U, // VHADDW_QU_DU + 17U, // VHADDW_Q_D + 17U, // VHADDW_WU_HU + 17U, // VHADDW_W_H + 17U, // VHSUBW_DU_WU + 17U, // VHSUBW_D_W + 17U, // VHSUBW_HU_BU + 17U, // VHSUBW_H_B + 17U, // VHSUBW_QU_DU + 17U, // VHSUBW_Q_D + 17U, // VHSUBW_WU_HU + 17U, // VHSUBW_W_H + 17U, // VILVH_B + 17U, // VILVH_D + 17U, // VILVH_H + 17U, // VILVH_W + 17U, // VILVL_B + 17U, // VILVL_D + 17U, // VILVL_H + 17U, // VILVL_W + 9U, // VINSGR2VR_B + 9U, // VINSGR2VR_D + 9U, // VINSGR2VR_H + 9U, // VINSGR2VR_W + 17U, // VLD + 1U, // VLDI + 17U, // VLDREPL_B + 17U, // VLDREPL_D + 17U, // VLDREPL_H + 17U, // VLDREPL_W + 17U, // VLDX + 9U, // VMADDWEV_D_W + 9U, // VMADDWEV_D_WU + 9U, // VMADDWEV_D_WU_W + 9U, // VMADDWEV_H_B + 9U, // VMADDWEV_H_BU + 9U, // VMADDWEV_H_BU_B + 9U, // VMADDWEV_Q_D + 9U, // VMADDWEV_Q_DU + 9U, // VMADDWEV_Q_DU_D + 9U, // VMADDWEV_W_H + 9U, // VMADDWEV_W_HU + 9U, // VMADDWEV_W_HU_H + 9U, // VMADDWOD_D_W + 9U, // VMADDWOD_D_WU + 9U, // VMADDWOD_D_WU_W + 9U, // VMADDWOD_H_B + 9U, // VMADDWOD_H_BU + 9U, // VMADDWOD_H_BU_B + 9U, // VMADDWOD_Q_D + 9U, // VMADDWOD_Q_DU + 9U, // VMADDWOD_Q_DU_D + 9U, // VMADDWOD_W_H + 9U, // VMADDWOD_W_HU + 9U, // VMADDWOD_W_HU_H + 9U, // VMADD_B + 9U, // VMADD_D + 9U, // VMADD_H + 9U, // VMADD_W + 17U, // VMAXI_B + 17U, // VMAXI_BU + 17U, // VMAXI_D + 17U, // VMAXI_DU + 17U, // VMAXI_H + 17U, // VMAXI_HU + 17U, // VMAXI_W + 17U, // VMAXI_WU + 17U, // VMAX_B + 17U, // VMAX_BU + 17U, // VMAX_D + 17U, // VMAX_DU + 17U, // VMAX_H + 17U, // VMAX_HU + 17U, // VMAX_W + 17U, // VMAX_WU + 17U, // VMINI_B + 17U, // VMINI_BU + 17U, // VMINI_D + 17U, // VMINI_DU + 17U, // VMINI_H + 17U, // VMINI_HU + 17U, // VMINI_W + 17U, // VMINI_WU + 17U, // VMIN_B + 17U, // VMIN_BU + 17U, // VMIN_D + 17U, // VMIN_DU + 17U, // VMIN_H + 17U, // VMIN_HU + 17U, // VMIN_W + 17U, // VMIN_WU + 17U, // VMOD_B + 17U, // VMOD_BU + 17U, // VMOD_D + 17U, // VMOD_DU + 17U, // VMOD_H + 17U, // VMOD_HU + 17U, // VMOD_W + 17U, // VMOD_WU + 1U, // VMSKGEZ_B + 1U, // VMSKLTZ_B + 1U, // VMSKLTZ_D + 1U, // VMSKLTZ_H + 1U, // VMSKLTZ_W + 1U, // VMSKNZ_B + 9U, // VMSUB_B + 9U, // VMSUB_D + 9U, // VMSUB_H + 9U, // VMSUB_W + 17U, // VMUH_B + 17U, // VMUH_BU + 17U, // VMUH_D + 17U, // VMUH_DU + 17U, // VMUH_H + 17U, // VMUH_HU + 17U, // VMUH_W + 17U, // VMUH_WU + 17U, // VMULWEV_D_W + 17U, // VMULWEV_D_WU + 17U, // VMULWEV_D_WU_W + 17U, // VMULWEV_H_B + 17U, // VMULWEV_H_BU + 17U, // VMULWEV_H_BU_B + 17U, // VMULWEV_Q_D + 17U, // VMULWEV_Q_DU + 17U, // VMULWEV_Q_DU_D + 17U, // VMULWEV_W_H + 17U, // VMULWEV_W_HU + 17U, // VMULWEV_W_HU_H + 17U, // VMULWOD_D_W + 17U, // VMULWOD_D_WU + 17U, // VMULWOD_D_WU_W + 17U, // VMULWOD_H_B + 17U, // VMULWOD_H_BU + 17U, // VMULWOD_H_BU_B + 17U, // VMULWOD_Q_D + 17U, // VMULWOD_Q_DU + 17U, // VMULWOD_Q_DU_D + 17U, // VMULWOD_W_H + 17U, // VMULWOD_W_HU + 17U, // VMULWOD_W_HU_H + 17U, // VMUL_B + 17U, // VMUL_D + 17U, // VMUL_H + 17U, // VMUL_W + 1U, // VNEG_B + 1U, // VNEG_D + 1U, // VNEG_H + 1U, // VNEG_W + 17U, // VNORI_B + 17U, // VNOR_V + 17U, // VORI_B + 17U, // VORN_V + 17U, // VOR_V + 17U, // VPACKEV_B + 17U, // VPACKEV_D + 17U, // VPACKEV_H + 17U, // VPACKEV_W + 17U, // VPACKOD_B + 17U, // VPACKOD_D + 17U, // VPACKOD_H + 17U, // VPACKOD_W + 1U, // VPCNT_B + 1U, // VPCNT_D + 1U, // VPCNT_H + 1U, // VPCNT_W + 9U, // VPERMI_W + 17U, // VPICKEV_B + 17U, // VPICKEV_D + 17U, // VPICKEV_H + 17U, // VPICKEV_W + 17U, // VPICKOD_B + 17U, // VPICKOD_D + 17U, // VPICKOD_H + 17U, // VPICKOD_W + 17U, // VPICKVE2GR_B + 17U, // VPICKVE2GR_BU + 17U, // VPICKVE2GR_D + 17U, // VPICKVE2GR_DU + 17U, // VPICKVE2GR_H + 17U, // VPICKVE2GR_HU + 17U, // VPICKVE2GR_W + 17U, // VPICKVE2GR_WU + 1U, // VREPLGR2VR_B + 1U, // VREPLGR2VR_D + 1U, // VREPLGR2VR_H + 1U, // VREPLGR2VR_W + 17U, // VREPLVEI_B + 17U, // VREPLVEI_D + 17U, // VREPLVEI_H + 17U, // VREPLVEI_W + 17U, // VREPLVE_B + 17U, // VREPLVE_D + 17U, // VREPLVE_H + 17U, // VREPLVE_W + 17U, // VROTRI_B + 17U, // VROTRI_D + 17U, // VROTRI_H + 17U, // VROTRI_W + 17U, // VROTR_B + 17U, // VROTR_D + 17U, // VROTR_H + 17U, // VROTR_W + 17U, // VSADD_B + 17U, // VSADD_BU + 17U, // VSADD_D + 17U, // VSADD_DU + 17U, // VSADD_H + 17U, // VSADD_HU + 17U, // VSADD_W + 17U, // VSADD_WU + 17U, // VSAT_B + 17U, // VSAT_BU + 17U, // VSAT_D + 17U, // VSAT_DU + 17U, // VSAT_H + 17U, // VSAT_HU + 17U, // VSAT_W + 17U, // VSAT_WU + 17U, // VSEQI_B + 17U, // VSEQI_D + 17U, // VSEQI_H + 17U, // VSEQI_W + 17U, // VSEQ_B + 17U, // VSEQ_D + 17U, // VSEQ_H + 17U, // VSEQ_W + 1U, // VSETALLNEZ_B + 1U, // VSETALLNEZ_D + 1U, // VSETALLNEZ_H + 1U, // VSETALLNEZ_W + 1U, // VSETANYEQZ_B + 1U, // VSETANYEQZ_D + 1U, // VSETANYEQZ_H + 1U, // VSETANYEQZ_W + 1U, // VSETEQZ_V + 1U, // VSETNEZ_V + 17U, // VSHUF4I_B + 9U, // VSHUF4I_D + 17U, // VSHUF4I_H + 17U, // VSHUF4I_W + 145U, // VSHUF_B + 9U, // VSHUF_D + 9U, // VSHUF_H + 9U, // VSHUF_W + 17U, // VSIGNCOV_B + 17U, // VSIGNCOV_D + 17U, // VSIGNCOV_H + 17U, // VSIGNCOV_W + 17U, // VSLEI_B + 17U, // VSLEI_BU + 17U, // VSLEI_D + 17U, // VSLEI_DU + 17U, // VSLEI_H + 17U, // VSLEI_HU + 17U, // VSLEI_W + 17U, // VSLEI_WU + 17U, // VSLE_B + 17U, // VSLE_BU + 17U, // VSLE_D + 17U, // VSLE_DU + 17U, // VSLE_H + 17U, // VSLE_HU + 17U, // VSLE_W + 17U, // VSLE_WU + 17U, // VSLLI_B + 17U, // VSLLI_D + 17U, // VSLLI_H + 17U, // VSLLI_W + 17U, // VSLLWIL_DU_WU + 17U, // VSLLWIL_D_W + 17U, // VSLLWIL_HU_BU + 17U, // VSLLWIL_H_B + 17U, // VSLLWIL_WU_HU + 17U, // VSLLWIL_W_H + 17U, // VSLL_B + 17U, // VSLL_D + 17U, // VSLL_H + 17U, // VSLL_W + 17U, // VSLTI_B + 17U, // VSLTI_BU + 17U, // VSLTI_D + 17U, // VSLTI_DU + 17U, // VSLTI_H + 17U, // VSLTI_HU + 17U, // VSLTI_W + 17U, // VSLTI_WU + 17U, // VSLT_B + 17U, // VSLT_BU + 17U, // VSLT_D + 17U, // VSLT_DU + 17U, // VSLT_H + 17U, // VSLT_HU + 17U, // VSLT_W + 17U, // VSLT_WU + 17U, // VSRAI_B + 17U, // VSRAI_D + 17U, // VSRAI_H + 17U, // VSRAI_W + 9U, // VSRANI_B_H + 9U, // VSRANI_D_Q + 9U, // VSRANI_H_W + 9U, // VSRANI_W_D + 17U, // VSRAN_B_H + 17U, // VSRAN_H_W + 17U, // VSRAN_W_D + 17U, // VSRARI_B + 17U, // VSRARI_D + 17U, // VSRARI_H + 17U, // VSRARI_W + 9U, // VSRARNI_B_H + 9U, // VSRARNI_D_Q + 9U, // VSRARNI_H_W + 9U, // VSRARNI_W_D + 17U, // VSRARN_B_H + 17U, // VSRARN_H_W + 17U, // VSRARN_W_D + 17U, // VSRAR_B + 17U, // VSRAR_D + 17U, // VSRAR_H + 17U, // VSRAR_W + 17U, // VSRA_B + 17U, // VSRA_D + 17U, // VSRA_H + 17U, // VSRA_W + 17U, // VSRLI_B + 17U, // VSRLI_D + 17U, // VSRLI_H + 17U, // VSRLI_W + 9U, // VSRLNI_B_H + 9U, // VSRLNI_D_Q + 9U, // VSRLNI_H_W + 9U, // VSRLNI_W_D + 17U, // VSRLN_B_H + 17U, // VSRLN_H_W + 17U, // VSRLN_W_D + 17U, // VSRLRI_B + 17U, // VSRLRI_D + 17U, // VSRLRI_H + 17U, // VSRLRI_W + 9U, // VSRLRNI_B_H + 9U, // VSRLRNI_D_Q + 9U, // VSRLRNI_H_W + 9U, // VSRLRNI_W_D + 17U, // VSRLRN_B_H + 17U, // VSRLRN_H_W + 17U, // VSRLRN_W_D + 17U, // VSRLR_B + 17U, // VSRLR_D + 17U, // VSRLR_H + 17U, // VSRLR_W + 17U, // VSRL_B + 17U, // VSRL_D + 17U, // VSRL_H + 17U, // VSRL_W + 9U, // VSSRANI_BU_H + 9U, // VSSRANI_B_H + 9U, // VSSRANI_DU_Q + 9U, // VSSRANI_D_Q + 9U, // VSSRANI_HU_W + 9U, // VSSRANI_H_W + 9U, // VSSRANI_WU_D + 9U, // VSSRANI_W_D + 17U, // VSSRAN_BU_H + 17U, // VSSRAN_B_H + 17U, // VSSRAN_HU_W + 17U, // VSSRAN_H_W + 17U, // VSSRAN_WU_D + 17U, // VSSRAN_W_D + 9U, // VSSRARNI_BU_H + 9U, // VSSRARNI_B_H + 9U, // VSSRARNI_DU_Q + 9U, // VSSRARNI_D_Q + 9U, // VSSRARNI_HU_W + 9U, // VSSRARNI_H_W + 9U, // VSSRARNI_WU_D + 9U, // VSSRARNI_W_D + 17U, // VSSRARN_BU_H + 17U, // VSSRARN_B_H + 17U, // VSSRARN_HU_W + 17U, // VSSRARN_H_W + 17U, // VSSRARN_WU_D + 17U, // VSSRARN_W_D + 9U, // VSSRLNI_BU_H + 9U, // VSSRLNI_B_H + 9U, // VSSRLNI_DU_Q + 9U, // VSSRLNI_D_Q + 9U, // VSSRLNI_HU_W + 9U, // VSSRLNI_H_W + 9U, // VSSRLNI_WU_D + 9U, // VSSRLNI_W_D + 17U, // VSSRLN_BU_H + 17U, // VSSRLN_B_H + 17U, // VSSRLN_HU_W + 17U, // VSSRLN_H_W + 17U, // VSSRLN_WU_D + 17U, // VSSRLN_W_D + 9U, // VSSRLRNI_BU_H + 9U, // VSSRLRNI_B_H + 9U, // VSSRLRNI_DU_Q + 9U, // VSSRLRNI_D_Q + 9U, // VSSRLRNI_HU_W + 9U, // VSSRLRNI_H_W + 9U, // VSSRLRNI_WU_D + 9U, // VSSRLRNI_W_D + 17U, // VSSRLRN_BU_H + 17U, // VSSRLRN_B_H + 17U, // VSSRLRN_HU_W + 17U, // VSSRLRN_H_W + 17U, // VSSRLRN_WU_D + 17U, // VSSRLRN_W_D + 17U, // VSSUB_B + 17U, // VSSUB_BU + 17U, // VSSUB_D + 17U, // VSSUB_DU + 17U, // VSSUB_H + 17U, // VSSUB_HU + 17U, // VSSUB_W + 17U, // VSSUB_WU + 17U, // VST + 145U, // VSTELM_B + 145U, // VSTELM_D + 145U, // VSTELM_H + 145U, // VSTELM_W + 17U, // VSTX + 17U, // VSUBI_BU + 17U, // VSUBI_DU + 17U, // VSUBI_HU + 17U, // VSUBI_WU + 17U, // VSUBWEV_D_W + 17U, // VSUBWEV_D_WU + 17U, // VSUBWEV_H_B + 17U, // VSUBWEV_H_BU + 17U, // VSUBWEV_Q_D + 17U, // VSUBWEV_Q_DU + 17U, // VSUBWEV_W_H + 17U, // VSUBWEV_W_HU + 17U, // VSUBWOD_D_W + 17U, // VSUBWOD_D_WU + 17U, // VSUBWOD_H_B + 17U, // VSUBWOD_H_BU + 17U, // VSUBWOD_Q_D + 17U, // VSUBWOD_Q_DU + 17U, // VSUBWOD_W_H + 17U, // VSUBWOD_W_HU + 17U, // VSUB_B + 17U, // VSUB_D + 17U, // VSUB_H + 17U, // VSUB_Q + 17U, // VSUB_W + 17U, // VXORI_B + 17U, // VXOR_V + 1U, // X86ADC_B + 1U, // X86ADC_D + 1U, // X86ADC_H + 1U, // X86ADC_W + 1U, // X86ADD_B + 1U, // X86ADD_D + 1U, // X86ADD_DU + 1U, // X86ADD_H + 1U, // X86ADD_W + 1U, // X86ADD_WU + 1U, // X86AND_B + 1U, // X86AND_D + 1U, // X86AND_H + 1U, // X86AND_W + 0U, // X86CLRTM + 0U, // X86DECTOP + 0U, // X86DEC_B + 0U, // X86DEC_D + 0U, // X86DEC_H + 0U, // X86DEC_W + 0U, // X86INCTOP + 0U, // X86INC_B + 0U, // X86INC_D + 0U, // X86INC_H + 0U, // X86INC_W + 1U, // X86MFFLAG + 0U, // X86MFTOP + 1U, // X86MTFLAG + 0U, // X86MTTOP + 1U, // X86MUL_B + 1U, // X86MUL_BU + 1U, // X86MUL_D + 1U, // X86MUL_DU + 1U, // X86MUL_H + 1U, // X86MUL_HU + 1U, // X86MUL_W + 1U, // X86MUL_WU + 1U, // X86OR_B + 1U, // X86OR_D + 1U, // X86OR_H + 1U, // X86OR_W + 1U, // X86RCLI_B + 1U, // X86RCLI_D + 1U, // X86RCLI_H + 1U, // X86RCLI_W + 1U, // X86RCL_B + 1U, // X86RCL_D + 1U, // X86RCL_H + 1U, // X86RCL_W + 1U, // X86RCRI_B + 1U, // X86RCRI_D + 1U, // X86RCRI_H + 1U, // X86RCRI_W + 1U, // X86RCR_B + 1U, // X86RCR_D + 1U, // X86RCR_H + 1U, // X86RCR_W + 1U, // X86ROTLI_B + 1U, // X86ROTLI_D + 1U, // X86ROTLI_H + 1U, // X86ROTLI_W + 1U, // X86ROTL_B + 1U, // X86ROTL_D + 1U, // X86ROTL_H + 1U, // X86ROTL_W + 1U, // X86ROTRI_B + 1U, // X86ROTRI_D + 1U, // X86ROTRI_H + 1U, // X86ROTRI_W + 1U, // X86ROTR_B + 1U, // X86ROTR_D + 1U, // X86ROTR_H + 1U, // X86ROTR_W + 1U, // X86SBC_B + 1U, // X86SBC_D + 1U, // X86SBC_H + 1U, // X86SBC_W + 17U, // X86SETTAG + 0U, // X86SETTM + 1U, // X86SLLI_B + 1U, // X86SLLI_D + 1U, // X86SLLI_H + 1U, // X86SLLI_W + 1U, // X86SLL_B + 1U, // X86SLL_D + 1U, // X86SLL_H + 1U, // X86SLL_W + 1U, // X86SRAI_B + 1U, // X86SRAI_D + 1U, // X86SRAI_H + 1U, // X86SRAI_W + 1U, // X86SRA_B + 1U, // X86SRA_D + 1U, // X86SRA_H + 1U, // X86SRA_W + 1U, // X86SRLI_B + 1U, // X86SRLI_D + 1U, // X86SRLI_H + 1U, // X86SRLI_W + 1U, // X86SRL_B + 1U, // X86SRL_D + 1U, // X86SRL_H + 1U, // X86SRL_W + 1U, // X86SUB_B + 1U, // X86SUB_D + 1U, // X86SUB_DU + 1U, // X86SUB_H + 1U, // X86SUB_W + 1U, // X86SUB_WU + 1U, // X86XOR_B + 1U, // X86XOR_D + 1U, // X86XOR_H + 1U, // X86XOR_W + 17U, // XOR + 17U, // XORI + 17U, // XVABSD_B + 17U, // XVABSD_BU + 17U, // XVABSD_D + 17U, // XVABSD_DU + 17U, // XVABSD_H + 17U, // XVABSD_HU + 17U, // XVABSD_W + 17U, // XVABSD_WU + 17U, // XVADDA_B + 17U, // XVADDA_D + 17U, // XVADDA_H + 17U, // XVADDA_W + 17U, // XVADDI_BU + 17U, // XVADDI_DU + 17U, // XVADDI_HU + 17U, // XVADDI_WU + 17U, // XVADDWEV_D_W + 17U, // XVADDWEV_D_WU + 17U, // XVADDWEV_D_WU_W + 17U, // XVADDWEV_H_B + 17U, // XVADDWEV_H_BU + 17U, // XVADDWEV_H_BU_B + 17U, // XVADDWEV_Q_D + 17U, // XVADDWEV_Q_DU + 17U, // XVADDWEV_Q_DU_D + 17U, // XVADDWEV_W_H + 17U, // XVADDWEV_W_HU + 17U, // XVADDWEV_W_HU_H + 17U, // XVADDWOD_D_W + 17U, // XVADDWOD_D_WU + 17U, // XVADDWOD_D_WU_W + 17U, // XVADDWOD_H_B + 17U, // XVADDWOD_H_BU + 17U, // XVADDWOD_H_BU_B + 17U, // XVADDWOD_Q_D + 17U, // XVADDWOD_Q_DU + 17U, // XVADDWOD_Q_DU_D + 17U, // XVADDWOD_W_H + 17U, // XVADDWOD_W_HU + 17U, // XVADDWOD_W_HU_H + 17U, // XVADD_B + 17U, // XVADD_D + 17U, // XVADD_H + 17U, // XVADD_Q + 17U, // XVADD_W + 17U, // XVANDI_B + 17U, // XVANDN_V + 17U, // XVAND_V + 17U, // XVAVGR_B + 17U, // XVAVGR_BU + 17U, // XVAVGR_D + 17U, // XVAVGR_DU + 17U, // XVAVGR_H + 17U, // XVAVGR_HU + 17U, // XVAVGR_W + 17U, // XVAVGR_WU + 17U, // XVAVG_B + 17U, // XVAVG_BU + 17U, // XVAVG_D + 17U, // XVAVG_DU + 17U, // XVAVG_H + 17U, // XVAVG_HU + 17U, // XVAVG_W + 17U, // XVAVG_WU + 17U, // XVBITCLRI_B + 17U, // XVBITCLRI_D + 17U, // XVBITCLRI_H + 17U, // XVBITCLRI_W + 17U, // XVBITCLR_B + 17U, // XVBITCLR_D + 17U, // XVBITCLR_H + 17U, // XVBITCLR_W + 17U, // XVBITREVI_B + 17U, // XVBITREVI_D + 17U, // XVBITREVI_H + 17U, // XVBITREVI_W + 17U, // XVBITREV_B + 17U, // XVBITREV_D + 17U, // XVBITREV_H + 17U, // XVBITREV_W + 9U, // XVBITSELI_B + 145U, // XVBITSEL_V + 17U, // XVBITSETI_B + 17U, // XVBITSETI_D + 17U, // XVBITSETI_H + 17U, // XVBITSETI_W + 17U, // XVBITSET_B + 17U, // XVBITSET_D + 17U, // XVBITSET_H + 17U, // XVBITSET_W + 17U, // XVBSLL_V + 17U, // XVBSRL_V + 1U, // XVCLO_B + 1U, // XVCLO_D + 1U, // XVCLO_H + 1U, // XVCLO_W + 1U, // XVCLZ_B + 1U, // XVCLZ_D + 1U, // XVCLZ_H + 1U, // XVCLZ_W + 17U, // XVDIV_B + 17U, // XVDIV_BU + 17U, // XVDIV_D + 17U, // XVDIV_DU + 17U, // XVDIV_H + 17U, // XVDIV_HU + 17U, // XVDIV_W + 17U, // XVDIV_WU + 1U, // XVEXTH_DU_WU + 1U, // XVEXTH_D_W + 1U, // XVEXTH_HU_BU + 1U, // XVEXTH_H_B + 1U, // XVEXTH_QU_DU + 1U, // XVEXTH_Q_D + 1U, // XVEXTH_WU_HU + 1U, // XVEXTH_W_H + 1U, // XVEXTL_QU_DU + 1U, // XVEXTL_Q_D + 9U, // XVEXTRINS_B + 9U, // XVEXTRINS_D + 9U, // XVEXTRINS_H + 9U, // XVEXTRINS_W + 17U, // XVFADD_D + 17U, // XVFADD_S + 1U, // XVFCLASS_D + 1U, // XVFCLASS_S + 17U, // XVFCMP_CAF_D + 17U, // XVFCMP_CAF_S + 17U, // XVFCMP_CEQ_D + 17U, // XVFCMP_CEQ_S + 17U, // XVFCMP_CLE_D + 17U, // XVFCMP_CLE_S + 17U, // XVFCMP_CLT_D + 17U, // XVFCMP_CLT_S + 17U, // XVFCMP_CNE_D + 17U, // XVFCMP_CNE_S + 17U, // XVFCMP_COR_D + 17U, // XVFCMP_COR_S + 17U, // XVFCMP_CUEQ_D + 17U, // XVFCMP_CUEQ_S + 17U, // XVFCMP_CULE_D + 17U, // XVFCMP_CULE_S + 17U, // XVFCMP_CULT_D + 17U, // XVFCMP_CULT_S + 17U, // XVFCMP_CUNE_D + 17U, // XVFCMP_CUNE_S + 17U, // XVFCMP_CUN_D + 17U, // XVFCMP_CUN_S + 17U, // XVFCMP_SAF_D + 17U, // XVFCMP_SAF_S + 17U, // XVFCMP_SEQ_D + 17U, // XVFCMP_SEQ_S + 17U, // XVFCMP_SLE_D + 17U, // XVFCMP_SLE_S + 17U, // XVFCMP_SLT_D + 17U, // XVFCMP_SLT_S + 17U, // XVFCMP_SNE_D + 17U, // XVFCMP_SNE_S + 17U, // XVFCMP_SOR_D + 17U, // XVFCMP_SOR_S + 17U, // XVFCMP_SUEQ_D + 17U, // XVFCMP_SUEQ_S + 17U, // XVFCMP_SULE_D + 17U, // XVFCMP_SULE_S + 17U, // XVFCMP_SULT_D + 17U, // XVFCMP_SULT_S + 17U, // XVFCMP_SUNE_D + 17U, // XVFCMP_SUNE_S + 17U, // XVFCMP_SUN_D + 17U, // XVFCMP_SUN_S + 1U, // XVFCVTH_D_S + 1U, // XVFCVTH_S_H + 1U, // XVFCVTL_D_S + 1U, // XVFCVTL_S_H + 17U, // XVFCVT_H_S + 17U, // XVFCVT_S_D + 17U, // XVFDIV_D + 17U, // XVFDIV_S + 1U, // XVFFINTH_D_W + 1U, // XVFFINTL_D_W + 1U, // XVFFINT_D_L + 1U, // XVFFINT_D_LU + 17U, // XVFFINT_S_L + 1U, // XVFFINT_S_W + 1U, // XVFFINT_S_WU + 1U, // XVFLOGB_D + 1U, // XVFLOGB_S + 145U, // XVFMADD_D + 145U, // XVFMADD_S + 17U, // XVFMAXA_D + 17U, // XVFMAXA_S + 17U, // XVFMAX_D + 17U, // XVFMAX_S + 17U, // XVFMINA_D + 17U, // XVFMINA_S + 17U, // XVFMIN_D + 17U, // XVFMIN_S + 145U, // XVFMSUB_D + 145U, // XVFMSUB_S + 17U, // XVFMUL_D + 17U, // XVFMUL_S + 145U, // XVFNMADD_D + 145U, // XVFNMADD_S + 145U, // XVFNMSUB_D + 145U, // XVFNMSUB_S + 1U, // XVFRECIPE_D + 1U, // XVFRECIPE_S + 1U, // XVFRECIP_D + 1U, // XVFRECIP_S + 1U, // XVFRINTRM_D + 1U, // XVFRINTRM_S + 1U, // XVFRINTRNE_D + 1U, // XVFRINTRNE_S + 1U, // XVFRINTRP_D + 1U, // XVFRINTRP_S + 1U, // XVFRINTRZ_D + 1U, // XVFRINTRZ_S + 1U, // XVFRINT_D + 1U, // XVFRINT_S + 1U, // XVFRSQRTE_D + 1U, // XVFRSQRTE_S + 1U, // XVFRSQRT_D + 1U, // XVFRSQRT_S + 9U, // XVFRSTPI_B + 9U, // XVFRSTPI_H + 9U, // XVFRSTP_B + 9U, // XVFRSTP_H + 1U, // XVFSQRT_D + 1U, // XVFSQRT_S + 17U, // XVFSUB_D + 17U, // XVFSUB_S + 1U, // XVFTINTH_L_S + 1U, // XVFTINTL_L_S + 1U, // XVFTINTRMH_L_S + 1U, // XVFTINTRML_L_S + 1U, // XVFTINTRM_L_D + 17U, // XVFTINTRM_W_D + 1U, // XVFTINTRM_W_S + 1U, // XVFTINTRNEH_L_S + 1U, // XVFTINTRNEL_L_S + 1U, // XVFTINTRNE_L_D + 17U, // XVFTINTRNE_W_D + 1U, // XVFTINTRNE_W_S + 1U, // XVFTINTRPH_L_S + 1U, // XVFTINTRPL_L_S + 1U, // XVFTINTRP_L_D + 17U, // XVFTINTRP_W_D + 1U, // XVFTINTRP_W_S + 1U, // XVFTINTRZH_L_S + 1U, // XVFTINTRZL_L_S + 1U, // XVFTINTRZ_LU_D + 1U, // XVFTINTRZ_L_D + 1U, // XVFTINTRZ_WU_S + 17U, // XVFTINTRZ_W_D + 1U, // XVFTINTRZ_W_S + 1U, // XVFTINT_LU_D + 1U, // XVFTINT_L_D + 1U, // XVFTINT_WU_S + 17U, // XVFTINT_W_D + 1U, // XVFTINT_W_S + 17U, // XVHADDW_DU_WU + 17U, // XVHADDW_D_W + 17U, // XVHADDW_HU_BU + 17U, // XVHADDW_H_B + 17U, // XVHADDW_QU_DU + 17U, // XVHADDW_Q_D + 17U, // XVHADDW_WU_HU + 17U, // XVHADDW_W_H + 17U, // XVHSELI_D + 17U, // XVHSUBW_DU_WU + 17U, // XVHSUBW_D_W + 17U, // XVHSUBW_HU_BU + 17U, // XVHSUBW_H_B + 17U, // XVHSUBW_QU_DU + 17U, // XVHSUBW_Q_D + 17U, // XVHSUBW_WU_HU + 17U, // XVHSUBW_W_H + 17U, // XVILVH_B + 17U, // XVILVH_D + 17U, // XVILVH_H + 17U, // XVILVH_W + 17U, // XVILVL_B + 17U, // XVILVL_D + 17U, // XVILVL_H + 17U, // XVILVL_W + 9U, // XVINSGR2VR_D + 9U, // XVINSGR2VR_W + 9U, // XVINSVE0_D + 9U, // XVINSVE0_W + 17U, // XVLD + 1U, // XVLDI + 17U, // XVLDREPL_B + 17U, // XVLDREPL_D + 17U, // XVLDREPL_H + 17U, // XVLDREPL_W + 17U, // XVLDX + 9U, // XVMADDWEV_D_W + 9U, // XVMADDWEV_D_WU + 9U, // XVMADDWEV_D_WU_W + 9U, // XVMADDWEV_H_B + 9U, // XVMADDWEV_H_BU + 9U, // XVMADDWEV_H_BU_B + 9U, // XVMADDWEV_Q_D + 9U, // XVMADDWEV_Q_DU + 9U, // XVMADDWEV_Q_DU_D + 9U, // XVMADDWEV_W_H + 9U, // XVMADDWEV_W_HU + 9U, // XVMADDWEV_W_HU_H + 9U, // XVMADDWOD_D_W + 9U, // XVMADDWOD_D_WU + 9U, // XVMADDWOD_D_WU_W + 9U, // XVMADDWOD_H_B + 9U, // XVMADDWOD_H_BU + 9U, // XVMADDWOD_H_BU_B + 9U, // XVMADDWOD_Q_D + 9U, // XVMADDWOD_Q_DU + 9U, // XVMADDWOD_Q_DU_D + 9U, // XVMADDWOD_W_H + 9U, // XVMADDWOD_W_HU + 9U, // XVMADDWOD_W_HU_H + 9U, // XVMADD_B + 9U, // XVMADD_D + 9U, // XVMADD_H + 9U, // XVMADD_W + 17U, // XVMAXI_B + 17U, // XVMAXI_BU + 17U, // XVMAXI_D + 17U, // XVMAXI_DU + 17U, // XVMAXI_H + 17U, // XVMAXI_HU + 17U, // XVMAXI_W + 17U, // XVMAXI_WU + 17U, // XVMAX_B + 17U, // XVMAX_BU + 17U, // XVMAX_D + 17U, // XVMAX_DU + 17U, // XVMAX_H + 17U, // XVMAX_HU + 17U, // XVMAX_W + 17U, // XVMAX_WU + 17U, // XVMINI_B + 17U, // XVMINI_BU + 17U, // XVMINI_D + 17U, // XVMINI_DU + 17U, // XVMINI_H + 17U, // XVMINI_HU + 17U, // XVMINI_W + 17U, // XVMINI_WU + 17U, // XVMIN_B + 17U, // XVMIN_BU + 17U, // XVMIN_D + 17U, // XVMIN_DU + 17U, // XVMIN_H + 17U, // XVMIN_HU + 17U, // XVMIN_W + 17U, // XVMIN_WU + 17U, // XVMOD_B + 17U, // XVMOD_BU + 17U, // XVMOD_D + 17U, // XVMOD_DU + 17U, // XVMOD_H + 17U, // XVMOD_HU + 17U, // XVMOD_W + 17U, // XVMOD_WU + 1U, // XVMSKGEZ_B + 1U, // XVMSKLTZ_B + 1U, // XVMSKLTZ_D + 1U, // XVMSKLTZ_H + 1U, // XVMSKLTZ_W + 1U, // XVMSKNZ_B + 9U, // XVMSUB_B + 9U, // XVMSUB_D + 9U, // XVMSUB_H + 9U, // XVMSUB_W + 17U, // XVMUH_B + 17U, // XVMUH_BU + 17U, // XVMUH_D + 17U, // XVMUH_DU + 17U, // XVMUH_H + 17U, // XVMUH_HU + 17U, // XVMUH_W + 17U, // XVMUH_WU + 17U, // XVMULWEV_D_W + 17U, // XVMULWEV_D_WU + 17U, // XVMULWEV_D_WU_W + 17U, // XVMULWEV_H_B + 17U, // XVMULWEV_H_BU + 17U, // XVMULWEV_H_BU_B + 17U, // XVMULWEV_Q_D + 17U, // XVMULWEV_Q_DU + 17U, // XVMULWEV_Q_DU_D + 17U, // XVMULWEV_W_H + 17U, // XVMULWEV_W_HU + 17U, // XVMULWEV_W_HU_H + 17U, // XVMULWOD_D_W + 17U, // XVMULWOD_D_WU + 17U, // XVMULWOD_D_WU_W + 17U, // XVMULWOD_H_B + 17U, // XVMULWOD_H_BU + 17U, // XVMULWOD_H_BU_B + 17U, // XVMULWOD_Q_D + 17U, // XVMULWOD_Q_DU + 17U, // XVMULWOD_Q_DU_D + 17U, // XVMULWOD_W_H + 17U, // XVMULWOD_W_HU + 17U, // XVMULWOD_W_HU_H + 17U, // XVMUL_B + 17U, // XVMUL_D + 17U, // XVMUL_H + 17U, // XVMUL_W + 1U, // XVNEG_B + 1U, // XVNEG_D + 1U, // XVNEG_H + 1U, // XVNEG_W + 17U, // XVNORI_B + 17U, // XVNOR_V + 17U, // XVORI_B + 17U, // XVORN_V + 17U, // XVOR_V + 17U, // XVPACKEV_B + 17U, // XVPACKEV_D + 17U, // XVPACKEV_H + 17U, // XVPACKEV_W + 17U, // XVPACKOD_B + 17U, // XVPACKOD_D + 17U, // XVPACKOD_H + 17U, // XVPACKOD_W + 1U, // XVPCNT_B + 1U, // XVPCNT_D + 1U, // XVPCNT_H + 1U, // XVPCNT_W + 17U, // XVPERMI_D + 9U, // XVPERMI_Q + 9U, // XVPERMI_W + 17U, // XVPERM_W + 17U, // XVPICKEV_B + 17U, // XVPICKEV_D + 17U, // XVPICKEV_H + 17U, // XVPICKEV_W + 17U, // XVPICKOD_B + 17U, // XVPICKOD_D + 17U, // XVPICKOD_H + 17U, // XVPICKOD_W + 17U, // XVPICKVE2GR_D + 17U, // XVPICKVE2GR_DU + 17U, // XVPICKVE2GR_W + 17U, // XVPICKVE2GR_WU + 17U, // XVPICKVE_D + 17U, // XVPICKVE_W + 17U, // XVREPL128VEI_B + 17U, // XVREPL128VEI_D + 17U, // XVREPL128VEI_H + 17U, // XVREPL128VEI_W + 1U, // XVREPLGR2VR_B + 1U, // XVREPLGR2VR_D + 1U, // XVREPLGR2VR_H + 1U, // XVREPLGR2VR_W + 1U, // XVREPLVE0_B + 1U, // XVREPLVE0_D + 1U, // XVREPLVE0_H + 1U, // XVREPLVE0_Q + 1U, // XVREPLVE0_W + 17U, // XVREPLVE_B + 17U, // XVREPLVE_D + 17U, // XVREPLVE_H + 17U, // XVREPLVE_W + 17U, // XVROTRI_B + 17U, // XVROTRI_D + 17U, // XVROTRI_H + 17U, // XVROTRI_W + 17U, // XVROTR_B + 17U, // XVROTR_D + 17U, // XVROTR_H + 17U, // XVROTR_W + 17U, // XVSADD_B + 17U, // XVSADD_BU + 17U, // XVSADD_D + 17U, // XVSADD_DU + 17U, // XVSADD_H + 17U, // XVSADD_HU + 17U, // XVSADD_W + 17U, // XVSADD_WU + 17U, // XVSAT_B + 17U, // XVSAT_BU + 17U, // XVSAT_D + 17U, // XVSAT_DU + 17U, // XVSAT_H + 17U, // XVSAT_HU + 17U, // XVSAT_W + 17U, // XVSAT_WU + 17U, // XVSEQI_B + 17U, // XVSEQI_D + 17U, // XVSEQI_H + 17U, // XVSEQI_W + 17U, // XVSEQ_B + 17U, // XVSEQ_D + 17U, // XVSEQ_H + 17U, // XVSEQ_W + 1U, // XVSETALLNEZ_B + 1U, // XVSETALLNEZ_D + 1U, // XVSETALLNEZ_H + 1U, // XVSETALLNEZ_W + 1U, // XVSETANYEQZ_B + 1U, // XVSETANYEQZ_D + 1U, // XVSETANYEQZ_H + 1U, // XVSETANYEQZ_W + 1U, // XVSETEQZ_V + 1U, // XVSETNEZ_V + 17U, // XVSHUF4I_B + 9U, // XVSHUF4I_D + 17U, // XVSHUF4I_H + 17U, // XVSHUF4I_W + 145U, // XVSHUF_B + 9U, // XVSHUF_D + 9U, // XVSHUF_H + 9U, // XVSHUF_W + 17U, // XVSIGNCOV_B + 17U, // XVSIGNCOV_D + 17U, // XVSIGNCOV_H + 17U, // XVSIGNCOV_W + 17U, // XVSLEI_B + 17U, // XVSLEI_BU + 17U, // XVSLEI_D + 17U, // XVSLEI_DU + 17U, // XVSLEI_H + 17U, // XVSLEI_HU + 17U, // XVSLEI_W + 17U, // XVSLEI_WU + 17U, // XVSLE_B + 17U, // XVSLE_BU + 17U, // XVSLE_D + 17U, // XVSLE_DU + 17U, // XVSLE_H + 17U, // XVSLE_HU + 17U, // XVSLE_W + 17U, // XVSLE_WU + 17U, // XVSLLI_B + 17U, // XVSLLI_D + 17U, // XVSLLI_H + 17U, // XVSLLI_W + 17U, // XVSLLWIL_DU_WU + 17U, // XVSLLWIL_D_W + 17U, // XVSLLWIL_HU_BU + 17U, // XVSLLWIL_H_B + 17U, // XVSLLWIL_WU_HU + 17U, // XVSLLWIL_W_H + 17U, // XVSLL_B + 17U, // XVSLL_D + 17U, // XVSLL_H + 17U, // XVSLL_W + 17U, // XVSLTI_B + 17U, // XVSLTI_BU + 17U, // XVSLTI_D + 17U, // XVSLTI_DU + 17U, // XVSLTI_H + 17U, // XVSLTI_HU + 17U, // XVSLTI_W + 17U, // XVSLTI_WU + 17U, // XVSLT_B + 17U, // XVSLT_BU + 17U, // XVSLT_D + 17U, // XVSLT_DU + 17U, // XVSLT_H + 17U, // XVSLT_HU + 17U, // XVSLT_W + 17U, // XVSLT_WU + 17U, // XVSRAI_B + 17U, // XVSRAI_D + 17U, // XVSRAI_H + 17U, // XVSRAI_W + 9U, // XVSRANI_B_H + 9U, // XVSRANI_D_Q + 9U, // XVSRANI_H_W + 9U, // XVSRANI_W_D + 17U, // XVSRAN_B_H + 17U, // XVSRAN_H_W + 17U, // XVSRAN_W_D + 17U, // XVSRARI_B + 17U, // XVSRARI_D + 17U, // XVSRARI_H + 17U, // XVSRARI_W + 9U, // XVSRARNI_B_H + 9U, // XVSRARNI_D_Q + 9U, // XVSRARNI_H_W + 9U, // XVSRARNI_W_D + 17U, // XVSRARN_B_H + 17U, // XVSRARN_H_W + 17U, // XVSRARN_W_D + 17U, // XVSRAR_B + 17U, // XVSRAR_D + 17U, // XVSRAR_H + 17U, // XVSRAR_W + 17U, // XVSRA_B + 17U, // XVSRA_D + 17U, // XVSRA_H + 17U, // XVSRA_W + 17U, // XVSRLI_B + 17U, // XVSRLI_D + 17U, // XVSRLI_H + 17U, // XVSRLI_W + 9U, // XVSRLNI_B_H + 9U, // XVSRLNI_D_Q + 9U, // XVSRLNI_H_W + 9U, // XVSRLNI_W_D + 17U, // XVSRLN_B_H + 17U, // XVSRLN_H_W + 17U, // XVSRLN_W_D + 17U, // XVSRLRI_B + 17U, // XVSRLRI_D + 17U, // XVSRLRI_H + 17U, // XVSRLRI_W + 9U, // XVSRLRNI_B_H + 9U, // XVSRLRNI_D_Q + 9U, // XVSRLRNI_H_W + 9U, // XVSRLRNI_W_D + 17U, // XVSRLRN_B_H + 17U, // XVSRLRN_H_W + 17U, // XVSRLRN_W_D + 17U, // XVSRLR_B + 17U, // XVSRLR_D + 17U, // XVSRLR_H + 17U, // XVSRLR_W + 17U, // XVSRL_B + 17U, // XVSRL_D + 17U, // XVSRL_H + 17U, // XVSRL_W + 9U, // XVSSRANI_BU_H + 9U, // XVSSRANI_B_H + 9U, // XVSSRANI_DU_Q + 9U, // XVSSRANI_D_Q + 9U, // XVSSRANI_HU_W + 9U, // XVSSRANI_H_W + 9U, // XVSSRANI_WU_D + 9U, // XVSSRANI_W_D + 17U, // XVSSRAN_BU_H + 17U, // XVSSRAN_B_H + 17U, // XVSSRAN_HU_W + 17U, // XVSSRAN_H_W + 17U, // XVSSRAN_WU_D + 17U, // XVSSRAN_W_D + 9U, // XVSSRARNI_BU_H + 9U, // XVSSRARNI_B_H + 9U, // XVSSRARNI_DU_Q + 9U, // XVSSRARNI_D_Q + 9U, // XVSSRARNI_HU_W + 9U, // XVSSRARNI_H_W + 9U, // XVSSRARNI_WU_D + 9U, // XVSSRARNI_W_D + 17U, // XVSSRARN_BU_H + 17U, // XVSSRARN_B_H + 17U, // XVSSRARN_HU_W + 17U, // XVSSRARN_H_W + 17U, // XVSSRARN_WU_D + 17U, // XVSSRARN_W_D + 9U, // XVSSRLNI_BU_H + 9U, // XVSSRLNI_B_H + 9U, // XVSSRLNI_DU_Q + 9U, // XVSSRLNI_D_Q + 9U, // XVSSRLNI_HU_W + 9U, // XVSSRLNI_H_W + 9U, // XVSSRLNI_WU_D + 9U, // XVSSRLNI_W_D + 17U, // XVSSRLN_BU_H + 17U, // XVSSRLN_B_H + 17U, // XVSSRLN_HU_W + 17U, // XVSSRLN_H_W + 17U, // XVSSRLN_WU_D + 17U, // XVSSRLN_W_D + 9U, // XVSSRLRNI_BU_H + 9U, // XVSSRLRNI_B_H + 9U, // XVSSRLRNI_DU_Q + 9U, // XVSSRLRNI_D_Q + 9U, // XVSSRLRNI_HU_W + 9U, // XVSSRLRNI_H_W + 9U, // XVSSRLRNI_WU_D + 9U, // XVSSRLRNI_W_D + 17U, // XVSSRLRN_BU_H + 17U, // XVSSRLRN_B_H + 17U, // XVSSRLRN_HU_W + 17U, // XVSSRLRN_H_W + 17U, // XVSSRLRN_WU_D + 17U, // XVSSRLRN_W_D + 17U, // XVSSUB_B + 17U, // XVSSUB_BU + 17U, // XVSSUB_D + 17U, // XVSSUB_DU + 17U, // XVSSUB_H + 17U, // XVSSUB_HU + 17U, // XVSSUB_W + 17U, // XVSSUB_WU + 17U, // XVST + 145U, // XVSTELM_B + 145U, // XVSTELM_D + 145U, // XVSTELM_H + 145U, // XVSTELM_W + 17U, // XVSTX + 17U, // XVSUBI_BU + 17U, // XVSUBI_DU + 17U, // XVSUBI_HU + 17U, // XVSUBI_WU + 17U, // XVSUBWEV_D_W + 17U, // XVSUBWEV_D_WU + 17U, // XVSUBWEV_H_B + 17U, // XVSUBWEV_H_BU + 17U, // XVSUBWEV_Q_D + 17U, // XVSUBWEV_Q_DU + 17U, // XVSUBWEV_W_H + 17U, // XVSUBWEV_W_HU + 17U, // XVSUBWOD_D_W + 17U, // XVSUBWOD_D_WU + 17U, // XVSUBWOD_H_B + 17U, // XVSUBWOD_H_BU + 17U, // XVSUBWOD_Q_D + 17U, // XVSUBWOD_Q_DU + 17U, // XVSUBWOD_W_H + 17U, // XVSUBWOD_W_HU + 17U, // XVSUB_B + 17U, // XVSUB_D + 17U, // XVSUB_H + 17U, // XVSUB_Q + 17U, // XVSUB_W + 17U, // XVXORI_B + 17U, // XVXOR_V + }; + + // Emit the opcode for the instruction. + uint32_t Bits = 0; + Bits |= OpInfo0[MCInst_getOpcode(MI)] << 0; + Bits |= OpInfo1[MCInst_getOpcode(MI)] << 16; + MnemonicBitsInfo MBI = { +#ifndef CAPSTONE_DIET + AsmStrs+(Bits & 16383)-1, +#else + NULL, +#endif // CAPSTONE_DIET + Bits + }; + return MBI; +} + +/// printInstruction - This method is automatically generated by tablegen +/// from the instruction set description. +static void printInstruction(MCInst *MI, uint64_t Address, SStream *O) { + SStream_concat0(O, ""); + MnemonicBitsInfo MnemonicInfo = getMnemonic(MI, O); + + SStream_concat0(O, MnemonicInfo.first); + + uint32_t Bits = MnemonicInfo.second; + assert(Bits != 0 && "Cannot print this instruction."); + + // Fragment 0 encoded into 2 bits for 4 unique commands. + switch ((Bits >> 14) & 3) { + default: assert(0 && "Invalid command number."); + case 0: + // DBG_VALUE, DBG_VALUE_LIST, DBG_INSTR_REF, DBG_PHI, DBG_LABEL, BUNDLE, ... + return; + break; + case 1: + // PseudoCALL36, PseudoLA_ABS, PseudoLA_ABS_LARGE, PseudoLA_GOT, PseudoLA... + printOperand(MI, 0, O); + break; + case 2: + // BSTRINS_D, BSTRINS_W, CSRWR, CSRXCHG, GCSRWR, GCSRXCHG, LU32I_D, MOVGR... + printOperand(MI, 1, O); + SStream_concat0(O, ", "); + printOperand(MI, 2, O); + break; + case 3: + // INVTLB + printOperand(MI, 2, O); + SStream_concat0(O, ", "); + printOperand(MI, 1, O); + SStream_concat0(O, ", "); + printOperand(MI, 0, O); + return; + break; + } + + + // Fragment 1 encoded into 2 bits for 3 unique commands. + switch ((Bits >> 16) & 3) { + default: assert(0 && "Invalid command number."); + case 0: + // PseudoCALL36, B, BL, BREAK, CSRWR, DBAR, DBCL, GCSRWR, HVCL, IBAR, IDL... + return; + break; + case 1: + // PseudoLA_ABS, PseudoLA_ABS_LARGE, PseudoLA_GOT, PseudoLA_GOT_LARGE, Ps... + SStream_concat0(O, ", "); + break; + case 2: + // SET_CFR_FALSE, SET_CFR_TRUE + SStream_concat0(O, ", $fa0, $fa0"); + return; + break; + } + + + // Fragment 2 encoded into 2 bits for 3 unique commands. + switch ((Bits >> 18) & 3) { + default: assert(0 && "Invalid command number."); + case 0: + // PseudoLA_ABS, PseudoLA_GOT, PseudoLA_GOT_LARGE, PseudoLA_PCREL, Pseudo... + printOperand(MI, 1, O); + break; + case 1: + // PseudoLA_ABS_LARGE + printOperand(MI, 2, O); + return; + break; + case 2: + // BSTRINS_D, BSTRINS_W, CSRXCHG, GCSRXCHG, SC_D, SC_Q, SC_W, VBITSELI_B,... + printOperand(MI, 3, O); + break; + } + + + // Fragment 3 encoded into 1 bits for 2 unique commands. + if ((Bits >> 20) & 1) { + // PseudoLA_GOT_LARGE, PseudoLA_PCREL_LARGE, PseudoLA_TLS_GD_LARGE, Pseud... + SStream_concat0(O, ", "); + } else { + // PseudoLA_ABS, PseudoLA_GOT, PseudoLA_PCREL, PseudoLA_TLS_GD, PseudoLA_... + return; + } + + + // Fragment 4 encoded into 2 bits for 3 unique commands. + switch ((Bits >> 21) & 3) { + default: assert(0 && "Invalid command number."); + case 0: + // PseudoLA_GOT_LARGE, PseudoLA_PCREL_LARGE, PseudoLA_TLS_GD_LARGE, Pseud... + printOperand(MI, 2, O); + break; + case 1: + // AMADD_B, AMADD_D, AMADD_H, AMADD_W, AMADD__DB_B, AMADD__DB_D, AMADD__D... + printAtomicMemOp(MI, 2, O); + return; + break; + case 2: + // BSTRINS_D, BSTRINS_W + printOperand(MI, 4, O); + return; + break; + } + + + // Fragment 5 encoded into 1 bits for 2 unique commands. + if ((Bits >> 23) & 1) { + // ALSL_D, ALSL_W, ALSL_WU, BSTRPICK_D, BSTRPICK_W, BYTEPICK_D, BYTEPICK_... + SStream_concat0(O, ", "); + printOperand(MI, 3, O); + return; + } else { + // PseudoLA_GOT_LARGE, PseudoLA_PCREL_LARGE, PseudoLA_TLS_GD_LARGE, Pseud... + return; + } + +} + + +/// getRegisterName - This method is automatically generated by tblgen +/// from the register set description. This returns the assembler name +/// for the specified register. +static const char * +getRegisterName(unsigned RegNo, unsigned AltIdx) { +#ifndef CAPSTONE_DIET + assert(RegNo && RegNo < 177 && "Invalid register number!"); + + static const char AsmStrsNoRegAltName[] = { + /* 0 */ "f10\0" + /* 4 */ "vr10\0" + /* 9 */ "xr10\0" + /* 14 */ "f20\0" + /* 18 */ "vr20\0" + /* 23 */ "xr20\0" + /* 28 */ "f30\0" + /* 32 */ "vr30\0" + /* 37 */ "xr30\0" + /* 42 */ "fcc0\0" + /* 47 */ "f0\0" + /* 50 */ "scr0\0" + /* 55 */ "fcsr0\0" + /* 61 */ "vr0\0" + /* 65 */ "xr0\0" + /* 69 */ "f11\0" + /* 73 */ "vr11\0" + /* 78 */ "xr11\0" + /* 83 */ "f21\0" + /* 87 */ "vr21\0" + /* 92 */ "xr21\0" + /* 97 */ "f31\0" + /* 101 */ "vr31\0" + /* 106 */ "xr31\0" + /* 111 */ "fcc1\0" + /* 116 */ "f1\0" + /* 119 */ "scr1\0" + /* 124 */ "fcsr1\0" + /* 130 */ "vr1\0" + /* 134 */ "xr1\0" + /* 138 */ "f12\0" + /* 142 */ "vr12\0" + /* 147 */ "xr12\0" + /* 152 */ "f22\0" + /* 156 */ "vr22\0" + /* 161 */ "xr22\0" + /* 166 */ "fcc2\0" + /* 171 */ "f2\0" + /* 174 */ "scr2\0" + /* 179 */ "fcsr2\0" + /* 185 */ "vr2\0" + /* 189 */ "xr2\0" + /* 193 */ "f13\0" + /* 197 */ "vr13\0" + /* 202 */ "xr13\0" + /* 207 */ "f23\0" + /* 211 */ "vr23\0" + /* 216 */ "xr23\0" + /* 221 */ "fcc3\0" + /* 226 */ "f3\0" + /* 229 */ "scr3\0" + /* 234 */ "fcsr3\0" + /* 240 */ "vr3\0" + /* 244 */ "xr3\0" + /* 248 */ "f14\0" + /* 252 */ "vr14\0" + /* 257 */ "xr14\0" + /* 262 */ "f24\0" + /* 266 */ "vr24\0" + /* 271 */ "xr24\0" + /* 276 */ "fcc4\0" + /* 281 */ "f4\0" + /* 284 */ "vr4\0" + /* 288 */ "xr4\0" + /* 292 */ "f15\0" + /* 296 */ "vr15\0" + /* 301 */ "xr15\0" + /* 306 */ "f25\0" + /* 310 */ "vr25\0" + /* 315 */ "xr25\0" + /* 320 */ "fcc5\0" + /* 325 */ "f5\0" + /* 328 */ "vr5\0" + /* 332 */ "xr5\0" + /* 336 */ "f16\0" + /* 340 */ "vr16\0" + /* 345 */ "xr16\0" + /* 350 */ "f26\0" + /* 354 */ "vr26\0" + /* 359 */ "xr26\0" + /* 364 */ "fcc6\0" + /* 369 */ "f6\0" + /* 372 */ "vr6\0" + /* 376 */ "xr6\0" + /* 380 */ "f17\0" + /* 384 */ "vr17\0" + /* 389 */ "xr17\0" + /* 394 */ "f27\0" + /* 398 */ "vr27\0" + /* 403 */ "xr27\0" + /* 408 */ "fcc7\0" + /* 413 */ "f7\0" + /* 416 */ "vr7\0" + /* 420 */ "xr7\0" + /* 424 */ "f18\0" + /* 428 */ "vr18\0" + /* 433 */ "xr18\0" + /* 438 */ "f28\0" + /* 442 */ "vr28\0" + /* 447 */ "xr28\0" + /* 452 */ "f8\0" + /* 455 */ "vr8\0" + /* 459 */ "xr8\0" + /* 463 */ "f19\0" + /* 467 */ "vr19\0" + /* 472 */ "xr19\0" + /* 477 */ "f29\0" + /* 481 */ "vr29\0" + /* 486 */ "xr29\0" + /* 491 */ "f9\0" + /* 494 */ "vr9\0" + /* 498 */ "xr9\0" +}; + static const uint16_t RegAsmOffsetNoRegAltName[] = { + 47, 116, 171, 226, 281, 325, 369, 413, 452, 491, 0, 69, 138, 193, + 248, 292, 336, 380, 424, 463, 14, 83, 152, 207, 262, 306, 350, 394, + 438, 477, 28, 97, 42, 111, 166, 221, 276, 320, 364, 408, 55, 124, + 179, 234, 52, 121, 176, 231, 285, 329, 373, 417, 456, 495, 5, 74, + 143, 198, 253, 297, 341, 385, 429, 468, 19, 88, 157, 212, 267, 311, + 355, 399, 443, 482, 33, 102, 50, 119, 174, 229, 61, 130, 185, 240, + 284, 328, 372, 416, 455, 494, 4, 73, 142, 197, 252, 296, 340, 384, + 428, 467, 18, 87, 156, 211, 266, 310, 354, 398, 442, 481, 32, 101, + 65, 134, 189, 244, 288, 332, 376, 420, 459, 498, 9, 78, 147, 202, + 257, 301, 345, 389, 433, 472, 23, 92, 161, 216, 271, 315, 359, 403, + 447, 486, 37, 106, 47, 116, 171, 226, 281, 325, 369, 413, 452, 491, + 0, 69, 138, 193, 248, 292, 336, 380, 424, 463, 14, 83, 152, 207, + 262, 306, 350, 394, 438, 477, 28, 97, + }; + + static const char AsmStrsRegAliasName[] = { + /* 0 */ "ft10\0" + /* 5 */ "fa0\0" + /* 9 */ "fs0\0" + /* 13 */ "ft0\0" + /* 17 */ "ft11\0" + /* 22 */ "fa1\0" + /* 26 */ "fs1\0" + /* 30 */ "ft1\0" + /* 34 */ "ft12\0" + /* 39 */ "fa2\0" + /* 43 */ "fs2\0" + /* 47 */ "ft2\0" + /* 51 */ "ft13\0" + /* 56 */ "fa3\0" + /* 60 */ "fs3\0" + /* 64 */ "ft3\0" + /* 68 */ "ft14\0" + /* 73 */ "fa4\0" + /* 77 */ "fs4\0" + /* 81 */ "ft4\0" + /* 85 */ "ft15\0" + /* 90 */ "fa5\0" + /* 94 */ "fs5\0" + /* 98 */ "ft5\0" + /* 102 */ "fa6\0" + /* 106 */ "fs6\0" + /* 110 */ "ft6\0" + /* 114 */ "fa7\0" + /* 118 */ "fs7\0" + /* 122 */ "ft7\0" + /* 126 */ "s8\0" + /* 129 */ "ft8\0" + /* 133 */ "ft9\0" + /* 137 */ "ra\0" + /* 140 */ "zero\0" + /* 145 */ "fp\0" + /* 148 */ "sp\0" + /* 151 */ "tp\0" +}; + static const uint8_t RegAsmOffsetRegAliasName[] = { + 5, 22, 39, 56, 73, 90, 102, 114, 13, 30, 47, 64, 81, 98, + 110, 122, 129, 133, 0, 17, 34, 51, 68, 85, 9, 26, 43, 60, + 77, 94, 106, 118, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, + 4, 4, 140, 137, 151, 148, 6, 23, 40, 57, 74, 91, 103, 115, + 14, 31, 48, 65, 82, 99, 111, 123, 130, 4, 145, 10, 27, 44, + 61, 78, 95, 107, 119, 126, 4, 4, 4, 4, 4, 4, 4, 4, + 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, + 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, + 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, + 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, + 4, 4, 4, 4, 5, 22, 39, 56, 73, 90, 102, 114, 13, 30, + 47, 64, 81, 98, 110, 122, 129, 133, 0, 17, 34, 51, 68, 85, + 9, 26, 43, 60, 77, 94, 106, 118, + }; + + switch(AltIdx) { + default: assert(0 && "Invalid register alt name index!"); + case LoongArch_NoRegAltName: + assert(*(AsmStrsNoRegAltName+RegAsmOffsetNoRegAltName[RegNo-1]) && + "Invalid alt name index for register!"); + return AsmStrsNoRegAltName+RegAsmOffsetNoRegAltName[RegNo-1]; + case LoongArch_RegAliasName: + if (!*(AsmStrsRegAliasName+RegAsmOffsetRegAliasName[RegNo-1])) + return getRegisterName(RegNo, LoongArch_NoRegAltName); + return AsmStrsRegAliasName+RegAsmOffsetRegAliasName[RegNo-1]; + } +#else + return NULL; +#endif // CAPSTONE_DIET +} +#ifdef PRINT_ALIAS_INSTR +#undef PRINT_ALIAS_INSTR + +static bool printAliasInstr(MCInst *MI, uint64_t Address, SStream *OS) { +#ifndef CAPSTONE_DIET + static const PatternsForOpcode OpToPatterns[] = { + {LoongArch_PseudoLA_ABS, 0, 1 }, + {LoongArch_PseudoLA_GOT_LARGE, 1, 1 }, + {LoongArch_PseudoLA_PCREL, 2, 1 }, + {LoongArch_PseudoLA_PCREL_LARGE, 3, 2 }, + {LoongArch_ANDI, 5, 1 }, + {LoongArch_JIRL, 6, 2 }, + {LoongArch_OR, 8, 1 }, + {0}, }; + + static const AliasPattern Patterns[] = { + // LoongArch_PseudoLA_ABS - 0 + {0, 0, 2, 2 }, + // LoongArch_PseudoLA_GOT_LARGE - 1 + {16, 2, 3, 2 }, + // LoongArch_PseudoLA_PCREL - 2 + {0, 4, 2, 1 }, + // LoongArch_PseudoLA_PCREL_LARGE - 3 + {37, 5, 3, 2 }, + {16, 7, 3, 3 }, + // LoongArch_ANDI - 5 + {57, 10, 3, 3 }, + // LoongArch_JIRL - 6 + {61, 13, 3, 3 }, + {65, 16, 3, 3 }, + // LoongArch_OR - 8 + {71, 19, 3, 3 }, + {0}, }; + + static const AliasPatternCond Conds[] = { + // (PseudoLA_ABS GPR:$dst, bare_symbol:$src) - 0 + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + {AliasPatternCond_K_Feature, LoongArch_LaLocalWithAbs}, + // (PseudoLA_GOT_LARGE GPR:$dst, GPR:$tmp, bare_symbol:$src) - 2 + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + // (PseudoLA_PCREL GPR:$dst, bare_symbol:$src) - 4 + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + // (PseudoLA_PCREL_LARGE GPR:$dst, GPR:$tmp, bare_symbol:$src) - 5 + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + // (PseudoLA_PCREL_LARGE GPR:$dst, GPR:$tmp, bare_symbol:$src) - 7 + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + {AliasPatternCond_K_Feature, LoongArch_LaGlobalWithPcrel}, + // (ANDI R0, R0, 0) - 10 + {AliasPatternCond_K_Reg, LoongArch_R0}, + {AliasPatternCond_K_Reg, LoongArch_R0}, + {AliasPatternCond_K_Imm, (uint32_t)0}, + // (JIRL R0, R1, 0) - 13 + {AliasPatternCond_K_Reg, LoongArch_R0}, + {AliasPatternCond_K_Reg, LoongArch_R1}, + {AliasPatternCond_K_Imm, (uint32_t)0}, + // (JIRL R0, GPR:$rj, 0) - 16 + {AliasPatternCond_K_Reg, LoongArch_R0}, + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + {AliasPatternCond_K_Imm, (uint32_t)0}, + // (OR GPR:$dst, GPR:$src, R0) - 19 + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + {AliasPatternCond_K_RegClass, LoongArch_GPRRegClassID}, + {AliasPatternCond_K_Reg, LoongArch_R0}, + {0}, }; + + static const char AsmStrings[] = + /* 0 */ "la.local $\x01, $\x02\0" + /* 16 */ "la.global $\x01, $\x02, $\x03\0" + /* 37 */ "la.local $\x01, $\x02, $\x03\0" + /* 57 */ "nop\0" + /* 61 */ "ret\0" + /* 65 */ "jr $\x02\0" + /* 71 */ "move $\x01, $\x02\0" + ; + +#ifndef NDEBUG + //static struct SortCheck { + // SortCheck(ArrayRef OpToPatterns) { + // assert(std::is_sorted( + // OpToPatterns.begin(), OpToPatterns.end(), + // [](const PatternsForOpcode &L, const //PatternsForOpcode &R) { + // return L.Opcode < R.Opcode; + // }) && + // "tablegen failed to sort opcode patterns"); + // } + //} sortCheckVar(OpToPatterns); +#endif + + AliasMatchingData M = { + OpToPatterns, + Patterns, + Conds, + AsmStrings, + NULL, + }; + const char *AsmString = matchAliasPatterns(MI, &M); + if (!AsmString) return false; + + unsigned I = 0; + while (AsmString[I] != ' ' && AsmString[I] != '\t' && + AsmString[I] != '$' && AsmString[I] != '\0') + ++I; + SStream_concat1(OS, '\t'); + char *substr = malloc(I+1); + memcpy(substr, AsmString, I); + substr[I] = '\0'; + SStream_concat0(OS, substr); + free(substr); + if (AsmString[I] != '\0') { + if (AsmString[I] == ' ' || AsmString[I] == '\t') { + SStream_concat1(OS, '\t'); + ++I; + } + do { + if (AsmString[I] == '$') { + ++I; + if (AsmString[I] == (char)0xff) { + ++I; + int OpIdx = AsmString[I++] - 1; + int PrintMethodIdx = AsmString[I++] - 1; + printCustomAliasOperand(MI, Address, OpIdx, PrintMethodIdx, OS); + } else + printOperand(MI, ((unsigned)AsmString[I++]) - 1, OS); + } else { + SStream_concat1(OS, AsmString[I++]); + } + } while (AsmString[I] != '\0'); + } + + return true; +#else + return false; +#endif // CAPSTONE_DIET +} + +static void printCustomAliasOperand( + MCInst *MI, uint64_t Address, unsigned OpIdx, + unsigned PrintMethodIdx, + SStream *OS) { +#ifndef CAPSTONE_DIET + assert(0 && "Unknown PrintMethod kind"); +#endif // CAPSTONE_DIET +} + +#endif // PRINT_ALIAS_INSTR diff --git a/arch/LoongArch/LoongArchGenCSAliasEnum.inc b/arch/LoongArch/LoongArchGenCSAliasEnum.inc new file mode 100644 index 0000000000..508e917239 --- /dev/null +++ b/arch/LoongArch/LoongArchGenCSAliasEnum.inc @@ -0,0 +1,20 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + + LoongArch_INS_ALIAS_LA, // Real instr.: LoongArch_PseudoLA_GOT + LoongArch_INS_ALIAS_LA_GLOBAL, // Real instr.: LoongArch_PseudoLA_GOT + LoongArch_INS_ALIAS_LA_LOCAL, // Real instr.: LoongArch_PseudoLA_PCREL + LoongArch_INS_ALIAS_NOP, // Real instr.: LoongArch_ANDI + LoongArch_INS_ALIAS_MOVE, // Real instr.: LoongArch_OR + LoongArch_INS_ALIAS_RET, // Real instr.: LoongArch_JIRL + LoongArch_INS_ALIAS_JR, // Real instr.: LoongArch_JIRL diff --git a/arch/LoongArch/LoongArchGenCSAliasMnemMap.inc b/arch/LoongArch/LoongArchGenCSAliasMnemMap.inc new file mode 100644 index 0000000000..d403242065 --- /dev/null +++ b/arch/LoongArch/LoongArchGenCSAliasMnemMap.inc @@ -0,0 +1,20 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + + { LoongArch_INS_ALIAS_LA, "la" }, + { LoongArch_INS_ALIAS_LA_GLOBAL, "la_global" }, + { LoongArch_INS_ALIAS_LA_LOCAL, "la_local" }, + { LoongArch_INS_ALIAS_NOP, "nop" }, + { LoongArch_INS_ALIAS_MOVE, "move" }, + { LoongArch_INS_ALIAS_RET, "ret" }, + { LoongArch_INS_ALIAS_JR, "jr" }, diff --git a/arch/LoongArch/LoongArchGenCSFeatureName.inc b/arch/LoongArch/LoongArchGenCSFeatureName.inc new file mode 100644 index 0000000000..873254c268 --- /dev/null +++ b/arch/LoongArch/LoongArchGenCSFeatureName.inc @@ -0,0 +1,18 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + +{ LoongArch_FEATURE_IsLA64, "IsLA64" }, +{ LoongArch_FEATURE_IsLA32, "IsLA32" }, +{ LoongArch_FEATURE_HasLaGlobalWithPcrel, "HasLaGlobalWithPcrel" }, +{ LoongArch_FEATURE_HasLaGlobalWithAbs, "HasLaGlobalWithAbs" }, +{ LoongArch_FEATURE_HasLaLocalWithAbs, "HasLaLocalWithAbs" }, diff --git a/arch/LoongArch/LoongArchGenCSMappingInsn.inc b/arch/LoongArch/LoongArchGenCSMappingInsn.inc new file mode 100644 index 0000000000..3794f326eb --- /dev/null +++ b/arch/LoongArch/LoongArchGenCSMappingInsn.inc @@ -0,0 +1,18848 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + +{ + /* PHINODE */ + LoongArch_PHI /* 0 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_INLINEASM /* 1 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_INLINEASM_BR /* 2 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_CFI_INSTRUCTION /* 3 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_EH_LABEL /* 4 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_GC_LABEL /* 5 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_ANNOTATION_LABEL /* 6 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_KILL /* 7 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_EXTRACT_SUBREG /* 8 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_INSERT_SUBREG /* 9 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_IMPLICIT_DEF /* 10 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_SUBREG_TO_REG /* 11 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_COPY_TO_REGCLASS /* 12 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* DBG_VALUE */ + LoongArch_DBG_VALUE /* 13 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* DBG_VALUE_LIST */ + LoongArch_DBG_VALUE_LIST /* 14 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* DBG_INSTR_REF */ + LoongArch_DBG_INSTR_REF /* 15 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* DBG_PHI */ + LoongArch_DBG_PHI /* 16 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* DBG_LABEL */ + LoongArch_DBG_LABEL /* 17 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_REG_SEQUENCE /* 18 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_COPY /* 19 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* BUNDLE */ + LoongArch_BUNDLE /* 20 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* LIFETIME_START */ + LoongArch_LIFETIME_START /* 21 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* LIFETIME_END */ + LoongArch_LIFETIME_END /* 22 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* PSEUDO_PROBE */ + LoongArch_PSEUDO_PROBE /* 23 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_ARITH_FENCE /* 24 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_STACKMAP /* 25 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* # FEntry call */ + LoongArch_FENTRY_CALL /* 26 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PATCHPOINT /* 27 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_LOAD_STACK_GUARD /* 28 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PREALLOCATED_SETUP /* 29 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PREALLOCATED_ARG /* 30 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_STATEPOINT /* 31 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_LOCAL_ESCAPE /* 32 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_FAULTING_OP /* 33 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PATCHABLE_OP /* 34 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* # XRay Function Enter. */ + LoongArch_PATCHABLE_FUNCTION_ENTER /* 35 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* # XRay Function Patchable RET. */ + LoongArch_PATCHABLE_RET /* 36 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* # XRay Function Exit. */ + LoongArch_PATCHABLE_FUNCTION_EXIT /* 37 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* # XRay Tail Call Exit. */ + LoongArch_PATCHABLE_TAIL_CALL /* 38 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* # XRay Custom Event Log. */ + LoongArch_PATCHABLE_EVENT_CALL /* 39 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* # XRay Typed Event Log. */ + LoongArch_PATCHABLE_TYPED_EVENT_CALL /* 40 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_ICALL_BRANCH_FUNNEL /* 41 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_MEMBARRIER /* 42 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_JUMP_TABLE_DEBUG_INFO /* 43 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ASSERT_SEXT /* 44 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ASSERT_ZEXT /* 45 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ASSERT_ALIGN /* 46 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ADD /* 47 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SUB /* 48 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_MUL /* 49 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SDIV /* 50 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UDIV /* 51 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SREM /* 52 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UREM /* 53 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SDIVREM /* 54 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UDIVREM /* 55 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_AND /* 56 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_OR /* 57 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_XOR /* 58 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_IMPLICIT_DEF /* 59 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_PHI /* 60 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FRAME_INDEX /* 61 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_GLOBAL_VALUE /* 62 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CONSTANT_POOL /* 63 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_EXTRACT /* 64 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UNMERGE_VALUES /* 65 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INSERT /* 66 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_MERGE_VALUES /* 67 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BUILD_VECTOR /* 68 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BUILD_VECTOR_TRUNC /* 69 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CONCAT_VECTORS /* 70 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_PTRTOINT /* 71 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTTOPTR /* 72 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BITCAST /* 73 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FREEZE /* 74 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CONSTANT_FOLD_BARRIER /* 75 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC_FPTRUNC_ROUND /* 76 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC_TRUNC /* 77 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC_ROUND /* 78 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC_LRINT /* 79 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC_ROUNDEVEN /* 80 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_READCYCLECOUNTER /* 81 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_LOAD /* 82 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SEXTLOAD /* 83 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ZEXTLOAD /* 84 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INDEXED_LOAD /* 85 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INDEXED_SEXTLOAD /* 86 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INDEXED_ZEXTLOAD /* 87 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STORE /* 88 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INDEXED_STORE /* 89 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMIC_CMPXCHG_WITH_SUCCESS /* 90 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMIC_CMPXCHG /* 91 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_XCHG /* 92 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_ADD /* 93 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_SUB /* 94 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_AND /* 95 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_NAND /* 96 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_OR /* 97 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_XOR /* 98 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_MAX /* 99 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_MIN /* 100 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_UMAX /* 101 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_UMIN /* 102 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_FADD /* 103 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_FSUB /* 104 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_FMAX /* 105 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_FMIN /* 106 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_UINC_WRAP /* 107 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ATOMICRMW_UDEC_WRAP /* 108 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FENCE /* 109 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_PREFETCH /* 110 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BRCOND /* 111 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BRINDIRECT /* 112 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INVOKE_REGION_START /* 113 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC /* 114 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC_W_SIDE_EFFECTS /* 115 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC_CONVERGENT /* 116 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INTRINSIC_CONVERGENT_W_SIDE_EFFECTS /* 117 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ANYEXT /* 118 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_TRUNC /* 119 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CONSTANT /* 120 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FCONSTANT /* 121 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VASTART /* 122 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VAARG /* 123 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SEXT /* 124 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SEXT_INREG /* 125 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ZEXT /* 126 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SHL /* 127 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_LSHR /* 128 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ASHR /* 129 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FSHL /* 130 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FSHR /* 131 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ROTR /* 132 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ROTL /* 133 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ICMP /* 134 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FCMP /* 135 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SELECT /* 136 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UADDO /* 137 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UADDE /* 138 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_USUBO /* 139 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_USUBE /* 140 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SADDO /* 141 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SADDE /* 142 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SSUBO /* 143 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SSUBE /* 144 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UMULO /* 145 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SMULO /* 146 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UMULH /* 147 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SMULH /* 148 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UADDSAT /* 149 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SADDSAT /* 150 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_USUBSAT /* 151 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SSUBSAT /* 152 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_USHLSAT /* 153 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SSHLSAT /* 154 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SMULFIX /* 155 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UMULFIX /* 156 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SMULFIXSAT /* 157 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UMULFIXSAT /* 158 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SDIVFIX /* 159 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UDIVFIX /* 160 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SDIVFIXSAT /* 161 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UDIVFIXSAT /* 162 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FADD /* 163 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FSUB /* 164 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMUL /* 165 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMA /* 166 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMAD /* 167 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FDIV /* 168 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FREM /* 169 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FPOW /* 170 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FPOWI /* 171 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FEXP /* 172 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FEXP2 /* 173 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FEXP10 /* 174 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FLOG /* 175 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FLOG2 /* 176 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FLOG10 /* 177 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FLDEXP /* 178 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FFREXP /* 179 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FNEG /* 180 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FPEXT /* 181 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FPTRUNC /* 182 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FPTOSI /* 183 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FPTOUI /* 184 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SITOFP /* 185 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UITOFP /* 186 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FABS /* 187 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FCOPYSIGN /* 188 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_IS_FPCLASS /* 189 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FCANONICALIZE /* 190 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMINNUM /* 191 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMAXNUM /* 192 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMINNUM_IEEE /* 193 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMAXNUM_IEEE /* 194 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMINIMUM /* 195 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FMAXIMUM /* 196 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_GET_FPENV /* 197 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SET_FPENV /* 198 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_RESET_FPENV /* 199 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_GET_FPMODE /* 200 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SET_FPMODE /* 201 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_RESET_FPMODE /* 202 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_PTR_ADD /* 203 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_PTRMASK /* 204 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SMIN /* 205 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SMAX /* 206 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UMIN /* 207 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UMAX /* 208 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ABS /* 209 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_LROUND /* 210 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_LLROUND /* 211 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BR /* 212 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BRJT /* 213 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_INSERT_VECTOR_ELT /* 214 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_EXTRACT_VECTOR_ELT /* 215 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SHUFFLE_VECTOR /* 216 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CTTZ /* 217 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CTTZ_ZERO_UNDEF /* 218 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CTLZ /* 219 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CTLZ_ZERO_UNDEF /* 220 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_CTPOP /* 221 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BSWAP /* 222 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BITREVERSE /* 223 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FCEIL /* 224 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FCOS /* 225 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FSIN /* 226 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FSQRT /* 227 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FFLOOR /* 228 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FRINT /* 229 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_FNEARBYINT /* 230 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_ADDRSPACE_CAST /* 231 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BLOCK_ADDR /* 232 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_JUMP_TABLE /* 233 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_DYN_STACKALLOC /* 234 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STACKSAVE /* 235 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STACKRESTORE /* 236 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STRICT_FADD /* 237 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STRICT_FSUB /* 238 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STRICT_FMUL /* 239 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STRICT_FDIV /* 240 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STRICT_FREM /* 241 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STRICT_FMA /* 242 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STRICT_FSQRT /* 243 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_STRICT_FLDEXP /* 244 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_READ_REGISTER /* 245 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_WRITE_REGISTER /* 246 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_MEMCPY /* 247 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_MEMCPY_INLINE /* 248 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_MEMMOVE /* 249 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_MEMSET /* 250 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_BZERO /* 251 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_SEQ_FADD /* 252 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_SEQ_FMUL /* 253 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_FADD /* 254 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_FMUL /* 255 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_FMAX /* 256 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_FMIN /* 257 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_FMAXIMUM /* 258 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_FMINIMUM /* 259 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_ADD /* 260 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_MUL /* 261 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_AND /* 262 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_OR /* 263 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_XOR /* 264 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_SMAX /* 265 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_SMIN /* 266 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_UMAX /* 267 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_VECREDUCE_UMIN /* 268 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_SBFX /* 269 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_G_UBFX /* 270 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_ADJCALLSTACKDOWN /* 271 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_ADJCALLSTACKUP /* 272 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicLoadAdd32 /* 273 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicLoadAnd32 /* 274 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicLoadNand32 /* 275 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicLoadNand64 /* 276 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicLoadOr32 /* 277 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicLoadSub32 /* 278 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicLoadXor32 /* 279 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicStoreD /* 280 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicStoreW /* 281 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoAtomicSwap32 /* 282 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoBR /* 283 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoBRIND /* 284 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoB_TAIL /* 285 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoCALL /* 286 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* call36 $dst */ + LoongArch_PseudoCALL36 /* 287 */, LoongArch_INS_CALL36, + #ifndef CAPSTONE_DIET + { 0 }, { LoongArch_REG_R1, 0 }, { LoongArch_GRP_CALL, LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* */ + LoongArch_PseudoCALLIndirect /* 288 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoCALL_LARGE /* 289 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoCALL_MEDIUM /* 290 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoCmpXchg32 /* 291 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoCmpXchg64 /* 292 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoCopyCFR /* 293 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoJIRL_CALL /* 294 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoJIRL_TAIL /* 295 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* la.abs $dst, $src */ + LoongArch_PseudoLA_ABS /* 296 */, LoongArch_INS_LA_ABS, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* la.abs $dst, $src */ + LoongArch_PseudoLA_ABS_LARGE /* 297 */, LoongArch_INS_LA_ABS, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* la.got $dst, $src */ + LoongArch_PseudoLA_GOT /* 298 */, LoongArch_INS_LA_GOT, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_READ }} + + #endif +}, +{ + /* la.got $dst, $tmp, $src */ + LoongArch_PseudoLA_GOT_LARGE /* 299 */, LoongArch_INS_LA_GOT, + #ifndef CAPSTONE_DIET + { 0 }, { LoongArch_REG_R20, 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_READ }} + + #endif +}, +{ + /* la.pcrel $dst, $src */ + LoongArch_PseudoLA_PCREL /* 300 */, LoongArch_INS_LA_PCREL, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* la.pcrel $dst, $tmp, $src */ + LoongArch_PseudoLA_PCREL_LARGE /* 301 */, LoongArch_INS_LA_PCREL, + #ifndef CAPSTONE_DIET + { 0 }, { LoongArch_REG_R20, 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* la.tls.gd $dst, $src */ + LoongArch_PseudoLA_TLS_GD /* 302 */, LoongArch_INS_LA_TLS_GD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_READ }} + + #endif +}, +{ + /* la.tls.gd $dst, $tmp, $src */ + LoongArch_PseudoLA_TLS_GD_LARGE /* 303 */, LoongArch_INS_LA_TLS_GD, + #ifndef CAPSTONE_DIET + { 0 }, { LoongArch_REG_R20, 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_READ }} + + #endif +}, +{ + /* la.tls.ie $dst, $src */ + LoongArch_PseudoLA_TLS_IE /* 304 */, LoongArch_INS_LA_TLS_IE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_READ }} + + #endif +}, +{ + /* la.tls.ie $dst, $tmp, $src */ + LoongArch_PseudoLA_TLS_IE_LARGE /* 305 */, LoongArch_INS_LA_TLS_IE, + #ifndef CAPSTONE_DIET + { 0 }, { LoongArch_REG_R20, 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_READ }} + + #endif +}, +{ + /* la.tls.ld $dst, $src */ + LoongArch_PseudoLA_TLS_LD /* 306 */, LoongArch_INS_LA_TLS_LD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_READ }} + + #endif +}, +{ + /* la.tls.ld $dst, $tmp, $src */ + LoongArch_PseudoLA_TLS_LD_LARGE /* 307 */, LoongArch_INS_LA_TLS_LD, + #ifndef CAPSTONE_DIET + { 0 }, { LoongArch_REG_R20, 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_READ }} + + #endif +}, +{ + /* la.tls.le $dst, $src */ + LoongArch_PseudoLA_TLS_LE /* 308 */, LoongArch_INS_LA_TLS_LE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* */ + LoongArch_PseudoLD_CFR /* 309 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* li.d $rd, $imm */ + LoongArch_PseudoLI_D /* 310 */, LoongArch_INS_LI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* li.w $rd, $imm */ + LoongArch_PseudoLI_W /* 311 */, LoongArch_INS_LI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedAtomicLoadAdd32 /* 312 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedAtomicLoadMax32 /* 313 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedAtomicLoadMin32 /* 314 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedAtomicLoadNand32 /* 315 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedAtomicLoadSub32 /* 316 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedAtomicLoadUMax32 /* 317 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedAtomicLoadUMin32 /* 318 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedAtomicSwap32 /* 319 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoMaskedCmpXchg32 /* 320 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoRET /* 321 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoST_CFR /* 322 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoTAIL /* 323 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* tail36 $tmp, $dst */ + LoongArch_PseudoTAIL36 /* 324 */, LoongArch_INS_TAIL36, + #ifndef CAPSTONE_DIET + { LoongArch_REG_R3, 0 }, { 0 }, { LoongArch_GRP_RET, LoongArch_GRP_CALL, LoongArch_FEATURE_IsLA64, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* */ + LoongArch_PseudoTAILIndirect /* 325 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoTAIL_LARGE /* 326 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoTAIL_MEDIUM /* 327 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoUNIMP /* 328 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBNZ /* 329 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBNZ_B /* 330 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBNZ_D /* 331 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBNZ_H /* 332 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBNZ_W /* 333 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBZ /* 334 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBZ_B /* 335 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBZ_D /* 336 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBZ_H /* 337 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoVBZ_W /* 338 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* vrepli.b $vd, $imm */ + LoongArch_PseudoVREPLI_B /* 339 */, LoongArch_INS_VREPLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* vrepli.d $vd, $imm */ + LoongArch_PseudoVREPLI_D /* 340 */, LoongArch_INS_VREPLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* vrepli.h $vd, $imm */ + LoongArch_PseudoVREPLI_H /* 341 */, LoongArch_INS_VREPLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* vrepli.w $vd, $imm */ + LoongArch_PseudoVREPLI_W /* 342 */, LoongArch_INS_VREPLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* */ + LoongArch_PseudoXVBNZ /* 343 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBNZ_B /* 344 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBNZ_D /* 345 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBNZ_H /* 346 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBNZ_W /* 347 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBZ /* 348 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBZ_B /* 349 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBZ_D /* 350 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBZ_H /* 351 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVBZ_W /* 352 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVINSGR2VR_B /* 353 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_PseudoXVINSGR2VR_H /* 354 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* xvrepli.b $xd, $imm */ + LoongArch_PseudoXVREPLI_B /* 355 */, LoongArch_INS_XVREPLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrepli.d $xd, $imm */ + LoongArch_PseudoXVREPLI_D /* 356 */, LoongArch_INS_XVREPLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrepli.h $xd, $imm */ + LoongArch_PseudoXVREPLI_H /* 357 */, LoongArch_INS_XVREPLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrepli.w $xd, $imm */ + LoongArch_PseudoXVREPLI_W /* 358 */, LoongArch_INS_XVREPLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_PSEUDO, CS_AC_INVALID }} + + #endif +}, +{ + /* */ + LoongArch_RDFCSR /* 359 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* */ + LoongArch_WRFCSR /* 360 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* adc.b $rd, $rj, $rk */ + LoongArch_ADC_B /* 361 */, LoongArch_INS_ADC_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* adc.d $rd, $rj, $rk */ + LoongArch_ADC_D /* 362 */, LoongArch_INS_ADC_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* adc.h $rd, $rj, $rk */ + LoongArch_ADC_H /* 363 */, LoongArch_INS_ADC_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* adc.w $rd, $rj, $rk */ + LoongArch_ADC_W /* 364 */, LoongArch_INS_ADC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* addi.d $rd, $rj, $imm12 */ + LoongArch_ADDI_D /* 365 */, LoongArch_INS_ADDI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_INVALID }} + + #endif +}, +{ + /* addi.w $rd, $rj, $imm12 */ + LoongArch_ADDI_W /* 366 */, LoongArch_INS_ADDI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_INVALID }} + + #endif +}, +{ + /* addu12i.d $rd, $rj, $imm5 */ + LoongArch_ADDU12I_D /* 367 */, LoongArch_INS_ADDU12I_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* addu12i.w $rd, $rj, $imm5 */ + LoongArch_ADDU12I_W /* 368 */, LoongArch_INS_ADDU12I_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* addu16i.d $rd, $rj, $imm16 */ + LoongArch_ADDU16I_D /* 369 */, LoongArch_INS_ADDU16I_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI16, CS_AC_INVALID }} + + #endif +}, +{ + /* add.d $rd, $rj, $rk */ + LoongArch_ADD_D /* 370 */, LoongArch_INS_ADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* add.w $rd, $rj, $rk */ + LoongArch_ADD_W /* 371 */, LoongArch_INS_ADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* alsl.d $rd, $rj, $rk, $imm2 */ + LoongArch_ALSL_D /* 372 */, LoongArch_INS_ALSL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3RI2, CS_AC_INVALID }} + + #endif +}, +{ + /* alsl.w $rd, $rj, $rk, $imm2 */ + LoongArch_ALSL_W /* 373 */, LoongArch_INS_ALSL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3RI2, CS_AC_INVALID }} + + #endif +}, +{ + /* alsl.wu $rd, $rj, $rk, $imm2 */ + LoongArch_ALSL_WU /* 374 */, LoongArch_INS_ALSL_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3RI2, CS_AC_INVALID }} + + #endif +}, +{ + /* amadd.b $rd, $rk, $rj */ + LoongArch_AMADD_B /* 375 */, LoongArch_INS_AMADD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amadd.d $rd, $rk, $rj */ + LoongArch_AMADD_D /* 376 */, LoongArch_INS_AMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amadd.h $rd, $rk, $rj */ + LoongArch_AMADD_H /* 377 */, LoongArch_INS_AMADD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amadd.w $rd, $rk, $rj */ + LoongArch_AMADD_W /* 378 */, LoongArch_INS_AMADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amadd_db.b $rd, $rk, $rj */ + LoongArch_AMADD__DB_B /* 379 */, LoongArch_INS_AMADD_DB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amadd_db.d $rd, $rk, $rj */ + LoongArch_AMADD__DB_D /* 380 */, LoongArch_INS_AMADD_DB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amadd_db.h $rd, $rk, $rj */ + LoongArch_AMADD__DB_H /* 381 */, LoongArch_INS_AMADD_DB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amadd_db.w $rd, $rk, $rj */ + LoongArch_AMADD__DB_W /* 382 */, LoongArch_INS_AMADD_DB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amand.d $rd, $rk, $rj */ + LoongArch_AMAND_D /* 383 */, LoongArch_INS_AMAND_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amand.w $rd, $rk, $rj */ + LoongArch_AMAND_W /* 384 */, LoongArch_INS_AMAND_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amand_db.d $rd, $rk, $rj */ + LoongArch_AMAND__DB_D /* 385 */, LoongArch_INS_AMAND_DB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amand_db.w $rd, $rk, $rj */ + LoongArch_AMAND__DB_W /* 386 */, LoongArch_INS_AMAND_DB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amcas.b $rd, $rk, $rj */ + LoongArch_AMCAS_B /* 387 */, LoongArch_INS_AMCAS_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amcas.d $rd, $rk, $rj */ + LoongArch_AMCAS_D /* 388 */, LoongArch_INS_AMCAS_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amcas.h $rd, $rk, $rj */ + LoongArch_AMCAS_H /* 389 */, LoongArch_INS_AMCAS_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amcas.w $rd, $rk, $rj */ + LoongArch_AMCAS_W /* 390 */, LoongArch_INS_AMCAS_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amcas_db.b $rd, $rk, $rj */ + LoongArch_AMCAS__DB_B /* 391 */, LoongArch_INS_AMCAS_DB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amcas_db.d $rd, $rk, $rj */ + LoongArch_AMCAS__DB_D /* 392 */, LoongArch_INS_AMCAS_DB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amcas_db.h $rd, $rk, $rj */ + LoongArch_AMCAS__DB_H /* 393 */, LoongArch_INS_AMCAS_DB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amcas_db.w $rd, $rk, $rj */ + LoongArch_AMCAS__DB_W /* 394 */, LoongArch_INS_AMCAS_DB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammax.d $rd, $rk, $rj */ + LoongArch_AMMAX_D /* 395 */, LoongArch_INS_AMMAX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammax.du $rd, $rk, $rj */ + LoongArch_AMMAX_DU /* 396 */, LoongArch_INS_AMMAX_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammax.w $rd, $rk, $rj */ + LoongArch_AMMAX_W /* 397 */, LoongArch_INS_AMMAX_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammax.wu $rd, $rk, $rj */ + LoongArch_AMMAX_WU /* 398 */, LoongArch_INS_AMMAX_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammax_db.d $rd, $rk, $rj */ + LoongArch_AMMAX__DB_D /* 399 */, LoongArch_INS_AMMAX_DB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammax_db.du $rd, $rk, $rj */ + LoongArch_AMMAX__DB_DU /* 400 */, LoongArch_INS_AMMAX_DB_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammax_db.w $rd, $rk, $rj */ + LoongArch_AMMAX__DB_W /* 401 */, LoongArch_INS_AMMAX_DB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammax_db.wu $rd, $rk, $rj */ + LoongArch_AMMAX__DB_WU /* 402 */, LoongArch_INS_AMMAX_DB_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammin.d $rd, $rk, $rj */ + LoongArch_AMMIN_D /* 403 */, LoongArch_INS_AMMIN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammin.du $rd, $rk, $rj */ + LoongArch_AMMIN_DU /* 404 */, LoongArch_INS_AMMIN_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammin.w $rd, $rk, $rj */ + LoongArch_AMMIN_W /* 405 */, LoongArch_INS_AMMIN_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammin.wu $rd, $rk, $rj */ + LoongArch_AMMIN_WU /* 406 */, LoongArch_INS_AMMIN_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammin_db.d $rd, $rk, $rj */ + LoongArch_AMMIN__DB_D /* 407 */, LoongArch_INS_AMMIN_DB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammin_db.du $rd, $rk, $rj */ + LoongArch_AMMIN__DB_DU /* 408 */, LoongArch_INS_AMMIN_DB_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammin_db.w $rd, $rk, $rj */ + LoongArch_AMMIN__DB_W /* 409 */, LoongArch_INS_AMMIN_DB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* ammin_db.wu $rd, $rk, $rj */ + LoongArch_AMMIN__DB_WU /* 410 */, LoongArch_INS_AMMIN_DB_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amor.d $rd, $rk, $rj */ + LoongArch_AMOR_D /* 411 */, LoongArch_INS_AMOR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amor.w $rd, $rk, $rj */ + LoongArch_AMOR_W /* 412 */, LoongArch_INS_AMOR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amor_db.d $rd, $rk, $rj */ + LoongArch_AMOR__DB_D /* 413 */, LoongArch_INS_AMOR_DB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amor_db.w $rd, $rk, $rj */ + LoongArch_AMOR__DB_W /* 414 */, LoongArch_INS_AMOR_DB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amswap.b $rd, $rk, $rj */ + LoongArch_AMSWAP_B /* 415 */, LoongArch_INS_AMSWAP_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amswap.d $rd, $rk, $rj */ + LoongArch_AMSWAP_D /* 416 */, LoongArch_INS_AMSWAP_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amswap.h $rd, $rk, $rj */ + LoongArch_AMSWAP_H /* 417 */, LoongArch_INS_AMSWAP_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amswap.w $rd, $rk, $rj */ + LoongArch_AMSWAP_W /* 418 */, LoongArch_INS_AMSWAP_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amswap_db.b $rd, $rk, $rj */ + LoongArch_AMSWAP__DB_B /* 419 */, LoongArch_INS_AMSWAP_DB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amswap_db.d $rd, $rk, $rj */ + LoongArch_AMSWAP__DB_D /* 420 */, LoongArch_INS_AMSWAP_DB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amswap_db.h $rd, $rk, $rj */ + LoongArch_AMSWAP__DB_H /* 421 */, LoongArch_INS_AMSWAP_DB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amswap_db.w $rd, $rk, $rj */ + LoongArch_AMSWAP__DB_W /* 422 */, LoongArch_INS_AMSWAP_DB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amxor.d $rd, $rk, $rj */ + LoongArch_AMXOR_D /* 423 */, LoongArch_INS_AMXOR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amxor.w $rd, $rk, $rj */ + LoongArch_AMXOR_W /* 424 */, LoongArch_INS_AMXOR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amxor_db.d $rd, $rk, $rj */ + LoongArch_AMXOR__DB_D /* 425 */, LoongArch_INS_AMXOR_DB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* amxor_db.w $rd, $rk, $rj */ + LoongArch_AMXOR__DB_W /* 426 */, LoongArch_INS_AMXOR_DB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* and $rd, $rj, $rk */ + LoongArch_AND /* 427 */, LoongArch_INS_AND, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* andi $rd, $rj, $imm12 */ + LoongArch_ANDI /* 428 */, LoongArch_INS_ANDI, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_INVALID }} + + #endif +}, +{ + /* andn $rd, $rj, $rk */ + LoongArch_ANDN /* 429 */, LoongArch_INS_ANDN, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* armadc.w $rj, $rk, $imm4 */ + LoongArch_ARMADC_W /* 430 */, LoongArch_INS_ARMADC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armadd.w $rj, $rk, $imm4 */ + LoongArch_ARMADD_W /* 431 */, LoongArch_INS_ARMADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armand.w $rj, $rk, $imm4 */ + LoongArch_ARMAND_W /* 432 */, LoongArch_INS_ARMAND_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armmfflag $rd, $imm8 */ + LoongArch_ARMMFFLAG /* 433 */, LoongArch_INS_ARMMFFLAG, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI8, CS_AC_INVALID }} + + #endif +}, +{ + /* armmove $rd, $rj, $imm4 */ + LoongArch_ARMMOVE /* 434 */, LoongArch_INS_ARMMOVE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armmov.d $rj, $imm4 */ + LoongArch_ARMMOV_D /* 435 */, LoongArch_INS_ARMMOV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armmov.w $rj, $imm4 */ + LoongArch_ARMMOV_W /* 436 */, LoongArch_INS_ARMMOV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armmtflag $rd, $imm8 */ + LoongArch_ARMMTFLAG /* 437 */, LoongArch_INS_ARMMTFLAG, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI8, CS_AC_INVALID }} + + #endif +}, +{ + /* armnot.w $rj, $imm4 */ + LoongArch_ARMNOT_W /* 438 */, LoongArch_INS_ARMNOT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armor.w $rj, $rk, $imm4 */ + LoongArch_ARMOR_W /* 439 */, LoongArch_INS_ARMOR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armrotri.w $rj, $imm5, $imm4 */ + LoongArch_ARMROTRI_W /* 440 */, LoongArch_INS_ARMROTRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5I4, CS_AC_INVALID }} + + #endif +}, +{ + /* armrotr.w $rj, $rk, $imm4 */ + LoongArch_ARMROTR_W /* 441 */, LoongArch_INS_ARMROTR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armrrx.w $rj, $imm4 */ + LoongArch_ARMRRX_W /* 442 */, LoongArch_INS_ARMRRX_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armsbc.w $rj, $rk, $imm4 */ + LoongArch_ARMSBC_W /* 443 */, LoongArch_INS_ARMSBC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armslli.w $rj, $imm5, $imm4 */ + LoongArch_ARMSLLI_W /* 444 */, LoongArch_INS_ARMSLLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5I4, CS_AC_INVALID }} + + #endif +}, +{ + /* armsll.w $rj, $rk, $imm4 */ + LoongArch_ARMSLL_W /* 445 */, LoongArch_INS_ARMSLL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armsrai.w $rj, $imm5, $imm4 */ + LoongArch_ARMSRAI_W /* 446 */, LoongArch_INS_ARMSRAI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5I4, CS_AC_INVALID }} + + #endif +}, +{ + /* armsra.w $rj, $rk, $imm4 */ + LoongArch_ARMSRA_W /* 447 */, LoongArch_INS_ARMSRA_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armsrli.w $rj, $imm5, $imm4 */ + LoongArch_ARMSRLI_W /* 448 */, LoongArch_INS_ARMSRLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5I4, CS_AC_INVALID }} + + #endif +}, +{ + /* armsrl.w $rj, $rk, $imm4 */ + LoongArch_ARMSRL_W /* 449 */, LoongArch_INS_ARMSRL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armsub.w $rj, $rk, $imm4 */ + LoongArch_ARMSUB_W /* 450 */, LoongArch_INS_ARMSUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* armxor.w $rj, $rk, $imm4 */ + LoongArch_ARMXOR_W /* 451 */, LoongArch_INS_ARMXOR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* asrtgt.d $rj, $rk */ + LoongArch_ASRTGT_D /* 452 */, LoongArch_INS_ASRTGT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTASRT, CS_AC_INVALID }} + + #endif +}, +{ + /* asrtle.d $rj, $rk */ + LoongArch_ASRTLE_D /* 453 */, LoongArch_INS_ASRTLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTASRT, CS_AC_INVALID }} + + #endif +}, +{ + /* b $imm26 */ + LoongArch_B /* 454 */, LoongArch_INS_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI26, CS_AC_INVALID }} + + #endif +}, +{ + /* bceqz $cj, $imm21 */ + LoongArch_BCEQZ /* 455 */, LoongArch_INS_BCEQZ, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTBR, CS_AC_INVALID }} + + #endif +}, +{ + /* bcnez $cj, $imm21 */ + LoongArch_BCNEZ /* 456 */, LoongArch_INS_BCNEZ, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTBR, CS_AC_INVALID }} + + #endif +}, +{ + /* beq $rj, $rd, $imm16 */ + LoongArch_BEQ /* 457 */, LoongArch_INS_BEQ, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI16, CS_AC_INVALID }} + + #endif +}, +{ + /* beqz $rj, $imm21 */ + LoongArch_BEQZ /* 458 */, LoongArch_INS_BEQZ, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI21, CS_AC_INVALID }} + + #endif +}, +{ + /* bge $rj, $rd, $imm16 */ + LoongArch_BGE /* 459 */, LoongArch_INS_BGE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI16, CS_AC_INVALID }} + + #endif +}, +{ + /* bgeu $rj, $rd, $imm16 */ + LoongArch_BGEU /* 460 */, LoongArch_INS_BGEU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI16, CS_AC_INVALID }} + + #endif +}, +{ + /* bitrev.4b $rd, $rj */ + LoongArch_BITREV_4B /* 461 */, LoongArch_INS_BITREV_4B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* bitrev.8b $rd, $rj */ + LoongArch_BITREV_8B /* 462 */, LoongArch_INS_BITREV_8B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* bitrev.d $rd, $rj */ + LoongArch_BITREV_D /* 463 */, LoongArch_INS_BITREV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* bitrev.w $rd, $rj */ + LoongArch_BITREV_W /* 464 */, LoongArch_INS_BITREV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* bl $imm26 */ + LoongArch_BL /* 465 */, LoongArch_INS_BL, + #ifndef CAPSTONE_DIET + { 0 }, { LoongArch_REG_R1, 0 }, { LoongArch_GRP_CALL, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI26, CS_AC_INVALID }} + + #endif +}, +{ + /* blt $rj, $rd, $imm16 */ + LoongArch_BLT /* 466 */, LoongArch_INS_BLT, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI16, CS_AC_INVALID }} + + #endif +}, +{ + /* bltu $rj, $rd, $imm16 */ + LoongArch_BLTU /* 467 */, LoongArch_INS_BLTU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI16, CS_AC_INVALID }} + + #endif +}, +{ + /* bne $rj, $rd, $imm16 */ + LoongArch_BNE /* 468 */, LoongArch_INS_BNE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI16, CS_AC_INVALID }} + + #endif +}, +{ + /* bnez $rj, $imm21 */ + LoongArch_BNEZ /* 469 */, LoongArch_INS_BNEZ, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_GRP_JUMP, 0 }, 1, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI21, CS_AC_INVALID }} + + #endif +}, +{ + /* break $imm15 */ + LoongArch_BREAK /* 470 */, LoongArch_INS_BREAK, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI15, CS_AC_INVALID }} + + #endif +}, +{ + /* bstrins.d $rd, $rj, $msbd, $lsbd */ + LoongArch_BSTRINS_D /* 471 */, LoongArch_INS_BSTRINS_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTBSTR_D, CS_AC_INVALID }} + + #endif +}, +{ + /* bstrins.w $rd, $rj, $msbw, $lsbw */ + LoongArch_BSTRINS_W /* 472 */, LoongArch_INS_BSTRINS_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTBSTR_W, CS_AC_INVALID }} + + #endif +}, +{ + /* bstrpick.d $rd, $rj, $msbd, $lsbd */ + LoongArch_BSTRPICK_D /* 473 */, LoongArch_INS_BSTRPICK_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTBSTR_D, CS_AC_INVALID }} + + #endif +}, +{ + /* bstrpick.w $rd, $rj, $msbw, $lsbw */ + LoongArch_BSTRPICK_W /* 474 */, LoongArch_INS_BSTRPICK_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTBSTR_W, CS_AC_INVALID }} + + #endif +}, +{ + /* bytepick.d $rd, $rj, $rk, $imm3 */ + LoongArch_BYTEPICK_D /* 475 */, LoongArch_INS_BYTEPICK_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* bytepick.w $rd, $rj, $rk, $imm2 */ + LoongArch_BYTEPICK_W /* 476 */, LoongArch_INS_BYTEPICK_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3RI2, CS_AC_INVALID }} + + #endif +}, +{ + /* cacop $op, $rj, $imm12 */ + LoongArch_CACOP /* 477 */, LoongArch_INS_CACOP, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTCACOP, CS_AC_INVALID }} + + #endif +}, +{ + /* clo.d $rd, $rj */ + LoongArch_CLO_D /* 478 */, LoongArch_INS_CLO_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* clo.w $rd, $rj */ + LoongArch_CLO_W /* 479 */, LoongArch_INS_CLO_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* clz.d $rd, $rj */ + LoongArch_CLZ_D /* 480 */, LoongArch_INS_CLZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* clz.w $rd, $rj */ + LoongArch_CLZ_W /* 481 */, LoongArch_INS_CLZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* cpucfg $rd, $rj */ + LoongArch_CPUCFG /* 482 */, LoongArch_INS_CPUCFG, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* crcc.w.b.w $rd, $rj, $rk */ + LoongArch_CRCC_W_B_W /* 483 */, LoongArch_INS_CRCC_W_B_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* crcc.w.d.w $rd, $rj, $rk */ + LoongArch_CRCC_W_D_W /* 484 */, LoongArch_INS_CRCC_W_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* crcc.w.h.w $rd, $rj, $rk */ + LoongArch_CRCC_W_H_W /* 485 */, LoongArch_INS_CRCC_W_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* crcc.w.w.w $rd, $rj, $rk */ + LoongArch_CRCC_W_W_W /* 486 */, LoongArch_INS_CRCC_W_W_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* crc.w.b.w $rd, $rj, $rk */ + LoongArch_CRC_W_B_W /* 487 */, LoongArch_INS_CRC_W_B_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* crc.w.d.w $rd, $rj, $rk */ + LoongArch_CRC_W_D_W /* 488 */, LoongArch_INS_CRC_W_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* crc.w.h.w $rd, $rj, $rk */ + LoongArch_CRC_W_H_W /* 489 */, LoongArch_INS_CRC_W_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* crc.w.w.w $rd, $rj, $rk */ + LoongArch_CRC_W_W_W /* 490 */, LoongArch_INS_CRC_W_W_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* csrrd $rd, $csr_num */ + LoongArch_CSRRD /* 491 */, LoongArch_INS_CSRRD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTCSR, CS_AC_INVALID }} + + #endif +}, +{ + /* csrwr $rd, $csr_num */ + LoongArch_CSRWR /* 492 */, LoongArch_INS_CSRWR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTCSR, CS_AC_INVALID }} + + #endif +}, +{ + /* csrxchg $rd, $rj, $csr_num */ + LoongArch_CSRXCHG /* 493 */, LoongArch_INS_CSRXCHG, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTCSRXCHG, CS_AC_INVALID }} + + #endif +}, +{ + /* cto.d $rd, $rj */ + LoongArch_CTO_D /* 494 */, LoongArch_INS_CTO_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* cto.w $rd, $rj */ + LoongArch_CTO_W /* 495 */, LoongArch_INS_CTO_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ctz.d $rd, $rj */ + LoongArch_CTZ_D /* 496 */, LoongArch_INS_CTZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ctz.w $rd, $rj */ + LoongArch_CTZ_W /* 497 */, LoongArch_INS_CTZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* dbar $imm15 */ + LoongArch_DBAR /* 498 */, LoongArch_INS_DBAR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI15, CS_AC_INVALID }} + + #endif +}, +{ + /* dbcl $imm15 */ + LoongArch_DBCL /* 499 */, LoongArch_INS_DBCL, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI15, CS_AC_INVALID }} + + #endif +}, +{ + /* div.d $rd, $rj, $rk */ + LoongArch_DIV_D /* 500 */, LoongArch_INS_DIV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* div.du $rd, $rj, $rk */ + LoongArch_DIV_DU /* 501 */, LoongArch_INS_DIV_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* div.w $rd, $rj, $rk */ + LoongArch_DIV_W /* 502 */, LoongArch_INS_DIV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* div.wu $rd, $rj, $rk */ + LoongArch_DIV_WU /* 503 */, LoongArch_INS_DIV_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* ertn */ + LoongArch_ERTN /* 504 */, LoongArch_INS_ERTN, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* ext.w.b $rd, $rj */ + LoongArch_EXT_W_B /* 505 */, LoongArch_INS_EXT_W_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ext.w.h $rd, $rj */ + LoongArch_EXT_W_H /* 506 */, LoongArch_INS_EXT_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fabs.d $fd, $fj */ + LoongArch_FABS_D /* 507 */, LoongArch_INS_FABS_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fabs.s $fd, $fj */ + LoongArch_FABS_S /* 508 */, LoongArch_INS_FABS_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fadd.d $fd, $fj, $fk */ + LoongArch_FADD_D /* 509 */, LoongArch_INS_FADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fadd.s $fd, $fj, $fk */ + LoongArch_FADD_S /* 510 */, LoongArch_INS_FADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fclass.d $fd, $fj */ + LoongArch_FCLASS_D /* 511 */, LoongArch_INS_FCLASS_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fclass.s $fd, $fj */ + LoongArch_FCLASS_S /* 512 */, LoongArch_INS_FCLASS_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.caf.d $cd, $fj, $fk */ + LoongArch_FCMP_CAF_D /* 513 */, LoongArch_INS_FCMP_CAF_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.caf.s $cd, $fj, $fk */ + LoongArch_FCMP_CAF_S /* 514 */, LoongArch_INS_FCMP_CAF_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.ceq.d $cd, $fj, $fk */ + LoongArch_FCMP_CEQ_D /* 515 */, LoongArch_INS_FCMP_CEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.ceq.s $cd, $fj, $fk */ + LoongArch_FCMP_CEQ_S /* 516 */, LoongArch_INS_FCMP_CEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cle.d $cd, $fj, $fk */ + LoongArch_FCMP_CLE_D /* 517 */, LoongArch_INS_FCMP_CLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cle.s $cd, $fj, $fk */ + LoongArch_FCMP_CLE_S /* 518 */, LoongArch_INS_FCMP_CLE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.clt.d $cd, $fj, $fk */ + LoongArch_FCMP_CLT_D /* 519 */, LoongArch_INS_FCMP_CLT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.clt.s $cd, $fj, $fk */ + LoongArch_FCMP_CLT_S /* 520 */, LoongArch_INS_FCMP_CLT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cne.d $cd, $fj, $fk */ + LoongArch_FCMP_CNE_D /* 521 */, LoongArch_INS_FCMP_CNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cne.s $cd, $fj, $fk */ + LoongArch_FCMP_CNE_S /* 522 */, LoongArch_INS_FCMP_CNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cor.d $cd, $fj, $fk */ + LoongArch_FCMP_COR_D /* 523 */, LoongArch_INS_FCMP_COR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cor.s $cd, $fj, $fk */ + LoongArch_FCMP_COR_S /* 524 */, LoongArch_INS_FCMP_COR_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cueq.d $cd, $fj, $fk */ + LoongArch_FCMP_CUEQ_D /* 525 */, LoongArch_INS_FCMP_CUEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cueq.s $cd, $fj, $fk */ + LoongArch_FCMP_CUEQ_S /* 526 */, LoongArch_INS_FCMP_CUEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cule.d $cd, $fj, $fk */ + LoongArch_FCMP_CULE_D /* 527 */, LoongArch_INS_FCMP_CULE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cule.s $cd, $fj, $fk */ + LoongArch_FCMP_CULE_S /* 528 */, LoongArch_INS_FCMP_CULE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cult.d $cd, $fj, $fk */ + LoongArch_FCMP_CULT_D /* 529 */, LoongArch_INS_FCMP_CULT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cult.s $cd, $fj, $fk */ + LoongArch_FCMP_CULT_S /* 530 */, LoongArch_INS_FCMP_CULT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cune.d $cd, $fj, $fk */ + LoongArch_FCMP_CUNE_D /* 531 */, LoongArch_INS_FCMP_CUNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cune.s $cd, $fj, $fk */ + LoongArch_FCMP_CUNE_S /* 532 */, LoongArch_INS_FCMP_CUNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cun.d $cd, $fj, $fk */ + LoongArch_FCMP_CUN_D /* 533 */, LoongArch_INS_FCMP_CUN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.cun.s $cd, $fj, $fk */ + LoongArch_FCMP_CUN_S /* 534 */, LoongArch_INS_FCMP_CUN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.saf.d $cd, $fj, $fk */ + LoongArch_FCMP_SAF_D /* 535 */, LoongArch_INS_FCMP_SAF_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.saf.s $cd, $fj, $fk */ + LoongArch_FCMP_SAF_S /* 536 */, LoongArch_INS_FCMP_SAF_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.seq.d $cd, $fj, $fk */ + LoongArch_FCMP_SEQ_D /* 537 */, LoongArch_INS_FCMP_SEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.seq.s $cd, $fj, $fk */ + LoongArch_FCMP_SEQ_S /* 538 */, LoongArch_INS_FCMP_SEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sle.d $cd, $fj, $fk */ + LoongArch_FCMP_SLE_D /* 539 */, LoongArch_INS_FCMP_SLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sle.s $cd, $fj, $fk */ + LoongArch_FCMP_SLE_S /* 540 */, LoongArch_INS_FCMP_SLE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.slt.d $cd, $fj, $fk */ + LoongArch_FCMP_SLT_D /* 541 */, LoongArch_INS_FCMP_SLT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.slt.s $cd, $fj, $fk */ + LoongArch_FCMP_SLT_S /* 542 */, LoongArch_INS_FCMP_SLT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sne.d $cd, $fj, $fk */ + LoongArch_FCMP_SNE_D /* 543 */, LoongArch_INS_FCMP_SNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sne.s $cd, $fj, $fk */ + LoongArch_FCMP_SNE_S /* 544 */, LoongArch_INS_FCMP_SNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sor.d $cd, $fj, $fk */ + LoongArch_FCMP_SOR_D /* 545 */, LoongArch_INS_FCMP_SOR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sor.s $cd, $fj, $fk */ + LoongArch_FCMP_SOR_S /* 546 */, LoongArch_INS_FCMP_SOR_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sueq.d $cd, $fj, $fk */ + LoongArch_FCMP_SUEQ_D /* 547 */, LoongArch_INS_FCMP_SUEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sueq.s $cd, $fj, $fk */ + LoongArch_FCMP_SUEQ_S /* 548 */, LoongArch_INS_FCMP_SUEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sule.d $cd, $fj, $fk */ + LoongArch_FCMP_SULE_D /* 549 */, LoongArch_INS_FCMP_SULE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sule.s $cd, $fj, $fk */ + LoongArch_FCMP_SULE_S /* 550 */, LoongArch_INS_FCMP_SULE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sult.d $cd, $fj, $fk */ + LoongArch_FCMP_SULT_D /* 551 */, LoongArch_INS_FCMP_SULT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sult.s $cd, $fj, $fk */ + LoongArch_FCMP_SULT_S /* 552 */, LoongArch_INS_FCMP_SULT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sune.d $cd, $fj, $fk */ + LoongArch_FCMP_SUNE_D /* 553 */, LoongArch_INS_FCMP_SUNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sune.s $cd, $fj, $fk */ + LoongArch_FCMP_SUNE_S /* 554 */, LoongArch_INS_FCMP_SUNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sun.d $cd, $fj, $fk */ + LoongArch_FCMP_SUN_D /* 555 */, LoongArch_INS_FCMP_SUN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.sun.s $cd, $fj, $fk */ + LoongArch_FCMP_SUN_S /* 556 */, LoongArch_INS_FCMP_SUN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFCMP, CS_AC_INVALID }} + + #endif +}, +{ + /* fcopysign.d $fd, $fj, $fk */ + LoongArch_FCOPYSIGN_D /* 557 */, LoongArch_INS_FCOPYSIGN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fcopysign.s $fd, $fj, $fk */ + LoongArch_FCOPYSIGN_S /* 558 */, LoongArch_INS_FCOPYSIGN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fcvt.d.ld $fd, $fj, $fk */ + LoongArch_FCVT_D_LD /* 559 */, LoongArch_INS_FCVT_D_LD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fcvt.d.s $fd, $fj */ + LoongArch_FCVT_D_S /* 560 */, LoongArch_INS_FCVT_D_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fcvt.ld.d $fd, $fj */ + LoongArch_FCVT_LD_D /* 561 */, LoongArch_INS_FCVT_LD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fcvt.s.d $fd, $fj */ + LoongArch_FCVT_S_D /* 562 */, LoongArch_INS_FCVT_S_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fcvt.ud.d $fd, $fj */ + LoongArch_FCVT_UD_D /* 563 */, LoongArch_INS_FCVT_UD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fdiv.d $fd, $fj, $fk */ + LoongArch_FDIV_D /* 564 */, LoongArch_INS_FDIV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fdiv.s $fd, $fj, $fk */ + LoongArch_FDIV_S /* 565 */, LoongArch_INS_FDIV_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* ffint.d.l $fd, $fj */ + LoongArch_FFINT_D_L /* 566 */, LoongArch_INS_FFINT_D_L, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ffint.d.w $fd, $fj */ + LoongArch_FFINT_D_W /* 567 */, LoongArch_INS_FFINT_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ffint.s.l $fd, $fj */ + LoongArch_FFINT_S_L /* 568 */, LoongArch_INS_FFINT_S_L, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ffint.s.w $fd, $fj */ + LoongArch_FFINT_S_W /* 569 */, LoongArch_INS_FFINT_S_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fldgt.d $fd, $rj, $rk */ + LoongArch_FLDGT_D /* 570 */, LoongArch_INS_FLDGT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_READ }} + + #endif +}, +{ + /* fldgt.s $fd, $rj, $rk */ + LoongArch_FLDGT_S /* 571 */, LoongArch_INS_FLDGT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_READ }} + + #endif +}, +{ + /* fldle.d $fd, $rj, $rk */ + LoongArch_FLDLE_D /* 572 */, LoongArch_INS_FLDLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_READ }} + + #endif +}, +{ + /* fldle.s $fd, $rj, $rk */ + LoongArch_FLDLE_S /* 573 */, LoongArch_INS_FLDLE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_READ }} + + #endif +}, +{ + /* fldx.d $fd, $rj, $rk */ + LoongArch_FLDX_D /* 574 */, LoongArch_INS_FLDX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_READ }} + + #endif +}, +{ + /* fldx.s $fd, $rj, $rk */ + LoongArch_FLDX_S /* 575 */, LoongArch_INS_FLDX_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_READ }} + + #endif +}, +{ + /* fld.d $fd, $rj, $imm12 */ + LoongArch_FLD_D /* 576 */, LoongArch_INS_FLD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* fld.s $fd, $rj, $imm12 */ + LoongArch_FLD_S /* 577 */, LoongArch_INS_FLD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* flogb.d $fd, $fj */ + LoongArch_FLOGB_D /* 578 */, LoongArch_INS_FLOGB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* flogb.s $fd, $fj */ + LoongArch_FLOGB_S /* 579 */, LoongArch_INS_FLOGB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmadd.d $fd, $fj, $fk, $fa */ + LoongArch_FMADD_D /* 580 */, LoongArch_INS_FMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT4R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmadd.s $fd, $fj, $fk, $fa */ + LoongArch_FMADD_S /* 581 */, LoongArch_INS_FMADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT4R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmaxa.d $fd, $fj, $fk */ + LoongArch_FMAXA_D /* 582 */, LoongArch_INS_FMAXA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmaxa.s $fd, $fj, $fk */ + LoongArch_FMAXA_S /* 583 */, LoongArch_INS_FMAXA_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmax.d $fd, $fj, $fk */ + LoongArch_FMAX_D /* 584 */, LoongArch_INS_FMAX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmax.s $fd, $fj, $fk */ + LoongArch_FMAX_S /* 585 */, LoongArch_INS_FMAX_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmina.d $fd, $fj, $fk */ + LoongArch_FMINA_D /* 586 */, LoongArch_INS_FMINA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmina.s $fd, $fj, $fk */ + LoongArch_FMINA_S /* 587 */, LoongArch_INS_FMINA_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmin.d $fd, $fj, $fk */ + LoongArch_FMIN_D /* 588 */, LoongArch_INS_FMIN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmin.s $fd, $fj, $fk */ + LoongArch_FMIN_S /* 589 */, LoongArch_INS_FMIN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmov.d $dst, $src */ + LoongArch_FMOV_D /* 590 */, LoongArch_INS_FMOV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* fmov.s $dst, $src */ + LoongArch_FMOV_S /* 591 */, LoongArch_INS_FMOV_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* fmsub.d $fd, $fj, $fk, $fa */ + LoongArch_FMSUB_D /* 592 */, LoongArch_INS_FMSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT4R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmsub.s $fd, $fj, $fk, $fa */ + LoongArch_FMSUB_S /* 593 */, LoongArch_INS_FMSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT4R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmul.d $fd, $fj, $fk */ + LoongArch_FMUL_D /* 594 */, LoongArch_INS_FMUL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fmul.s $fd, $fj, $fk */ + LoongArch_FMUL_S /* 595 */, LoongArch_INS_FMUL_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fneg.d $fd, $fj */ + LoongArch_FNEG_D /* 596 */, LoongArch_INS_FNEG_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fneg.s $fd, $fj */ + LoongArch_FNEG_S /* 597 */, LoongArch_INS_FNEG_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fnmadd.d $fd, $fj, $fk, $fa */ + LoongArch_FNMADD_D /* 598 */, LoongArch_INS_FNMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT4R, CS_AC_INVALID }} + + #endif +}, +{ + /* fnmadd.s $fd, $fj, $fk, $fa */ + LoongArch_FNMADD_S /* 599 */, LoongArch_INS_FNMADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT4R, CS_AC_INVALID }} + + #endif +}, +{ + /* fnmsub.d $fd, $fj, $fk, $fa */ + LoongArch_FNMSUB_D /* 600 */, LoongArch_INS_FNMSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT4R, CS_AC_INVALID }} + + #endif +}, +{ + /* fnmsub.s $fd, $fj, $fk, $fa */ + LoongArch_FNMSUB_S /* 601 */, LoongArch_INS_FNMSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT4R, CS_AC_INVALID }} + + #endif +}, +{ + /* frecipe.d $fd, $fj */ + LoongArch_FRECIPE_D /* 602 */, LoongArch_INS_FRECIPE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frecipe.s $fd, $fj */ + LoongArch_FRECIPE_S /* 603 */, LoongArch_INS_FRECIPE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frecip.d $fd, $fj */ + LoongArch_FRECIP_D /* 604 */, LoongArch_INS_FRECIP_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frecip.s $fd, $fj */ + LoongArch_FRECIP_S /* 605 */, LoongArch_INS_FRECIP_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frint.d $fd, $fj */ + LoongArch_FRINT_D /* 606 */, LoongArch_INS_FRINT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frint.s $fd, $fj */ + LoongArch_FRINT_S /* 607 */, LoongArch_INS_FRINT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frsqrte.d $fd, $fj */ + LoongArch_FRSQRTE_D /* 608 */, LoongArch_INS_FRSQRTE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frsqrte.s $fd, $fj */ + LoongArch_FRSQRTE_S /* 609 */, LoongArch_INS_FRSQRTE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frsqrt.d $fd, $fj */ + LoongArch_FRSQRT_D /* 610 */, LoongArch_INS_FRSQRT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* frsqrt.s $fd, $fj */ + LoongArch_FRSQRT_S /* 611 */, LoongArch_INS_FRSQRT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fscaleb.d $fd, $fj, $fk */ + LoongArch_FSCALEB_D /* 612 */, LoongArch_INS_FSCALEB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fscaleb.s $fd, $fj, $fk */ + LoongArch_FSCALEB_S /* 613 */, LoongArch_INS_FSCALEB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fsel $fd, $fj, $fk, $ca */ + LoongArch_FSEL_xD /* 614 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* fsel $fd, $fj, $fk, $ca */ + LoongArch_FSEL_xS /* 615 */, LoongArch_INS_FSEL, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTFSEL, CS_AC_INVALID }} + + #endif +}, +{ + /* fsqrt.d $fd, $fj */ + LoongArch_FSQRT_D /* 616 */, LoongArch_INS_FSQRT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fsqrt.s $fd, $fj */ + LoongArch_FSQRT_S /* 617 */, LoongArch_INS_FSQRT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fstgt.d $fd, $rj, $rk */ + LoongArch_FSTGT_D /* 618 */, LoongArch_INS_FSTGT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_WRITE }} + + #endif +}, +{ + /* fstgt.s $fd, $rj, $rk */ + LoongArch_FSTGT_S /* 619 */, LoongArch_INS_FSTGT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_WRITE }} + + #endif +}, +{ + /* fstle.d $fd, $rj, $rk */ + LoongArch_FSTLE_D /* 620 */, LoongArch_INS_FSTLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_WRITE }} + + #endif +}, +{ + /* fstle.s $fd, $rj, $rk */ + LoongArch_FSTLE_S /* 621 */, LoongArch_INS_FSTLE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_WRITE }} + + #endif +}, +{ + /* fstx.d $fd, $rj, $rk */ + LoongArch_FSTX_D /* 622 */, LoongArch_INS_FSTX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_WRITE }} + + #endif +}, +{ + /* fstx.s $fd, $rj, $rk */ + LoongArch_FSTX_S /* 623 */, LoongArch_INS_FSTX_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMEM, CS_AC_WRITE }} + + #endif +}, +{ + /* fst.d $fd, $rj, $imm12 */ + LoongArch_FST_D /* 624 */, LoongArch_INS_FST_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* fst.s $fd, $rj, $imm12 */ + LoongArch_FST_S /* 625 */, LoongArch_INS_FST_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* fsub.d $fd, $fj, $fk */ + LoongArch_FSUB_D /* 626 */, LoongArch_INS_FSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* fsub.s $fd, $fj, $fk */ + LoongArch_FSUB_S /* 627 */, LoongArch_INS_FSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrm.l.d $fd, $fj */ + LoongArch_FTINTRM_L_D /* 628 */, LoongArch_INS_FTINTRM_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrm.l.s $fd, $fj */ + LoongArch_FTINTRM_L_S /* 629 */, LoongArch_INS_FTINTRM_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrm.w.d $fd, $fj */ + LoongArch_FTINTRM_W_D /* 630 */, LoongArch_INS_FTINTRM_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrm.w.s $fd, $fj */ + LoongArch_FTINTRM_W_S /* 631 */, LoongArch_INS_FTINTRM_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrne.l.d $fd, $fj */ + LoongArch_FTINTRNE_L_D /* 632 */, LoongArch_INS_FTINTRNE_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrne.l.s $fd, $fj */ + LoongArch_FTINTRNE_L_S /* 633 */, LoongArch_INS_FTINTRNE_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrne.w.d $fd, $fj */ + LoongArch_FTINTRNE_W_D /* 634 */, LoongArch_INS_FTINTRNE_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrne.w.s $fd, $fj */ + LoongArch_FTINTRNE_W_S /* 635 */, LoongArch_INS_FTINTRNE_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrp.l.d $fd, $fj */ + LoongArch_FTINTRP_L_D /* 636 */, LoongArch_INS_FTINTRP_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrp.l.s $fd, $fj */ + LoongArch_FTINTRP_L_S /* 637 */, LoongArch_INS_FTINTRP_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrp.w.d $fd, $fj */ + LoongArch_FTINTRP_W_D /* 638 */, LoongArch_INS_FTINTRP_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrp.w.s $fd, $fj */ + LoongArch_FTINTRP_W_S /* 639 */, LoongArch_INS_FTINTRP_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrz.l.d $fd, $fj */ + LoongArch_FTINTRZ_L_D /* 640 */, LoongArch_INS_FTINTRZ_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrz.l.s $fd, $fj */ + LoongArch_FTINTRZ_L_S /* 641 */, LoongArch_INS_FTINTRZ_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrz.w.d $fd, $fj */ + LoongArch_FTINTRZ_W_D /* 642 */, LoongArch_INS_FTINTRZ_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftintrz.w.s $fd, $fj */ + LoongArch_FTINTRZ_W_S /* 643 */, LoongArch_INS_FTINTRZ_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftint.l.d $fd, $fj */ + LoongArch_FTINT_L_D /* 644 */, LoongArch_INS_FTINT_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftint.l.s $fd, $fj */ + LoongArch_FTINT_L_S /* 645 */, LoongArch_INS_FTINT_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftint.w.d $fd, $fj */ + LoongArch_FTINT_W_D /* 646 */, LoongArch_INS_FTINT_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* ftint.w.s $fd, $fj */ + LoongArch_FTINT_W_S /* 647 */, LoongArch_INS_FTINT_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* gcsrrd $rd, $csr_num */ + LoongArch_GCSRRD /* 648 */, LoongArch_INS_GCSRRD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTCSR, CS_AC_INVALID }} + + #endif +}, +{ + /* gcsrwr $rd, $csr_num */ + LoongArch_GCSRWR /* 649 */, LoongArch_INS_GCSRWR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTCSR, CS_AC_INVALID }} + + #endif +}, +{ + /* gcsrxchg $rd, $rj, $csr_num */ + LoongArch_GCSRXCHG /* 650 */, LoongArch_INS_GCSRXCHG, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTCSRXCHG, CS_AC_INVALID }} + + #endif +}, +{ + /* gtlbflush */ + LoongArch_GTLBFLUSH /* 651 */, LoongArch_INS_GTLBFLUSH, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* hvcl $imm15 */ + LoongArch_HVCL /* 652 */, LoongArch_INS_HVCL, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI15, CS_AC_INVALID }} + + #endif +}, +{ + /* ibar $imm15 */ + LoongArch_IBAR /* 653 */, LoongArch_INS_IBAR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI15, CS_AC_INVALID }} + + #endif +}, +{ + /* idle $imm15 */ + LoongArch_IDLE /* 654 */, LoongArch_INS_IDLE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI15, CS_AC_INVALID }} + + #endif +}, +{ + /* invtlb $op, $rj, $rk */ + LoongArch_INVTLB /* 655 */, LoongArch_INS_INVTLB, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTINVTLB, CS_AC_INVALID }} + + #endif +}, +{ + /* iocsrrd.b $rd, $rj */ + LoongArch_IOCSRRD_B /* 656 */, LoongArch_INS_IOCSRRD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* iocsrrd.d $rd, $rj */ + LoongArch_IOCSRRD_D /* 657 */, LoongArch_INS_IOCSRRD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* iocsrrd.h $rd, $rj */ + LoongArch_IOCSRRD_H /* 658 */, LoongArch_INS_IOCSRRD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* iocsrrd.w $rd, $rj */ + LoongArch_IOCSRRD_W /* 659 */, LoongArch_INS_IOCSRRD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* iocsrwr.b $rd, $rj */ + LoongArch_IOCSRWR_B /* 660 */, LoongArch_INS_IOCSRWR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* iocsrwr.d $rd, $rj */ + LoongArch_IOCSRWR_D /* 661 */, LoongArch_INS_IOCSRWR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* iocsrwr.h $rd, $rj */ + LoongArch_IOCSRWR_H /* 662 */, LoongArch_INS_IOCSRWR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* iocsrwr.w $rd, $rj */ + LoongArch_IOCSRWR_W /* 663 */, LoongArch_INS_IOCSRWR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* jirl $rd, $rj, $imm16 */ + LoongArch_JIRL /* 664 */, LoongArch_INS_JIRL, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI16, CS_AC_INVALID }} + + #endif +}, +{ + /* jiscr0 $imm21 */ + LoongArch_JISCR0 /* 665 */, LoongArch_INS_JISCR0, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTJISCR, CS_AC_INVALID }} + + #endif +}, +{ + /* jiscr1 $imm21 */ + LoongArch_JISCR1 /* 666 */, LoongArch_INS_JISCR1, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTJISCR, CS_AC_INVALID }} + + #endif +}, +{ + /* lddir $rd, $rj, $imm8 */ + LoongArch_LDDIR /* 667 */, LoongArch_INS_LDDIR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8, CS_AC_INVALID }} + + #endif +}, +{ + /* ldgt.b $rd, $rj, $rk */ + LoongArch_LDGT_B /* 668 */, LoongArch_INS_LDGT_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldgt.d $rd, $rj, $rk */ + LoongArch_LDGT_D /* 669 */, LoongArch_INS_LDGT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldgt.h $rd, $rj, $rk */ + LoongArch_LDGT_H /* 670 */, LoongArch_INS_LDGT_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldgt.w $rd, $rj, $rk */ + LoongArch_LDGT_W /* 671 */, LoongArch_INS_LDGT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldle.b $rd, $rj, $rk */ + LoongArch_LDLE_B /* 672 */, LoongArch_INS_LDLE_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldle.d $rd, $rj, $rk */ + LoongArch_LDLE_D /* 673 */, LoongArch_INS_LDLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldle.h $rd, $rj, $rk */ + LoongArch_LDLE_H /* 674 */, LoongArch_INS_LDLE_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldle.w $rd, $rj, $rk */ + LoongArch_LDLE_W /* 675 */, LoongArch_INS_LDLE_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldl.d $rd, $rj, $imm12 */ + LoongArch_LDL_D /* 676 */, LoongArch_INS_LDL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ldl.w $rd, $rj, $imm12 */ + LoongArch_LDL_W /* 677 */, LoongArch_INS_LDL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ldpte $rj, $seq */ + LoongArch_LDPTE /* 678 */, LoongArch_INS_LDPTE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTLDPTE, CS_AC_INVALID }} + + #endif +}, +{ + /* ldptr.d $rd, $rj, $imm14 */ + LoongArch_LDPTR_D /* 679 */, LoongArch_INS_LDPTR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI14, CS_AC_READ }} + + #endif +}, +{ + /* ldptr.w $rd, $rj, $imm14 */ + LoongArch_LDPTR_W /* 680 */, LoongArch_INS_LDPTR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI14, CS_AC_READ }} + + #endif +}, +{ + /* ldr.d $rd, $rj, $imm12 */ + LoongArch_LDR_D /* 681 */, LoongArch_INS_LDR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ldr.w $rd, $rj, $imm12 */ + LoongArch_LDR_W /* 682 */, LoongArch_INS_LDR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ldx.b $rd, $rj, $rk */ + LoongArch_LDX_B /* 683 */, LoongArch_INS_LDX_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldx.bu $rd, $rj, $rk */ + LoongArch_LDX_BU /* 684 */, LoongArch_INS_LDX_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldx.d $rd, $rj, $rk */ + LoongArch_LDX_D /* 685 */, LoongArch_INS_LDX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldx.h $rd, $rj, $rk */ + LoongArch_LDX_H /* 686 */, LoongArch_INS_LDX_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldx.hu $rd, $rj, $rk */ + LoongArch_LDX_HU /* 687 */, LoongArch_INS_LDX_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldx.w $rd, $rj, $rk */ + LoongArch_LDX_W /* 688 */, LoongArch_INS_LDX_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ldx.wu $rd, $rj, $rk */ + LoongArch_LDX_WU /* 689 */, LoongArch_INS_LDX_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_READ }} + + #endif +}, +{ + /* ld.b $rd, $rj, $imm12 */ + LoongArch_LD_B /* 690 */, LoongArch_INS_LD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ld.bu $rd, $rj, $imm12 */ + LoongArch_LD_BU /* 691 */, LoongArch_INS_LD_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ld.d $rd, $rj, $imm12 */ + LoongArch_LD_D /* 692 */, LoongArch_INS_LD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ld.h $rd, $rj, $imm12 */ + LoongArch_LD_H /* 693 */, LoongArch_INS_LD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ld.hu $rd, $rj, $imm12 */ + LoongArch_LD_HU /* 694 */, LoongArch_INS_LD_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ld.w $rd, $rj, $imm12 */ + LoongArch_LD_W /* 695 */, LoongArch_INS_LD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* ld.wu $rd, $rj, $imm12 */ + LoongArch_LD_WU /* 696 */, LoongArch_INS_LD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_READ }} + + #endif +}, +{ + /* llacq.d $rd, $rj */ + LoongArch_LLACQ_D /* 697 */, LoongArch_INS_LLACQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_READ }} + + #endif +}, +{ + /* llacq.w $rd, $rj */ + LoongArch_LLACQ_W /* 698 */, LoongArch_INS_LLACQ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_READ }} + + #endif +}, +{ + /* ll.d $rd, $rj, $imm14 */ + LoongArch_LL_D /* 699 */, LoongArch_INS_LL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI14, CS_AC_READ }} + + #endif +}, +{ + /* ll.w $rd, $rj, $imm14 */ + LoongArch_LL_W /* 700 */, LoongArch_INS_LL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI14, CS_AC_READ }} + + #endif +}, +{ + /* lu12i.w $rd, $imm20 */ + LoongArch_LU12I_W /* 701 */, LoongArch_INS_LU12I_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI20, CS_AC_INVALID }} + + #endif +}, +{ + /* lu32i.d $rd, $imm20 */ + LoongArch_LU32I_D /* 702 */, LoongArch_INS_LU32I_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI20, CS_AC_INVALID }} + + #endif +}, +{ + /* lu52i.d $rd, $rj, $imm12 */ + LoongArch_LU52I_D /* 703 */, LoongArch_INS_LU52I_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_INVALID }} + + #endif +}, +{ + /* maskeqz $rd, $rj, $rk */ + LoongArch_MASKEQZ /* 704 */, LoongArch_INS_MASKEQZ, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* masknez $rd, $rj, $rk */ + LoongArch_MASKNEZ /* 705 */, LoongArch_INS_MASKNEZ, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mod.d $rd, $rj, $rk */ + LoongArch_MOD_D /* 706 */, LoongArch_INS_MOD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mod.du $rd, $rj, $rk */ + LoongArch_MOD_DU /* 707 */, LoongArch_INS_MOD_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mod.w $rd, $rj, $rk */ + LoongArch_MOD_W /* 708 */, LoongArch_INS_MOD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mod.wu $rd, $rj, $rk */ + LoongArch_MOD_WU /* 709 */, LoongArch_INS_MOD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* movcf2fr $dst, $src */ + LoongArch_MOVCF2FR_xS /* 710 */, LoongArch_INS_MOVCF2FR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movcf2gr $dst, $src */ + LoongArch_MOVCF2GR /* 711 */, LoongArch_INS_MOVCF2GR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movfcsr2gr $dst, $src */ + LoongArch_MOVFCSR2GR /* 712 */, LoongArch_INS_MOVFCSR2GR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movfr2cf $dst, $src */ + LoongArch_MOVFR2CF_xS /* 713 */, LoongArch_INS_MOVFR2CF, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movfr2gr.d $dst, $src */ + LoongArch_MOVFR2GR_D /* 714 */, LoongArch_INS_MOVFR2GR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movfr2gr.s $dst, $src */ + LoongArch_MOVFR2GR_S /* 715 */, LoongArch_INS_MOVFR2GR_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movfr2gr.s $dst, $src */ + LoongArch_MOVFR2GR_S_64 /* 716 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* movfrh2gr.s $dst, $src */ + LoongArch_MOVFRH2GR_S /* 717 */, LoongArch_INS_MOVFRH2GR_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movgr2cf $dst, $src */ + LoongArch_MOVGR2CF /* 718 */, LoongArch_INS_MOVGR2CF, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movgr2fcsr $dst, $src */ + LoongArch_MOVGR2FCSR /* 719 */, LoongArch_INS_MOVGR2FCSR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movgr2frh.w $dst, $src */ + LoongArch_MOVGR2FRH_W /* 720 */, LoongArch_INS_MOVGR2FRH_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movgr2fr.d $dst, $src */ + LoongArch_MOVGR2FR_D /* 721 */, LoongArch_INS_MOVGR2FR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movgr2fr.w $dst, $src */ + LoongArch_MOVGR2FR_W /* 722 */, LoongArch_INS_MOVGR2FR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FPFMTMOV, CS_AC_INVALID }} + + #endif +}, +{ + /* movgr2fr.w $dst, $src */ + LoongArch_MOVGR2FR_W_64 /* 723 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* movgr2scr $sd, $rj */ + LoongArch_MOVGR2SCR /* 724 */, LoongArch_INS_MOVGR2SCR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTGR2SCR, CS_AC_INVALID }} + + #endif +}, +{ + /* movscr2gr $rd, $sj */ + LoongArch_MOVSCR2GR /* 725 */, LoongArch_INS_MOVSCR2GR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTSCR2GR, CS_AC_INVALID }} + + #endif +}, +{ + /* mulh.d $rd, $rj, $rk */ + LoongArch_MULH_D /* 726 */, LoongArch_INS_MULH_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mulh.du $rd, $rj, $rk */ + LoongArch_MULH_DU /* 727 */, LoongArch_INS_MULH_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mulh.w $rd, $rj, $rk */ + LoongArch_MULH_W /* 728 */, LoongArch_INS_MULH_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mulh.wu $rd, $rj, $rk */ + LoongArch_MULH_WU /* 729 */, LoongArch_INS_MULH_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mulw.d.w $rd, $rj, $rk */ + LoongArch_MULW_D_W /* 730 */, LoongArch_INS_MULW_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mulw.d.wu $rd, $rj, $rk */ + LoongArch_MULW_D_WU /* 731 */, LoongArch_INS_MULW_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mul.d $rd, $rj, $rk */ + LoongArch_MUL_D /* 732 */, LoongArch_INS_MUL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* mul.w $rd, $rj, $rk */ + LoongArch_MUL_W /* 733 */, LoongArch_INS_MUL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* nor $rd, $rj, $rk */ + LoongArch_NOR /* 734 */, LoongArch_INS_NOR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* or $rd, $rj, $rk */ + LoongArch_OR /* 735 */, LoongArch_INS_OR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* ori $rd, $rj, $imm12 */ + LoongArch_ORI /* 736 */, LoongArch_INS_ORI, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_INVALID }} + + #endif +}, +{ + /* orn $rd, $rj, $rk */ + LoongArch_ORN /* 737 */, LoongArch_INS_ORN, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* pcaddi $rd, $imm20 */ + LoongArch_PCADDI /* 738 */, LoongArch_INS_PCADDI, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI20, CS_AC_INVALID }} + + #endif +}, +{ + /* pcaddu12i $rd, $imm20 */ + LoongArch_PCADDU12I /* 739 */, LoongArch_INS_PCADDU12I, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI20, CS_AC_INVALID }} + + #endif +}, +{ + /* pcaddu18i $rd, $imm20 */ + LoongArch_PCADDU18I /* 740 */, LoongArch_INS_PCADDU18I, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI20, CS_AC_INVALID }} + + #endif +}, +{ + /* pcalau12i $rd, $imm20 */ + LoongArch_PCALAU12I /* 741 */, LoongArch_INS_PCALAU12I, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI20, CS_AC_INVALID }} + + #endif +}, +{ + /* preld $imm5, $rj, $imm12 */ + LoongArch_PRELD /* 742 */, LoongArch_INS_PRELD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTPRELD, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* preldx $imm5, $rj, $rk */ + LoongArch_PRELDX /* 743 */, LoongArch_INS_PRELDX, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTPRELDX, CS_AC_READ_WRITE }} + + #endif +}, +{ + /* rcri.b $rd, $rj, $imm3 */ + LoongArch_RCRI_B /* 744 */, LoongArch_INS_RCRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* rcri.d $rd, $rj, $imm6 */ + LoongArch_RCRI_D /* 745 */, LoongArch_INS_RCRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* rcri.h $rd, $rj, $imm4 */ + LoongArch_RCRI_H /* 746 */, LoongArch_INS_RCRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* rcri.w $rd, $rj, $imm5 */ + LoongArch_RCRI_W /* 747 */, LoongArch_INS_RCRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* rcr.b $rd, $rj, $rk */ + LoongArch_RCR_B /* 748 */, LoongArch_INS_RCR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* rcr.d $rd, $rj, $rk */ + LoongArch_RCR_D /* 749 */, LoongArch_INS_RCR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* rcr.h $rd, $rj, $rk */ + LoongArch_RCR_H /* 750 */, LoongArch_INS_RCR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* rcr.w $rd, $rj, $rk */ + LoongArch_RCR_W /* 751 */, LoongArch_INS_RCR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* rdtimeh.w $rd, $rj */ + LoongArch_RDTIMEH_W /* 752 */, LoongArch_INS_RDTIMEH_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* rdtimel.w $rd, $rj */ + LoongArch_RDTIMEL_W /* 753 */, LoongArch_INS_RDTIMEL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* rdtime.d $rd, $rj */ + LoongArch_RDTIME_D /* 754 */, LoongArch_INS_RDTIME_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* revb.2h $rd, $rj */ + LoongArch_REVB_2H /* 755 */, LoongArch_INS_REVB_2H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* revb.2w $rd, $rj */ + LoongArch_REVB_2W /* 756 */, LoongArch_INS_REVB_2W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* revb.4h $rd, $rj */ + LoongArch_REVB_4H /* 757 */, LoongArch_INS_REVB_4H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* revb.d $rd, $rj */ + LoongArch_REVB_D /* 758 */, LoongArch_INS_REVB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* revh.2w $rd, $rj */ + LoongArch_REVH_2W /* 759 */, LoongArch_INS_REVH_2W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* revh.d $rd, $rj */ + LoongArch_REVH_D /* 760 */, LoongArch_INS_REVH_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* rotri.b $rd, $rj, $imm3 */ + LoongArch_ROTRI_B /* 761 */, LoongArch_INS_ROTRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* rotri.d $rd, $rj, $imm6 */ + LoongArch_ROTRI_D /* 762 */, LoongArch_INS_ROTRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* rotri.h $rd, $rj, $imm4 */ + LoongArch_ROTRI_H /* 763 */, LoongArch_INS_ROTRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* rotri.w $rd, $rj, $imm5 */ + LoongArch_ROTRI_W /* 764 */, LoongArch_INS_ROTRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* rotr.b $rd, $rj, $rk */ + LoongArch_ROTR_B /* 765 */, LoongArch_INS_ROTR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* rotr.d $rd, $rj, $rk */ + LoongArch_ROTR_D /* 766 */, LoongArch_INS_ROTR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* rotr.h $rd, $rj, $rk */ + LoongArch_ROTR_H /* 767 */, LoongArch_INS_ROTR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* rotr.w $rd, $rj, $rk */ + LoongArch_ROTR_W /* 768 */, LoongArch_INS_ROTR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* sbc.b $rd, $rj, $rk */ + LoongArch_SBC_B /* 769 */, LoongArch_INS_SBC_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* sbc.d $rd, $rj, $rk */ + LoongArch_SBC_D /* 770 */, LoongArch_INS_SBC_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* sbc.h $rd, $rj, $rk */ + LoongArch_SBC_H /* 771 */, LoongArch_INS_SBC_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* sbc.w $rd, $rj, $rk */ + LoongArch_SBC_W /* 772 */, LoongArch_INS_SBC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* screl.d $rd, $rj */ + LoongArch_SCREL_D /* 773 */, LoongArch_INS_SCREL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_WRITE }} + + #endif +}, +{ + /* screl.w $rd, $rj */ + LoongArch_SCREL_W /* 774 */, LoongArch_INS_SCREL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_WRITE }} + + #endif +}, +{ + /* sc.d $rd, $rj, $imm14 */ + LoongArch_SC_D /* 775 */, LoongArch_INS_SC_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI14, CS_AC_WRITE }} + + #endif +}, +{ + /* sc.q $rd, $rk, $rj */ + LoongArch_SC_Q /* 776 */, LoongArch_INS_SC_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* sc.w $rd, $rj, $imm14 */ + LoongArch_SC_W /* 777 */, LoongArch_INS_SC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI14, CS_AC_WRITE }} + + #endif +}, +{ + /* setarmj $rd, $imm4 */ + LoongArch_SETARMJ /* 778 */, LoongArch_INS_SETARMJ, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* setx86j $rd, $imm4 */ + LoongArch_SETX86J /* 779 */, LoongArch_INS_SETX86J, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* setx86loope $rd, $rj */ + LoongArch_SETX86LOOPE /* 780 */, LoongArch_INS_SETX86LOOPE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* setx86loopne $rd, $rj */ + LoongArch_SETX86LOOPNE /* 781 */, LoongArch_INS_SETX86LOOPNE, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* fcmp.caf.s $cd, $$fa0, $$fa0 */ + LoongArch_SET_CFR_FALSE /* 782 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* fcmp.cueq.s $cd, $$fa0, $$fa0 */ + LoongArch_SET_CFR_TRUE /* 783 */, LoongArch_INS_INVALID, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, {{ 0 }} + #endif +}, +{ + /* slli.d $rd, $rj, $imm6 */ + LoongArch_SLLI_D /* 784 */, LoongArch_INS_SLLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* slli.w $rd, $rj, $imm5 */ + LoongArch_SLLI_W /* 785 */, LoongArch_INS_SLLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* sll.d $rd, $rj, $rk */ + LoongArch_SLL_D /* 786 */, LoongArch_INS_SLL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* sll.w $rd, $rj, $rk */ + LoongArch_SLL_W /* 787 */, LoongArch_INS_SLL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* slt $rd, $rj, $rk */ + LoongArch_SLT /* 788 */, LoongArch_INS_SLT, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* slti $rd, $rj, $imm12 */ + LoongArch_SLTI /* 789 */, LoongArch_INS_SLTI, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_INVALID }} + + #endif +}, +{ + /* sltu $rd, $rj, $rk */ + LoongArch_SLTU /* 790 */, LoongArch_INS_SLTU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* sltui $rd, $rj, $imm12 */ + LoongArch_SLTUI /* 791 */, LoongArch_INS_SLTUI, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_INVALID }} + + #endif +}, +{ + /* srai.d $rd, $rj, $imm6 */ + LoongArch_SRAI_D /* 792 */, LoongArch_INS_SRAI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* srai.w $rd, $rj, $imm5 */ + LoongArch_SRAI_W /* 793 */, LoongArch_INS_SRAI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* sra.d $rd, $rj, $rk */ + LoongArch_SRA_D /* 794 */, LoongArch_INS_SRA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* sra.w $rd, $rj, $rk */ + LoongArch_SRA_W /* 795 */, LoongArch_INS_SRA_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* srli.d $rd, $rj, $imm6 */ + LoongArch_SRLI_D /* 796 */, LoongArch_INS_SRLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* srli.w $rd, $rj, $imm5 */ + LoongArch_SRLI_W /* 797 */, LoongArch_INS_SRLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* srl.d $rd, $rj, $rk */ + LoongArch_SRL_D /* 798 */, LoongArch_INS_SRL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* srl.w $rd, $rj, $rk */ + LoongArch_SRL_W /* 799 */, LoongArch_INS_SRL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* stgt.b $rd, $rj, $rk */ + LoongArch_STGT_B /* 800 */, LoongArch_INS_STGT_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stgt.d $rd, $rj, $rk */ + LoongArch_STGT_D /* 801 */, LoongArch_INS_STGT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stgt.h $rd, $rj, $rk */ + LoongArch_STGT_H /* 802 */, LoongArch_INS_STGT_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stgt.w $rd, $rj, $rk */ + LoongArch_STGT_W /* 803 */, LoongArch_INS_STGT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stle.b $rd, $rj, $rk */ + LoongArch_STLE_B /* 804 */, LoongArch_INS_STLE_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stle.d $rd, $rj, $rk */ + LoongArch_STLE_D /* 805 */, LoongArch_INS_STLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stle.h $rd, $rj, $rk */ + LoongArch_STLE_H /* 806 */, LoongArch_INS_STLE_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stle.w $rd, $rj, $rk */ + LoongArch_STLE_W /* 807 */, LoongArch_INS_STLE_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stl.d $rd, $rj, $imm12 */ + LoongArch_STL_D /* 808 */, LoongArch_INS_STL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* stl.w $rd, $rj, $imm12 */ + LoongArch_STL_W /* 809 */, LoongArch_INS_STL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* stptr.d $rd, $rj, $imm14 */ + LoongArch_STPTR_D /* 810 */, LoongArch_INS_STPTR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI14, CS_AC_WRITE }} + + #endif +}, +{ + /* stptr.w $rd, $rj, $imm14 */ + LoongArch_STPTR_W /* 811 */, LoongArch_INS_STPTR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI14, CS_AC_WRITE }} + + #endif +}, +{ + /* str.d $rd, $rj, $imm12 */ + LoongArch_STR_D /* 812 */, LoongArch_INS_STR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* str.w $rd, $rj, $imm12 */ + LoongArch_STR_W /* 813 */, LoongArch_INS_STR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* stx.b $rd, $rj, $rk */ + LoongArch_STX_B /* 814 */, LoongArch_INS_STX_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stx.d $rd, $rj, $rk */ + LoongArch_STX_D /* 815 */, LoongArch_INS_STX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stx.h $rd, $rj, $rk */ + LoongArch_STX_H /* 816 */, LoongArch_INS_STX_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* stx.w $rd, $rj, $rk */ + LoongArch_STX_W /* 817 */, LoongArch_INS_STX_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_WRITE }} + + #endif +}, +{ + /* st.b $rd, $rj, $imm12 */ + LoongArch_ST_B /* 818 */, LoongArch_INS_ST_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* st.d $rd, $rj, $imm12 */ + LoongArch_ST_D /* 819 */, LoongArch_INS_ST_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* st.h $rd, $rj, $imm12 */ + LoongArch_ST_H /* 820 */, LoongArch_INS_ST_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* st.w $rd, $rj, $imm12 */ + LoongArch_ST_W /* 821 */, LoongArch_INS_ST_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_WRITE }} + + #endif +}, +{ + /* sub.d $rd, $rj, $rk */ + LoongArch_SUB_D /* 822 */, LoongArch_INS_SUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* sub.w $rd, $rj, $rk */ + LoongArch_SUB_W /* 823 */, LoongArch_INS_SUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* syscall $imm15 */ + LoongArch_SYSCALL /* 824 */, LoongArch_INS_SYSCALL, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI15, CS_AC_INVALID }} + + #endif +}, +{ + /* tlbclr */ + LoongArch_TLBCLR /* 825 */, LoongArch_INS_TLBCLR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* tlbfill */ + LoongArch_TLBFILL /* 826 */, LoongArch_INS_TLBFILL, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* tlbflush */ + LoongArch_TLBFLUSH /* 827 */, LoongArch_INS_TLBFLUSH, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* tlbrd */ + LoongArch_TLBRD /* 828 */, LoongArch_INS_TLBRD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* tlbsrch */ + LoongArch_TLBSRCH /* 829 */, LoongArch_INS_TLBSRCH, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* tlbwr */ + LoongArch_TLBWR /* 830 */, LoongArch_INS_TLBWR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* vabsd.b $vd, $vj, $vk */ + LoongArch_VABSD_B /* 831 */, LoongArch_INS_VABSD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vabsd.bu $vd, $vj, $vk */ + LoongArch_VABSD_BU /* 832 */, LoongArch_INS_VABSD_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vabsd.d $vd, $vj, $vk */ + LoongArch_VABSD_D /* 833 */, LoongArch_INS_VABSD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vabsd.du $vd, $vj, $vk */ + LoongArch_VABSD_DU /* 834 */, LoongArch_INS_VABSD_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vabsd.h $vd, $vj, $vk */ + LoongArch_VABSD_H /* 835 */, LoongArch_INS_VABSD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vabsd.hu $vd, $vj, $vk */ + LoongArch_VABSD_HU /* 836 */, LoongArch_INS_VABSD_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vabsd.w $vd, $vj, $vk */ + LoongArch_VABSD_W /* 837 */, LoongArch_INS_VABSD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vabsd.wu $vd, $vj, $vk */ + LoongArch_VABSD_WU /* 838 */, LoongArch_INS_VABSD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadda.b $vd, $vj, $vk */ + LoongArch_VADDA_B /* 839 */, LoongArch_INS_VADDA_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadda.d $vd, $vj, $vk */ + LoongArch_VADDA_D /* 840 */, LoongArch_INS_VADDA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadda.h $vd, $vj, $vk */ + LoongArch_VADDA_H /* 841 */, LoongArch_INS_VADDA_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadda.w $vd, $vj, $vk */ + LoongArch_VADDA_W /* 842 */, LoongArch_INS_VADDA_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddi.bu $vd, $vj, $imm5 */ + LoongArch_VADDI_BU /* 843 */, LoongArch_INS_VADDI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddi.du $vd, $vj, $imm5 */ + LoongArch_VADDI_DU /* 844 */, LoongArch_INS_VADDI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddi.hu $vd, $vj, $imm5 */ + LoongArch_VADDI_HU /* 845 */, LoongArch_INS_VADDI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddi.wu $vd, $vj, $imm5 */ + LoongArch_VADDI_WU /* 846 */, LoongArch_INS_VADDI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.d.w $vd, $vj, $vk */ + LoongArch_VADDWEV_D_W /* 847 */, LoongArch_INS_VADDWEV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.d.wu $vd, $vj, $vk */ + LoongArch_VADDWEV_D_WU /* 848 */, LoongArch_INS_VADDWEV_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.d.wu.w $vd, $vj, $vk */ + LoongArch_VADDWEV_D_WU_W /* 849 */, LoongArch_INS_VADDWEV_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.h.b $vd, $vj, $vk */ + LoongArch_VADDWEV_H_B /* 850 */, LoongArch_INS_VADDWEV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.h.bu $vd, $vj, $vk */ + LoongArch_VADDWEV_H_BU /* 851 */, LoongArch_INS_VADDWEV_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.h.bu.b $vd, $vj, $vk */ + LoongArch_VADDWEV_H_BU_B /* 852 */, LoongArch_INS_VADDWEV_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.q.d $vd, $vj, $vk */ + LoongArch_VADDWEV_Q_D /* 853 */, LoongArch_INS_VADDWEV_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.q.du $vd, $vj, $vk */ + LoongArch_VADDWEV_Q_DU /* 854 */, LoongArch_INS_VADDWEV_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.q.du.d $vd, $vj, $vk */ + LoongArch_VADDWEV_Q_DU_D /* 855 */, LoongArch_INS_VADDWEV_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.w.h $vd, $vj, $vk */ + LoongArch_VADDWEV_W_H /* 856 */, LoongArch_INS_VADDWEV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.w.hu $vd, $vj, $vk */ + LoongArch_VADDWEV_W_HU /* 857 */, LoongArch_INS_VADDWEV_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwev.w.hu.h $vd, $vj, $vk */ + LoongArch_VADDWEV_W_HU_H /* 858 */, LoongArch_INS_VADDWEV_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.d.w $vd, $vj, $vk */ + LoongArch_VADDWOD_D_W /* 859 */, LoongArch_INS_VADDWOD_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.d.wu $vd, $vj, $vk */ + LoongArch_VADDWOD_D_WU /* 860 */, LoongArch_INS_VADDWOD_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.d.wu.w $vd, $vj, $vk */ + LoongArch_VADDWOD_D_WU_W /* 861 */, LoongArch_INS_VADDWOD_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.h.b $vd, $vj, $vk */ + LoongArch_VADDWOD_H_B /* 862 */, LoongArch_INS_VADDWOD_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.h.bu $vd, $vj, $vk */ + LoongArch_VADDWOD_H_BU /* 863 */, LoongArch_INS_VADDWOD_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.h.bu.b $vd, $vj, $vk */ + LoongArch_VADDWOD_H_BU_B /* 864 */, LoongArch_INS_VADDWOD_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.q.d $vd, $vj, $vk */ + LoongArch_VADDWOD_Q_D /* 865 */, LoongArch_INS_VADDWOD_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.q.du $vd, $vj, $vk */ + LoongArch_VADDWOD_Q_DU /* 866 */, LoongArch_INS_VADDWOD_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.q.du.d $vd, $vj, $vk */ + LoongArch_VADDWOD_Q_DU_D /* 867 */, LoongArch_INS_VADDWOD_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.w.h $vd, $vj, $vk */ + LoongArch_VADDWOD_W_H /* 868 */, LoongArch_INS_VADDWOD_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.w.hu $vd, $vj, $vk */ + LoongArch_VADDWOD_W_HU /* 869 */, LoongArch_INS_VADDWOD_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vaddwod.w.hu.h $vd, $vj, $vk */ + LoongArch_VADDWOD_W_HU_H /* 870 */, LoongArch_INS_VADDWOD_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadd.b $vd, $vj, $vk */ + LoongArch_VADD_B /* 871 */, LoongArch_INS_VADD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadd.d $vd, $vj, $vk */ + LoongArch_VADD_D /* 872 */, LoongArch_INS_VADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadd.h $vd, $vj, $vk */ + LoongArch_VADD_H /* 873 */, LoongArch_INS_VADD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadd.q $vd, $vj, $vk */ + LoongArch_VADD_Q /* 874 */, LoongArch_INS_VADD_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vadd.w $vd, $vj, $vk */ + LoongArch_VADD_W /* 875 */, LoongArch_INS_VADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vandi.b $vd, $vj, $imm8 */ + LoongArch_VANDI_B /* 876 */, LoongArch_INS_VANDI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vandn.v $vd, $vj, $vk */ + LoongArch_VANDN_V /* 877 */, LoongArch_INS_VANDN_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vand.v $vd, $vj, $vk */ + LoongArch_VAND_V /* 878 */, LoongArch_INS_VAND_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavgr.b $vd, $vj, $vk */ + LoongArch_VAVGR_B /* 879 */, LoongArch_INS_VAVGR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavgr.bu $vd, $vj, $vk */ + LoongArch_VAVGR_BU /* 880 */, LoongArch_INS_VAVGR_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavgr.d $vd, $vj, $vk */ + LoongArch_VAVGR_D /* 881 */, LoongArch_INS_VAVGR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavgr.du $vd, $vj, $vk */ + LoongArch_VAVGR_DU /* 882 */, LoongArch_INS_VAVGR_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavgr.h $vd, $vj, $vk */ + LoongArch_VAVGR_H /* 883 */, LoongArch_INS_VAVGR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavgr.hu $vd, $vj, $vk */ + LoongArch_VAVGR_HU /* 884 */, LoongArch_INS_VAVGR_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavgr.w $vd, $vj, $vk */ + LoongArch_VAVGR_W /* 885 */, LoongArch_INS_VAVGR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavgr.wu $vd, $vj, $vk */ + LoongArch_VAVGR_WU /* 886 */, LoongArch_INS_VAVGR_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavg.b $vd, $vj, $vk */ + LoongArch_VAVG_B /* 887 */, LoongArch_INS_VAVG_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavg.bu $vd, $vj, $vk */ + LoongArch_VAVG_BU /* 888 */, LoongArch_INS_VAVG_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavg.d $vd, $vj, $vk */ + LoongArch_VAVG_D /* 889 */, LoongArch_INS_VAVG_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavg.du $vd, $vj, $vk */ + LoongArch_VAVG_DU /* 890 */, LoongArch_INS_VAVG_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavg.h $vd, $vj, $vk */ + LoongArch_VAVG_H /* 891 */, LoongArch_INS_VAVG_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavg.hu $vd, $vj, $vk */ + LoongArch_VAVG_HU /* 892 */, LoongArch_INS_VAVG_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavg.w $vd, $vj, $vk */ + LoongArch_VAVG_W /* 893 */, LoongArch_INS_VAVG_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vavg.wu $vd, $vj, $vk */ + LoongArch_VAVG_WU /* 894 */, LoongArch_INS_VAVG_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitclri.b $vd, $vj, $imm3 */ + LoongArch_VBITCLRI_B /* 895 */, LoongArch_INS_VBITCLRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitclri.d $vd, $vj, $imm6 */ + LoongArch_VBITCLRI_D /* 896 */, LoongArch_INS_VBITCLRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitclri.h $vd, $vj, $imm4 */ + LoongArch_VBITCLRI_H /* 897 */, LoongArch_INS_VBITCLRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitclri.w $vd, $vj, $imm5 */ + LoongArch_VBITCLRI_W /* 898 */, LoongArch_INS_VBITCLRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitclr.b $vd, $vj, $vk */ + LoongArch_VBITCLR_B /* 899 */, LoongArch_INS_VBITCLR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitclr.d $vd, $vj, $vk */ + LoongArch_VBITCLR_D /* 900 */, LoongArch_INS_VBITCLR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitclr.h $vd, $vj, $vk */ + LoongArch_VBITCLR_H /* 901 */, LoongArch_INS_VBITCLR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitclr.w $vd, $vj, $vk */ + LoongArch_VBITCLR_W /* 902 */, LoongArch_INS_VBITCLR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitrevi.b $vd, $vj, $imm3 */ + LoongArch_VBITREVI_B /* 903 */, LoongArch_INS_VBITREVI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitrevi.d $vd, $vj, $imm6 */ + LoongArch_VBITREVI_D /* 904 */, LoongArch_INS_VBITREVI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitrevi.h $vd, $vj, $imm4 */ + LoongArch_VBITREVI_H /* 905 */, LoongArch_INS_VBITREVI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitrevi.w $vd, $vj, $imm5 */ + LoongArch_VBITREVI_W /* 906 */, LoongArch_INS_VBITREVI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitrev.b $vd, $vj, $vk */ + LoongArch_VBITREV_B /* 907 */, LoongArch_INS_VBITREV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitrev.d $vd, $vj, $vk */ + LoongArch_VBITREV_D /* 908 */, LoongArch_INS_VBITREV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitrev.h $vd, $vj, $vk */ + LoongArch_VBITREV_H /* 909 */, LoongArch_INS_VBITREV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitrev.w $vd, $vj, $vk */ + LoongArch_VBITREV_W /* 910 */, LoongArch_INS_VBITREV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitseli.b $vd, $vj, $imm8 */ + LoongArch_VBITSELI_B /* 911 */, LoongArch_INS_VBITSELI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitsel.v $vd, $vj, $vk, $va */ + LoongArch_VBITSEL_V /* 912 */, LoongArch_INS_VBITSEL_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitseti.b $vd, $vj, $imm3 */ + LoongArch_VBITSETI_B /* 913 */, LoongArch_INS_VBITSETI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitseti.d $vd, $vj, $imm6 */ + LoongArch_VBITSETI_D /* 914 */, LoongArch_INS_VBITSETI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitseti.h $vd, $vj, $imm4 */ + LoongArch_VBITSETI_H /* 915 */, LoongArch_INS_VBITSETI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitseti.w $vd, $vj, $imm5 */ + LoongArch_VBITSETI_W /* 916 */, LoongArch_INS_VBITSETI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitset.b $vd, $vj, $vk */ + LoongArch_VBITSET_B /* 917 */, LoongArch_INS_VBITSET_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitset.d $vd, $vj, $vk */ + LoongArch_VBITSET_D /* 918 */, LoongArch_INS_VBITSET_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitset.h $vd, $vj, $vk */ + LoongArch_VBITSET_H /* 919 */, LoongArch_INS_VBITSET_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbitset.w $vd, $vj, $vk */ + LoongArch_VBITSET_W /* 920 */, LoongArch_INS_VBITSET_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vbsll.v $vd, $vj, $imm5 */ + LoongArch_VBSLL_V /* 921 */, LoongArch_INS_VBSLL_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vbsrl.v $vd, $vj, $imm5 */ + LoongArch_VBSRL_V /* 922 */, LoongArch_INS_VBSRL_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vclo.b $vd, $vj */ + LoongArch_VCLO_B /* 923 */, LoongArch_INS_VCLO_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vclo.d $vd, $vj */ + LoongArch_VCLO_D /* 924 */, LoongArch_INS_VCLO_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vclo.h $vd, $vj */ + LoongArch_VCLO_H /* 925 */, LoongArch_INS_VCLO_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vclo.w $vd, $vj */ + LoongArch_VCLO_W /* 926 */, LoongArch_INS_VCLO_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vclz.b $vd, $vj */ + LoongArch_VCLZ_B /* 927 */, LoongArch_INS_VCLZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vclz.d $vd, $vj */ + LoongArch_VCLZ_D /* 928 */, LoongArch_INS_VCLZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vclz.h $vd, $vj */ + LoongArch_VCLZ_H /* 929 */, LoongArch_INS_VCLZ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vclz.w $vd, $vj */ + LoongArch_VCLZ_W /* 930 */, LoongArch_INS_VCLZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vdiv.b $vd, $vj, $vk */ + LoongArch_VDIV_B /* 931 */, LoongArch_INS_VDIV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vdiv.bu $vd, $vj, $vk */ + LoongArch_VDIV_BU /* 932 */, LoongArch_INS_VDIV_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vdiv.d $vd, $vj, $vk */ + LoongArch_VDIV_D /* 933 */, LoongArch_INS_VDIV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vdiv.du $vd, $vj, $vk */ + LoongArch_VDIV_DU /* 934 */, LoongArch_INS_VDIV_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vdiv.h $vd, $vj, $vk */ + LoongArch_VDIV_H /* 935 */, LoongArch_INS_VDIV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vdiv.hu $vd, $vj, $vk */ + LoongArch_VDIV_HU /* 936 */, LoongArch_INS_VDIV_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vdiv.w $vd, $vj, $vk */ + LoongArch_VDIV_W /* 937 */, LoongArch_INS_VDIV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vdiv.wu $vd, $vj, $vk */ + LoongArch_VDIV_WU /* 938 */, LoongArch_INS_VDIV_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.du.bu $xd, $xj */ + LoongArch_VEXT2XV_DU_BU /* 939 */, LoongArch_INS_VEXT2XV_DU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.du.hu $xd, $xj */ + LoongArch_VEXT2XV_DU_HU /* 940 */, LoongArch_INS_VEXT2XV_DU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.du.wu $xd, $xj */ + LoongArch_VEXT2XV_DU_WU /* 941 */, LoongArch_INS_VEXT2XV_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.d.b $xd, $xj */ + LoongArch_VEXT2XV_D_B /* 942 */, LoongArch_INS_VEXT2XV_D_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.d.h $xd, $xj */ + LoongArch_VEXT2XV_D_H /* 943 */, LoongArch_INS_VEXT2XV_D_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.d.w $xd, $xj */ + LoongArch_VEXT2XV_D_W /* 944 */, LoongArch_INS_VEXT2XV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.hu.bu $xd, $xj */ + LoongArch_VEXT2XV_HU_BU /* 945 */, LoongArch_INS_VEXT2XV_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.h.b $xd, $xj */ + LoongArch_VEXT2XV_H_B /* 946 */, LoongArch_INS_VEXT2XV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.wu.bu $xd, $xj */ + LoongArch_VEXT2XV_WU_BU /* 947 */, LoongArch_INS_VEXT2XV_WU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.wu.hu $xd, $xj */ + LoongArch_VEXT2XV_WU_HU /* 948 */, LoongArch_INS_VEXT2XV_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.w.b $xd, $xj */ + LoongArch_VEXT2XV_W_B /* 949 */, LoongArch_INS_VEXT2XV_W_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vext2xv.w.h $xd, $xj */ + LoongArch_VEXT2XV_W_H /* 950 */, LoongArch_INS_VEXT2XV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* vexth.du.wu $vd, $vj */ + LoongArch_VEXTH_DU_WU /* 951 */, LoongArch_INS_VEXTH_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vexth.d.w $vd, $vj */ + LoongArch_VEXTH_D_W /* 952 */, LoongArch_INS_VEXTH_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vexth.hu.bu $vd, $vj */ + LoongArch_VEXTH_HU_BU /* 953 */, LoongArch_INS_VEXTH_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vexth.h.b $vd, $vj */ + LoongArch_VEXTH_H_B /* 954 */, LoongArch_INS_VEXTH_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vexth.qu.du $vd, $vj */ + LoongArch_VEXTH_QU_DU /* 955 */, LoongArch_INS_VEXTH_QU_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vexth.q.d $vd, $vj */ + LoongArch_VEXTH_Q_D /* 956 */, LoongArch_INS_VEXTH_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vexth.wu.hu $vd, $vj */ + LoongArch_VEXTH_WU_HU /* 957 */, LoongArch_INS_VEXTH_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vexth.w.h $vd, $vj */ + LoongArch_VEXTH_W_H /* 958 */, LoongArch_INS_VEXTH_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vextl.qu.du $vd, $vj */ + LoongArch_VEXTL_QU_DU /* 959 */, LoongArch_INS_VEXTL_QU_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vextl.q.d $vd, $vj */ + LoongArch_VEXTL_Q_D /* 960 */, LoongArch_INS_VEXTL_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vextrins.b $vd, $vj, $imm8 */ + LoongArch_VEXTRINS_B /* 961 */, LoongArch_INS_VEXTRINS_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vextrins.d $vd, $vj, $imm8 */ + LoongArch_VEXTRINS_D /* 962 */, LoongArch_INS_VEXTRINS_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vextrins.h $vd, $vj, $imm8 */ + LoongArch_VEXTRINS_H /* 963 */, LoongArch_INS_VEXTRINS_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vextrins.w $vd, $vj, $imm8 */ + LoongArch_VEXTRINS_W /* 964 */, LoongArch_INS_VEXTRINS_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vfadd.d $vd, $vj, $vk */ + LoongArch_VFADD_D /* 965 */, LoongArch_INS_VFADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfadd.s $vd, $vj, $vk */ + LoongArch_VFADD_S /* 966 */, LoongArch_INS_VFADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfclass.d $vd, $vj */ + LoongArch_VFCLASS_D /* 967 */, LoongArch_INS_VFCLASS_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfclass.s $vd, $vj */ + LoongArch_VFCLASS_S /* 968 */, LoongArch_INS_VFCLASS_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.caf.d $vd, $vj, $vk */ + LoongArch_VFCMP_CAF_D /* 969 */, LoongArch_INS_VFCMP_CAF_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.caf.s $vd, $vj, $vk */ + LoongArch_VFCMP_CAF_S /* 970 */, LoongArch_INS_VFCMP_CAF_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.ceq.d $vd, $vj, $vk */ + LoongArch_VFCMP_CEQ_D /* 971 */, LoongArch_INS_VFCMP_CEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.ceq.s $vd, $vj, $vk */ + LoongArch_VFCMP_CEQ_S /* 972 */, LoongArch_INS_VFCMP_CEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cle.d $vd, $vj, $vk */ + LoongArch_VFCMP_CLE_D /* 973 */, LoongArch_INS_VFCMP_CLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cle.s $vd, $vj, $vk */ + LoongArch_VFCMP_CLE_S /* 974 */, LoongArch_INS_VFCMP_CLE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.clt.d $vd, $vj, $vk */ + LoongArch_VFCMP_CLT_D /* 975 */, LoongArch_INS_VFCMP_CLT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.clt.s $vd, $vj, $vk */ + LoongArch_VFCMP_CLT_S /* 976 */, LoongArch_INS_VFCMP_CLT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cne.d $vd, $vj, $vk */ + LoongArch_VFCMP_CNE_D /* 977 */, LoongArch_INS_VFCMP_CNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cne.s $vd, $vj, $vk */ + LoongArch_VFCMP_CNE_S /* 978 */, LoongArch_INS_VFCMP_CNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cor.d $vd, $vj, $vk */ + LoongArch_VFCMP_COR_D /* 979 */, LoongArch_INS_VFCMP_COR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cor.s $vd, $vj, $vk */ + LoongArch_VFCMP_COR_S /* 980 */, LoongArch_INS_VFCMP_COR_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cueq.d $vd, $vj, $vk */ + LoongArch_VFCMP_CUEQ_D /* 981 */, LoongArch_INS_VFCMP_CUEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cueq.s $vd, $vj, $vk */ + LoongArch_VFCMP_CUEQ_S /* 982 */, LoongArch_INS_VFCMP_CUEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cule.d $vd, $vj, $vk */ + LoongArch_VFCMP_CULE_D /* 983 */, LoongArch_INS_VFCMP_CULE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cule.s $vd, $vj, $vk */ + LoongArch_VFCMP_CULE_S /* 984 */, LoongArch_INS_VFCMP_CULE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cult.d $vd, $vj, $vk */ + LoongArch_VFCMP_CULT_D /* 985 */, LoongArch_INS_VFCMP_CULT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cult.s $vd, $vj, $vk */ + LoongArch_VFCMP_CULT_S /* 986 */, LoongArch_INS_VFCMP_CULT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cune.d $vd, $vj, $vk */ + LoongArch_VFCMP_CUNE_D /* 987 */, LoongArch_INS_VFCMP_CUNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cune.s $vd, $vj, $vk */ + LoongArch_VFCMP_CUNE_S /* 988 */, LoongArch_INS_VFCMP_CUNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cun.d $vd, $vj, $vk */ + LoongArch_VFCMP_CUN_D /* 989 */, LoongArch_INS_VFCMP_CUN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.cun.s $vd, $vj, $vk */ + LoongArch_VFCMP_CUN_S /* 990 */, LoongArch_INS_VFCMP_CUN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.saf.d $vd, $vj, $vk */ + LoongArch_VFCMP_SAF_D /* 991 */, LoongArch_INS_VFCMP_SAF_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.saf.s $vd, $vj, $vk */ + LoongArch_VFCMP_SAF_S /* 992 */, LoongArch_INS_VFCMP_SAF_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.seq.d $vd, $vj, $vk */ + LoongArch_VFCMP_SEQ_D /* 993 */, LoongArch_INS_VFCMP_SEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.seq.s $vd, $vj, $vk */ + LoongArch_VFCMP_SEQ_S /* 994 */, LoongArch_INS_VFCMP_SEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sle.d $vd, $vj, $vk */ + LoongArch_VFCMP_SLE_D /* 995 */, LoongArch_INS_VFCMP_SLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sle.s $vd, $vj, $vk */ + LoongArch_VFCMP_SLE_S /* 996 */, LoongArch_INS_VFCMP_SLE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.slt.d $vd, $vj, $vk */ + LoongArch_VFCMP_SLT_D /* 997 */, LoongArch_INS_VFCMP_SLT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.slt.s $vd, $vj, $vk */ + LoongArch_VFCMP_SLT_S /* 998 */, LoongArch_INS_VFCMP_SLT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sne.d $vd, $vj, $vk */ + LoongArch_VFCMP_SNE_D /* 999 */, LoongArch_INS_VFCMP_SNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sne.s $vd, $vj, $vk */ + LoongArch_VFCMP_SNE_S /* 1000 */, LoongArch_INS_VFCMP_SNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sor.d $vd, $vj, $vk */ + LoongArch_VFCMP_SOR_D /* 1001 */, LoongArch_INS_VFCMP_SOR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sor.s $vd, $vj, $vk */ + LoongArch_VFCMP_SOR_S /* 1002 */, LoongArch_INS_VFCMP_SOR_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sueq.d $vd, $vj, $vk */ + LoongArch_VFCMP_SUEQ_D /* 1003 */, LoongArch_INS_VFCMP_SUEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sueq.s $vd, $vj, $vk */ + LoongArch_VFCMP_SUEQ_S /* 1004 */, LoongArch_INS_VFCMP_SUEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sule.d $vd, $vj, $vk */ + LoongArch_VFCMP_SULE_D /* 1005 */, LoongArch_INS_VFCMP_SULE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sule.s $vd, $vj, $vk */ + LoongArch_VFCMP_SULE_S /* 1006 */, LoongArch_INS_VFCMP_SULE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sult.d $vd, $vj, $vk */ + LoongArch_VFCMP_SULT_D /* 1007 */, LoongArch_INS_VFCMP_SULT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sult.s $vd, $vj, $vk */ + LoongArch_VFCMP_SULT_S /* 1008 */, LoongArch_INS_VFCMP_SULT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sune.d $vd, $vj, $vk */ + LoongArch_VFCMP_SUNE_D /* 1009 */, LoongArch_INS_VFCMP_SUNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sune.s $vd, $vj, $vk */ + LoongArch_VFCMP_SUNE_S /* 1010 */, LoongArch_INS_VFCMP_SUNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sun.d $vd, $vj, $vk */ + LoongArch_VFCMP_SUN_D /* 1011 */, LoongArch_INS_VFCMP_SUN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcmp.sun.s $vd, $vj, $vk */ + LoongArch_VFCMP_SUN_S /* 1012 */, LoongArch_INS_VFCMP_SUN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcvth.d.s $vd, $vj */ + LoongArch_VFCVTH_D_S /* 1013 */, LoongArch_INS_VFCVTH_D_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcvth.s.h $vd, $vj */ + LoongArch_VFCVTH_S_H /* 1014 */, LoongArch_INS_VFCVTH_S_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcvtl.d.s $vd, $vj */ + LoongArch_VFCVTL_D_S /* 1015 */, LoongArch_INS_VFCVTL_D_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcvtl.s.h $vd, $vj */ + LoongArch_VFCVTL_S_H /* 1016 */, LoongArch_INS_VFCVTL_S_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcvt.h.s $vd, $vj, $vk */ + LoongArch_VFCVT_H_S /* 1017 */, LoongArch_INS_VFCVT_H_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfcvt.s.d $vd, $vj, $vk */ + LoongArch_VFCVT_S_D /* 1018 */, LoongArch_INS_VFCVT_S_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfdiv.d $vd, $vj, $vk */ + LoongArch_VFDIV_D /* 1019 */, LoongArch_INS_VFDIV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfdiv.s $vd, $vj, $vk */ + LoongArch_VFDIV_S /* 1020 */, LoongArch_INS_VFDIV_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vffinth.d.w $vd, $vj */ + LoongArch_VFFINTH_D_W /* 1021 */, LoongArch_INS_VFFINTH_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vffintl.d.w $vd, $vj */ + LoongArch_VFFINTL_D_W /* 1022 */, LoongArch_INS_VFFINTL_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vffint.d.l $vd, $vj */ + LoongArch_VFFINT_D_L /* 1023 */, LoongArch_INS_VFFINT_D_L, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vffint.d.lu $vd, $vj */ + LoongArch_VFFINT_D_LU /* 1024 */, LoongArch_INS_VFFINT_D_LU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vffint.s.l $vd, $vj, $vk */ + LoongArch_VFFINT_S_L /* 1025 */, LoongArch_INS_VFFINT_S_L, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vffint.s.w $vd, $vj */ + LoongArch_VFFINT_S_W /* 1026 */, LoongArch_INS_VFFINT_S_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vffint.s.wu $vd, $vj */ + LoongArch_VFFINT_S_WU /* 1027 */, LoongArch_INS_VFFINT_S_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vflogb.d $vd, $vj */ + LoongArch_VFLOGB_D /* 1028 */, LoongArch_INS_VFLOGB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vflogb.s $vd, $vj */ + LoongArch_VFLOGB_S /* 1029 */, LoongArch_INS_VFLOGB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmadd.d $vd, $vj, $vk, $va */ + LoongArch_VFMADD_D /* 1030 */, LoongArch_INS_VFMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmadd.s $vd, $vj, $vk, $va */ + LoongArch_VFMADD_S /* 1031 */, LoongArch_INS_VFMADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmaxa.d $vd, $vj, $vk */ + LoongArch_VFMAXA_D /* 1032 */, LoongArch_INS_VFMAXA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmaxa.s $vd, $vj, $vk */ + LoongArch_VFMAXA_S /* 1033 */, LoongArch_INS_VFMAXA_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmax.d $vd, $vj, $vk */ + LoongArch_VFMAX_D /* 1034 */, LoongArch_INS_VFMAX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmax.s $vd, $vj, $vk */ + LoongArch_VFMAX_S /* 1035 */, LoongArch_INS_VFMAX_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmina.d $vd, $vj, $vk */ + LoongArch_VFMINA_D /* 1036 */, LoongArch_INS_VFMINA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmina.s $vd, $vj, $vk */ + LoongArch_VFMINA_S /* 1037 */, LoongArch_INS_VFMINA_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmin.d $vd, $vj, $vk */ + LoongArch_VFMIN_D /* 1038 */, LoongArch_INS_VFMIN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmin.s $vd, $vj, $vk */ + LoongArch_VFMIN_S /* 1039 */, LoongArch_INS_VFMIN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmsub.d $vd, $vj, $vk, $va */ + LoongArch_VFMSUB_D /* 1040 */, LoongArch_INS_VFMSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmsub.s $vd, $vj, $vk, $va */ + LoongArch_VFMSUB_S /* 1041 */, LoongArch_INS_VFMSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmul.d $vd, $vj, $vk */ + LoongArch_VFMUL_D /* 1042 */, LoongArch_INS_VFMUL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfmul.s $vd, $vj, $vk */ + LoongArch_VFMUL_S /* 1043 */, LoongArch_INS_VFMUL_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfnmadd.d $vd, $vj, $vk, $va */ + LoongArch_VFNMADD_D /* 1044 */, LoongArch_INS_VFNMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfnmadd.s $vd, $vj, $vk, $va */ + LoongArch_VFNMADD_S /* 1045 */, LoongArch_INS_VFNMADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfnmsub.d $vd, $vj, $vk, $va */ + LoongArch_VFNMSUB_D /* 1046 */, LoongArch_INS_VFNMSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfnmsub.s $vd, $vj, $vk, $va */ + LoongArch_VFNMSUB_S /* 1047 */, LoongArch_INS_VFNMSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrecipe.d $vd, $vj */ + LoongArch_VFRECIPE_D /* 1048 */, LoongArch_INS_VFRECIPE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrecipe.s $vd, $vj */ + LoongArch_VFRECIPE_S /* 1049 */, LoongArch_INS_VFRECIPE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrecip.d $vd, $vj */ + LoongArch_VFRECIP_D /* 1050 */, LoongArch_INS_VFRECIP_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrecip.s $vd, $vj */ + LoongArch_VFRECIP_S /* 1051 */, LoongArch_INS_VFRECIP_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrintrm.d $vd, $vj */ + LoongArch_VFRINTRM_D /* 1052 */, LoongArch_INS_VFRINTRM_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrintrm.s $vd, $vj */ + LoongArch_VFRINTRM_S /* 1053 */, LoongArch_INS_VFRINTRM_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrintrne.d $vd, $vj */ + LoongArch_VFRINTRNE_D /* 1054 */, LoongArch_INS_VFRINTRNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrintrne.s $vd, $vj */ + LoongArch_VFRINTRNE_S /* 1055 */, LoongArch_INS_VFRINTRNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrintrp.d $vd, $vj */ + LoongArch_VFRINTRP_D /* 1056 */, LoongArch_INS_VFRINTRP_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrintrp.s $vd, $vj */ + LoongArch_VFRINTRP_S /* 1057 */, LoongArch_INS_VFRINTRP_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrintrz.d $vd, $vj */ + LoongArch_VFRINTRZ_D /* 1058 */, LoongArch_INS_VFRINTRZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrintrz.s $vd, $vj */ + LoongArch_VFRINTRZ_S /* 1059 */, LoongArch_INS_VFRINTRZ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrint.d $vd, $vj */ + LoongArch_VFRINT_D /* 1060 */, LoongArch_INS_VFRINT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrint.s $vd, $vj */ + LoongArch_VFRINT_S /* 1061 */, LoongArch_INS_VFRINT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrsqrte.d $vd, $vj */ + LoongArch_VFRSQRTE_D /* 1062 */, LoongArch_INS_VFRSQRTE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrsqrte.s $vd, $vj */ + LoongArch_VFRSQRTE_S /* 1063 */, LoongArch_INS_VFRSQRTE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrsqrt.d $vd, $vj */ + LoongArch_VFRSQRT_D /* 1064 */, LoongArch_INS_VFRSQRT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrsqrt.s $vd, $vj */ + LoongArch_VFRSQRT_S /* 1065 */, LoongArch_INS_VFRSQRT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrstpi.b $vd, $vj, $imm5 */ + LoongArch_VFRSTPI_B /* 1066 */, LoongArch_INS_VFRSTPI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrstpi.h $vd, $vj, $imm5 */ + LoongArch_VFRSTPI_H /* 1067 */, LoongArch_INS_VFRSTPI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrstp.b $vd, $vj, $vk */ + LoongArch_VFRSTP_B /* 1068 */, LoongArch_INS_VFRSTP_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfrstp.h $vd, $vj, $vk */ + LoongArch_VFRSTP_H /* 1069 */, LoongArch_INS_VFRSTP_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfsqrt.d $vd, $vj */ + LoongArch_VFSQRT_D /* 1070 */, LoongArch_INS_VFSQRT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfsqrt.s $vd, $vj */ + LoongArch_VFSQRT_S /* 1071 */, LoongArch_INS_VFSQRT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfsub.d $vd, $vj, $vk */ + LoongArch_VFSUB_D /* 1072 */, LoongArch_INS_VFSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vfsub.s $vd, $vj, $vk */ + LoongArch_VFSUB_S /* 1073 */, LoongArch_INS_VFSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftinth.l.s $vd, $vj */ + LoongArch_VFTINTH_L_S /* 1074 */, LoongArch_INS_VFTINTH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintl.l.s $vd, $vj */ + LoongArch_VFTINTL_L_S /* 1075 */, LoongArch_INS_VFTINTL_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrmh.l.s $vd, $vj */ + LoongArch_VFTINTRMH_L_S /* 1076 */, LoongArch_INS_VFTINTRMH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrml.l.s $vd, $vj */ + LoongArch_VFTINTRML_L_S /* 1077 */, LoongArch_INS_VFTINTRML_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrm.l.d $vd, $vj */ + LoongArch_VFTINTRM_L_D /* 1078 */, LoongArch_INS_VFTINTRM_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrm.w.d $vd, $vj, $vk */ + LoongArch_VFTINTRM_W_D /* 1079 */, LoongArch_INS_VFTINTRM_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrm.w.s $vd, $vj */ + LoongArch_VFTINTRM_W_S /* 1080 */, LoongArch_INS_VFTINTRM_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrneh.l.s $vd, $vj */ + LoongArch_VFTINTRNEH_L_S /* 1081 */, LoongArch_INS_VFTINTRNEH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrnel.l.s $vd, $vj */ + LoongArch_VFTINTRNEL_L_S /* 1082 */, LoongArch_INS_VFTINTRNEL_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrne.l.d $vd, $vj */ + LoongArch_VFTINTRNE_L_D /* 1083 */, LoongArch_INS_VFTINTRNE_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrne.w.d $vd, $vj, $vk */ + LoongArch_VFTINTRNE_W_D /* 1084 */, LoongArch_INS_VFTINTRNE_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrne.w.s $vd, $vj */ + LoongArch_VFTINTRNE_W_S /* 1085 */, LoongArch_INS_VFTINTRNE_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrph.l.s $vd, $vj */ + LoongArch_VFTINTRPH_L_S /* 1086 */, LoongArch_INS_VFTINTRPH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrpl.l.s $vd, $vj */ + LoongArch_VFTINTRPL_L_S /* 1087 */, LoongArch_INS_VFTINTRPL_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrp.l.d $vd, $vj */ + LoongArch_VFTINTRP_L_D /* 1088 */, LoongArch_INS_VFTINTRP_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrp.w.d $vd, $vj, $vk */ + LoongArch_VFTINTRP_W_D /* 1089 */, LoongArch_INS_VFTINTRP_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrp.w.s $vd, $vj */ + LoongArch_VFTINTRP_W_S /* 1090 */, LoongArch_INS_VFTINTRP_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrzh.l.s $vd, $vj */ + LoongArch_VFTINTRZH_L_S /* 1091 */, LoongArch_INS_VFTINTRZH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrzl.l.s $vd, $vj */ + LoongArch_VFTINTRZL_L_S /* 1092 */, LoongArch_INS_VFTINTRZL_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrz.lu.d $vd, $vj */ + LoongArch_VFTINTRZ_LU_D /* 1093 */, LoongArch_INS_VFTINTRZ_LU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrz.l.d $vd, $vj */ + LoongArch_VFTINTRZ_L_D /* 1094 */, LoongArch_INS_VFTINTRZ_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrz.wu.s $vd, $vj */ + LoongArch_VFTINTRZ_WU_S /* 1095 */, LoongArch_INS_VFTINTRZ_WU_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrz.w.d $vd, $vj, $vk */ + LoongArch_VFTINTRZ_W_D /* 1096 */, LoongArch_INS_VFTINTRZ_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftintrz.w.s $vd, $vj */ + LoongArch_VFTINTRZ_W_S /* 1097 */, LoongArch_INS_VFTINTRZ_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftint.lu.d $vd, $vj */ + LoongArch_VFTINT_LU_D /* 1098 */, LoongArch_INS_VFTINT_LU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftint.l.d $vd, $vj */ + LoongArch_VFTINT_L_D /* 1099 */, LoongArch_INS_VFTINT_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftint.wu.s $vd, $vj */ + LoongArch_VFTINT_WU_S /* 1100 */, LoongArch_INS_VFTINT_WU_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftint.w.d $vd, $vj, $vk */ + LoongArch_VFTINT_W_D /* 1101 */, LoongArch_INS_VFTINT_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vftint.w.s $vd, $vj */ + LoongArch_VFTINT_W_S /* 1102 */, LoongArch_INS_VFTINT_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhaddw.du.wu $vd, $vj, $vk */ + LoongArch_VHADDW_DU_WU /* 1103 */, LoongArch_INS_VHADDW_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhaddw.d.w $vd, $vj, $vk */ + LoongArch_VHADDW_D_W /* 1104 */, LoongArch_INS_VHADDW_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhaddw.hu.bu $vd, $vj, $vk */ + LoongArch_VHADDW_HU_BU /* 1105 */, LoongArch_INS_VHADDW_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhaddw.h.b $vd, $vj, $vk */ + LoongArch_VHADDW_H_B /* 1106 */, LoongArch_INS_VHADDW_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhaddw.qu.du $vd, $vj, $vk */ + LoongArch_VHADDW_QU_DU /* 1107 */, LoongArch_INS_VHADDW_QU_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhaddw.q.d $vd, $vj, $vk */ + LoongArch_VHADDW_Q_D /* 1108 */, LoongArch_INS_VHADDW_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhaddw.wu.hu $vd, $vj, $vk */ + LoongArch_VHADDW_WU_HU /* 1109 */, LoongArch_INS_VHADDW_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhaddw.w.h $vd, $vj, $vk */ + LoongArch_VHADDW_W_H /* 1110 */, LoongArch_INS_VHADDW_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhsubw.du.wu $vd, $vj, $vk */ + LoongArch_VHSUBW_DU_WU /* 1111 */, LoongArch_INS_VHSUBW_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhsubw.d.w $vd, $vj, $vk */ + LoongArch_VHSUBW_D_W /* 1112 */, LoongArch_INS_VHSUBW_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhsubw.hu.bu $vd, $vj, $vk */ + LoongArch_VHSUBW_HU_BU /* 1113 */, LoongArch_INS_VHSUBW_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhsubw.h.b $vd, $vj, $vk */ + LoongArch_VHSUBW_H_B /* 1114 */, LoongArch_INS_VHSUBW_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhsubw.qu.du $vd, $vj, $vk */ + LoongArch_VHSUBW_QU_DU /* 1115 */, LoongArch_INS_VHSUBW_QU_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhsubw.q.d $vd, $vj, $vk */ + LoongArch_VHSUBW_Q_D /* 1116 */, LoongArch_INS_VHSUBW_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhsubw.wu.hu $vd, $vj, $vk */ + LoongArch_VHSUBW_WU_HU /* 1117 */, LoongArch_INS_VHSUBW_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vhsubw.w.h $vd, $vj, $vk */ + LoongArch_VHSUBW_W_H /* 1118 */, LoongArch_INS_VHSUBW_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vilvh.b $vd, $vj, $vk */ + LoongArch_VILVH_B /* 1119 */, LoongArch_INS_VILVH_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vilvh.d $vd, $vj, $vk */ + LoongArch_VILVH_D /* 1120 */, LoongArch_INS_VILVH_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vilvh.h $vd, $vj, $vk */ + LoongArch_VILVH_H /* 1121 */, LoongArch_INS_VILVH_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vilvh.w $vd, $vj, $vk */ + LoongArch_VILVH_W /* 1122 */, LoongArch_INS_VILVH_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vilvl.b $vd, $vj, $vk */ + LoongArch_VILVL_B /* 1123 */, LoongArch_INS_VILVL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vilvl.d $vd, $vj, $vk */ + LoongArch_VILVL_D /* 1124 */, LoongArch_INS_VILVL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vilvl.h $vd, $vj, $vk */ + LoongArch_VILVL_H /* 1125 */, LoongArch_INS_VILVL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vilvl.w $vd, $vj, $vk */ + LoongArch_VILVL_W /* 1126 */, LoongArch_INS_VILVL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vinsgr2vr.b $vd, $rj, $imm4 */ + LoongArch_VINSGR2VR_B /* 1127 */, LoongArch_INS_VINSGR2VR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VRI, CS_AC_INVALID }} + + #endif +}, +{ + /* vinsgr2vr.d $vd, $rj, $imm1 */ + LoongArch_VINSGR2VR_D /* 1128 */, LoongArch_INS_VINSGR2VR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI1_VRI, CS_AC_INVALID }} + + #endif +}, +{ + /* vinsgr2vr.h $vd, $rj, $imm3 */ + LoongArch_VINSGR2VR_H /* 1129 */, LoongArch_INS_VINSGR2VR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VRI, CS_AC_INVALID }} + + #endif +}, +{ + /* vinsgr2vr.w $vd, $rj, $imm2 */ + LoongArch_VINSGR2VR_W /* 1130 */, LoongArch_INS_VINSGR2VR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_VRI, CS_AC_INVALID }} + + #endif +}, +{ + /* vld $vd, $rj, $imm12 */ + LoongArch_VLD /* 1131 */, LoongArch_INS_VLD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12_VRI, CS_AC_READ }} + + #endif +}, +{ + /* vldi $vd, $imm13 */ + LoongArch_VLDI /* 1132 */, LoongArch_INS_VLDI, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI13_VI, CS_AC_INVALID }} + + #endif +}, +{ + /* vldrepl.b $vd, $rj, $imm12 */ + LoongArch_VLDREPL_B /* 1133 */, LoongArch_INS_VLDREPL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12_VRI, CS_AC_READ }} + + #endif +}, +{ + /* vldrepl.d $vd, $rj, $imm9 */ + LoongArch_VLDREPL_D /* 1134 */, LoongArch_INS_VLDREPL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI9_VRI, CS_AC_READ }} + + #endif +}, +{ + /* vldrepl.h $vd, $rj, $imm11 */ + LoongArch_VLDREPL_H /* 1135 */, LoongArch_INS_VLDREPL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI11_VRI, CS_AC_READ }} + + #endif +}, +{ + /* vldrepl.w $vd, $rj, $imm10 */ + LoongArch_VLDREPL_W /* 1136 */, LoongArch_INS_VLDREPL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI10_VRI, CS_AC_READ }} + + #endif +}, +{ + /* vldx $vd, $rj, $rk */ + LoongArch_VLDX /* 1137 */, LoongArch_INS_VLDX, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VRR, CS_AC_READ }} + + #endif +}, +{ + /* vmaddwev.d.w $vd, $vj, $vk */ + LoongArch_VMADDWEV_D_W /* 1138 */, LoongArch_INS_VMADDWEV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.d.wu $vd, $vj, $vk */ + LoongArch_VMADDWEV_D_WU /* 1139 */, LoongArch_INS_VMADDWEV_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.d.wu.w $vd, $vj, $vk */ + LoongArch_VMADDWEV_D_WU_W /* 1140 */, LoongArch_INS_VMADDWEV_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.h.b $vd, $vj, $vk */ + LoongArch_VMADDWEV_H_B /* 1141 */, LoongArch_INS_VMADDWEV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.h.bu $vd, $vj, $vk */ + LoongArch_VMADDWEV_H_BU /* 1142 */, LoongArch_INS_VMADDWEV_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.h.bu.b $vd, $vj, $vk */ + LoongArch_VMADDWEV_H_BU_B /* 1143 */, LoongArch_INS_VMADDWEV_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.q.d $vd, $vj, $vk */ + LoongArch_VMADDWEV_Q_D /* 1144 */, LoongArch_INS_VMADDWEV_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.q.du $vd, $vj, $vk */ + LoongArch_VMADDWEV_Q_DU /* 1145 */, LoongArch_INS_VMADDWEV_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.q.du.d $vd, $vj, $vk */ + LoongArch_VMADDWEV_Q_DU_D /* 1146 */, LoongArch_INS_VMADDWEV_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.w.h $vd, $vj, $vk */ + LoongArch_VMADDWEV_W_H /* 1147 */, LoongArch_INS_VMADDWEV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.w.hu $vd, $vj, $vk */ + LoongArch_VMADDWEV_W_HU /* 1148 */, LoongArch_INS_VMADDWEV_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwev.w.hu.h $vd, $vj, $vk */ + LoongArch_VMADDWEV_W_HU_H /* 1149 */, LoongArch_INS_VMADDWEV_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.d.w $vd, $vj, $vk */ + LoongArch_VMADDWOD_D_W /* 1150 */, LoongArch_INS_VMADDWOD_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.d.wu $vd, $vj, $vk */ + LoongArch_VMADDWOD_D_WU /* 1151 */, LoongArch_INS_VMADDWOD_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.d.wu.w $vd, $vj, $vk */ + LoongArch_VMADDWOD_D_WU_W /* 1152 */, LoongArch_INS_VMADDWOD_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.h.b $vd, $vj, $vk */ + LoongArch_VMADDWOD_H_B /* 1153 */, LoongArch_INS_VMADDWOD_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.h.bu $vd, $vj, $vk */ + LoongArch_VMADDWOD_H_BU /* 1154 */, LoongArch_INS_VMADDWOD_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.h.bu.b $vd, $vj, $vk */ + LoongArch_VMADDWOD_H_BU_B /* 1155 */, LoongArch_INS_VMADDWOD_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.q.d $vd, $vj, $vk */ + LoongArch_VMADDWOD_Q_D /* 1156 */, LoongArch_INS_VMADDWOD_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.q.du $vd, $vj, $vk */ + LoongArch_VMADDWOD_Q_DU /* 1157 */, LoongArch_INS_VMADDWOD_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.q.du.d $vd, $vj, $vk */ + LoongArch_VMADDWOD_Q_DU_D /* 1158 */, LoongArch_INS_VMADDWOD_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.w.h $vd, $vj, $vk */ + LoongArch_VMADDWOD_W_H /* 1159 */, LoongArch_INS_VMADDWOD_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.w.hu $vd, $vj, $vk */ + LoongArch_VMADDWOD_W_HU /* 1160 */, LoongArch_INS_VMADDWOD_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaddwod.w.hu.h $vd, $vj, $vk */ + LoongArch_VMADDWOD_W_HU_H /* 1161 */, LoongArch_INS_VMADDWOD_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmadd.b $vd, $vj, $vk */ + LoongArch_VMADD_B /* 1162 */, LoongArch_INS_VMADD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmadd.d $vd, $vj, $vk */ + LoongArch_VMADD_D /* 1163 */, LoongArch_INS_VMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmadd.h $vd, $vj, $vk */ + LoongArch_VMADD_H /* 1164 */, LoongArch_INS_VMADD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmadd.w $vd, $vj, $vk */ + LoongArch_VMADD_W /* 1165 */, LoongArch_INS_VMADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaxi.b $vd, $vj, $imm5 */ + LoongArch_VMAXI_B /* 1166 */, LoongArch_INS_VMAXI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaxi.bu $vd, $vj, $imm5 */ + LoongArch_VMAXI_BU /* 1167 */, LoongArch_INS_VMAXI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaxi.d $vd, $vj, $imm5 */ + LoongArch_VMAXI_D /* 1168 */, LoongArch_INS_VMAXI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaxi.du $vd, $vj, $imm5 */ + LoongArch_VMAXI_DU /* 1169 */, LoongArch_INS_VMAXI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaxi.h $vd, $vj, $imm5 */ + LoongArch_VMAXI_H /* 1170 */, LoongArch_INS_VMAXI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaxi.hu $vd, $vj, $imm5 */ + LoongArch_VMAXI_HU /* 1171 */, LoongArch_INS_VMAXI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaxi.w $vd, $vj, $imm5 */ + LoongArch_VMAXI_W /* 1172 */, LoongArch_INS_VMAXI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmaxi.wu $vd, $vj, $imm5 */ + LoongArch_VMAXI_WU /* 1173 */, LoongArch_INS_VMAXI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmax.b $vd, $vj, $vk */ + LoongArch_VMAX_B /* 1174 */, LoongArch_INS_VMAX_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmax.bu $vd, $vj, $vk */ + LoongArch_VMAX_BU /* 1175 */, LoongArch_INS_VMAX_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmax.d $vd, $vj, $vk */ + LoongArch_VMAX_D /* 1176 */, LoongArch_INS_VMAX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmax.du $vd, $vj, $vk */ + LoongArch_VMAX_DU /* 1177 */, LoongArch_INS_VMAX_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmax.h $vd, $vj, $vk */ + LoongArch_VMAX_H /* 1178 */, LoongArch_INS_VMAX_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmax.hu $vd, $vj, $vk */ + LoongArch_VMAX_HU /* 1179 */, LoongArch_INS_VMAX_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmax.w $vd, $vj, $vk */ + LoongArch_VMAX_W /* 1180 */, LoongArch_INS_VMAX_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmax.wu $vd, $vj, $vk */ + LoongArch_VMAX_WU /* 1181 */, LoongArch_INS_VMAX_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmini.b $vd, $vj, $imm5 */ + LoongArch_VMINI_B /* 1182 */, LoongArch_INS_VMINI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmini.bu $vd, $vj, $imm5 */ + LoongArch_VMINI_BU /* 1183 */, LoongArch_INS_VMINI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmini.d $vd, $vj, $imm5 */ + LoongArch_VMINI_D /* 1184 */, LoongArch_INS_VMINI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmini.du $vd, $vj, $imm5 */ + LoongArch_VMINI_DU /* 1185 */, LoongArch_INS_VMINI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmini.h $vd, $vj, $imm5 */ + LoongArch_VMINI_H /* 1186 */, LoongArch_INS_VMINI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmini.hu $vd, $vj, $imm5 */ + LoongArch_VMINI_HU /* 1187 */, LoongArch_INS_VMINI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmini.w $vd, $vj, $imm5 */ + LoongArch_VMINI_W /* 1188 */, LoongArch_INS_VMINI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmini.wu $vd, $vj, $imm5 */ + LoongArch_VMINI_WU /* 1189 */, LoongArch_INS_VMINI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vmin.b $vd, $vj, $vk */ + LoongArch_VMIN_B /* 1190 */, LoongArch_INS_VMIN_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmin.bu $vd, $vj, $vk */ + LoongArch_VMIN_BU /* 1191 */, LoongArch_INS_VMIN_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmin.d $vd, $vj, $vk */ + LoongArch_VMIN_D /* 1192 */, LoongArch_INS_VMIN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmin.du $vd, $vj, $vk */ + LoongArch_VMIN_DU /* 1193 */, LoongArch_INS_VMIN_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmin.h $vd, $vj, $vk */ + LoongArch_VMIN_H /* 1194 */, LoongArch_INS_VMIN_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmin.hu $vd, $vj, $vk */ + LoongArch_VMIN_HU /* 1195 */, LoongArch_INS_VMIN_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmin.w $vd, $vj, $vk */ + LoongArch_VMIN_W /* 1196 */, LoongArch_INS_VMIN_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmin.wu $vd, $vj, $vk */ + LoongArch_VMIN_WU /* 1197 */, LoongArch_INS_VMIN_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmod.b $vd, $vj, $vk */ + LoongArch_VMOD_B /* 1198 */, LoongArch_INS_VMOD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmod.bu $vd, $vj, $vk */ + LoongArch_VMOD_BU /* 1199 */, LoongArch_INS_VMOD_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmod.d $vd, $vj, $vk */ + LoongArch_VMOD_D /* 1200 */, LoongArch_INS_VMOD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmod.du $vd, $vj, $vk */ + LoongArch_VMOD_DU /* 1201 */, LoongArch_INS_VMOD_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmod.h $vd, $vj, $vk */ + LoongArch_VMOD_H /* 1202 */, LoongArch_INS_VMOD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmod.hu $vd, $vj, $vk */ + LoongArch_VMOD_HU /* 1203 */, LoongArch_INS_VMOD_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmod.w $vd, $vj, $vk */ + LoongArch_VMOD_W /* 1204 */, LoongArch_INS_VMOD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmod.wu $vd, $vj, $vk */ + LoongArch_VMOD_WU /* 1205 */, LoongArch_INS_VMOD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmskgez.b $vd, $vj */ + LoongArch_VMSKGEZ_B /* 1206 */, LoongArch_INS_VMSKGEZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmskltz.b $vd, $vj */ + LoongArch_VMSKLTZ_B /* 1207 */, LoongArch_INS_VMSKLTZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmskltz.d $vd, $vj */ + LoongArch_VMSKLTZ_D /* 1208 */, LoongArch_INS_VMSKLTZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmskltz.h $vd, $vj */ + LoongArch_VMSKLTZ_H /* 1209 */, LoongArch_INS_VMSKLTZ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmskltz.w $vd, $vj */ + LoongArch_VMSKLTZ_W /* 1210 */, LoongArch_INS_VMSKLTZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmsknz.b $vd, $vj */ + LoongArch_VMSKNZ_B /* 1211 */, LoongArch_INS_VMSKNZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmsub.b $vd, $vj, $vk */ + LoongArch_VMSUB_B /* 1212 */, LoongArch_INS_VMSUB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmsub.d $vd, $vj, $vk */ + LoongArch_VMSUB_D /* 1213 */, LoongArch_INS_VMSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmsub.h $vd, $vj, $vk */ + LoongArch_VMSUB_H /* 1214 */, LoongArch_INS_VMSUB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmsub.w $vd, $vj, $vk */ + LoongArch_VMSUB_W /* 1215 */, LoongArch_INS_VMSUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmuh.b $vd, $vj, $vk */ + LoongArch_VMUH_B /* 1216 */, LoongArch_INS_VMUH_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmuh.bu $vd, $vj, $vk */ + LoongArch_VMUH_BU /* 1217 */, LoongArch_INS_VMUH_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmuh.d $vd, $vj, $vk */ + LoongArch_VMUH_D /* 1218 */, LoongArch_INS_VMUH_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmuh.du $vd, $vj, $vk */ + LoongArch_VMUH_DU /* 1219 */, LoongArch_INS_VMUH_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmuh.h $vd, $vj, $vk */ + LoongArch_VMUH_H /* 1220 */, LoongArch_INS_VMUH_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmuh.hu $vd, $vj, $vk */ + LoongArch_VMUH_HU /* 1221 */, LoongArch_INS_VMUH_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmuh.w $vd, $vj, $vk */ + LoongArch_VMUH_W /* 1222 */, LoongArch_INS_VMUH_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmuh.wu $vd, $vj, $vk */ + LoongArch_VMUH_WU /* 1223 */, LoongArch_INS_VMUH_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.d.w $vd, $vj, $vk */ + LoongArch_VMULWEV_D_W /* 1224 */, LoongArch_INS_VMULWEV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.d.wu $vd, $vj, $vk */ + LoongArch_VMULWEV_D_WU /* 1225 */, LoongArch_INS_VMULWEV_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.d.wu.w $vd, $vj, $vk */ + LoongArch_VMULWEV_D_WU_W /* 1226 */, LoongArch_INS_VMULWEV_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.h.b $vd, $vj, $vk */ + LoongArch_VMULWEV_H_B /* 1227 */, LoongArch_INS_VMULWEV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.h.bu $vd, $vj, $vk */ + LoongArch_VMULWEV_H_BU /* 1228 */, LoongArch_INS_VMULWEV_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.h.bu.b $vd, $vj, $vk */ + LoongArch_VMULWEV_H_BU_B /* 1229 */, LoongArch_INS_VMULWEV_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.q.d $vd, $vj, $vk */ + LoongArch_VMULWEV_Q_D /* 1230 */, LoongArch_INS_VMULWEV_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.q.du $vd, $vj, $vk */ + LoongArch_VMULWEV_Q_DU /* 1231 */, LoongArch_INS_VMULWEV_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.q.du.d $vd, $vj, $vk */ + LoongArch_VMULWEV_Q_DU_D /* 1232 */, LoongArch_INS_VMULWEV_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.w.h $vd, $vj, $vk */ + LoongArch_VMULWEV_W_H /* 1233 */, LoongArch_INS_VMULWEV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.w.hu $vd, $vj, $vk */ + LoongArch_VMULWEV_W_HU /* 1234 */, LoongArch_INS_VMULWEV_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwev.w.hu.h $vd, $vj, $vk */ + LoongArch_VMULWEV_W_HU_H /* 1235 */, LoongArch_INS_VMULWEV_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.d.w $vd, $vj, $vk */ + LoongArch_VMULWOD_D_W /* 1236 */, LoongArch_INS_VMULWOD_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.d.wu $vd, $vj, $vk */ + LoongArch_VMULWOD_D_WU /* 1237 */, LoongArch_INS_VMULWOD_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.d.wu.w $vd, $vj, $vk */ + LoongArch_VMULWOD_D_WU_W /* 1238 */, LoongArch_INS_VMULWOD_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.h.b $vd, $vj, $vk */ + LoongArch_VMULWOD_H_B /* 1239 */, LoongArch_INS_VMULWOD_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.h.bu $vd, $vj, $vk */ + LoongArch_VMULWOD_H_BU /* 1240 */, LoongArch_INS_VMULWOD_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.h.bu.b $vd, $vj, $vk */ + LoongArch_VMULWOD_H_BU_B /* 1241 */, LoongArch_INS_VMULWOD_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.q.d $vd, $vj, $vk */ + LoongArch_VMULWOD_Q_D /* 1242 */, LoongArch_INS_VMULWOD_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.q.du $vd, $vj, $vk */ + LoongArch_VMULWOD_Q_DU /* 1243 */, LoongArch_INS_VMULWOD_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.q.du.d $vd, $vj, $vk */ + LoongArch_VMULWOD_Q_DU_D /* 1244 */, LoongArch_INS_VMULWOD_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.w.h $vd, $vj, $vk */ + LoongArch_VMULWOD_W_H /* 1245 */, LoongArch_INS_VMULWOD_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.w.hu $vd, $vj, $vk */ + LoongArch_VMULWOD_W_HU /* 1246 */, LoongArch_INS_VMULWOD_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmulwod.w.hu.h $vd, $vj, $vk */ + LoongArch_VMULWOD_W_HU_H /* 1247 */, LoongArch_INS_VMULWOD_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmul.b $vd, $vj, $vk */ + LoongArch_VMUL_B /* 1248 */, LoongArch_INS_VMUL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmul.d $vd, $vj, $vk */ + LoongArch_VMUL_D /* 1249 */, LoongArch_INS_VMUL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmul.h $vd, $vj, $vk */ + LoongArch_VMUL_H /* 1250 */, LoongArch_INS_VMUL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vmul.w $vd, $vj, $vk */ + LoongArch_VMUL_W /* 1251 */, LoongArch_INS_VMUL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vneg.b $vd, $vj */ + LoongArch_VNEG_B /* 1252 */, LoongArch_INS_VNEG_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vneg.d $vd, $vj */ + LoongArch_VNEG_D /* 1253 */, LoongArch_INS_VNEG_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vneg.h $vd, $vj */ + LoongArch_VNEG_H /* 1254 */, LoongArch_INS_VNEG_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vneg.w $vd, $vj */ + LoongArch_VNEG_W /* 1255 */, LoongArch_INS_VNEG_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vnori.b $vd, $vj, $imm8 */ + LoongArch_VNORI_B /* 1256 */, LoongArch_INS_VNORI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vnor.v $vd, $vj, $vk */ + LoongArch_VNOR_V /* 1257 */, LoongArch_INS_VNOR_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vori.b $vd, $vj, $imm8 */ + LoongArch_VORI_B /* 1258 */, LoongArch_INS_VORI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vorn.v $vd, $vj, $vk */ + LoongArch_VORN_V /* 1259 */, LoongArch_INS_VORN_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vor.v $vd, $vj, $vk */ + LoongArch_VOR_V /* 1260 */, LoongArch_INS_VOR_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpackev.b $vd, $vj, $vk */ + LoongArch_VPACKEV_B /* 1261 */, LoongArch_INS_VPACKEV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpackev.d $vd, $vj, $vk */ + LoongArch_VPACKEV_D /* 1262 */, LoongArch_INS_VPACKEV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpackev.h $vd, $vj, $vk */ + LoongArch_VPACKEV_H /* 1263 */, LoongArch_INS_VPACKEV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpackev.w $vd, $vj, $vk */ + LoongArch_VPACKEV_W /* 1264 */, LoongArch_INS_VPACKEV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpackod.b $vd, $vj, $vk */ + LoongArch_VPACKOD_B /* 1265 */, LoongArch_INS_VPACKOD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpackod.d $vd, $vj, $vk */ + LoongArch_VPACKOD_D /* 1266 */, LoongArch_INS_VPACKOD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpackod.h $vd, $vj, $vk */ + LoongArch_VPACKOD_H /* 1267 */, LoongArch_INS_VPACKOD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpackod.w $vd, $vj, $vk */ + LoongArch_VPACKOD_W /* 1268 */, LoongArch_INS_VPACKOD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpcnt.b $vd, $vj */ + LoongArch_VPCNT_B /* 1269 */, LoongArch_INS_VPCNT_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpcnt.d $vd, $vj */ + LoongArch_VPCNT_D /* 1270 */, LoongArch_INS_VPCNT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpcnt.h $vd, $vj */ + LoongArch_VPCNT_H /* 1271 */, LoongArch_INS_VPCNT_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpcnt.w $vd, $vj */ + LoongArch_VPCNT_W /* 1272 */, LoongArch_INS_VPCNT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpermi.w $vd, $vj, $imm8 */ + LoongArch_VPERMI_W /* 1273 */, LoongArch_INS_VPERMI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickev.b $vd, $vj, $vk */ + LoongArch_VPICKEV_B /* 1274 */, LoongArch_INS_VPICKEV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickev.d $vd, $vj, $vk */ + LoongArch_VPICKEV_D /* 1275 */, LoongArch_INS_VPICKEV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickev.h $vd, $vj, $vk */ + LoongArch_VPICKEV_H /* 1276 */, LoongArch_INS_VPICKEV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickev.w $vd, $vj, $vk */ + LoongArch_VPICKEV_W /* 1277 */, LoongArch_INS_VPICKEV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickod.b $vd, $vj, $vk */ + LoongArch_VPICKOD_B /* 1278 */, LoongArch_INS_VPICKOD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickod.d $vd, $vj, $vk */ + LoongArch_VPICKOD_D /* 1279 */, LoongArch_INS_VPICKOD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickod.h $vd, $vj, $vk */ + LoongArch_VPICKOD_H /* 1280 */, LoongArch_INS_VPICKOD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickod.w $vd, $vj, $vk */ + LoongArch_VPICKOD_W /* 1281 */, LoongArch_INS_VPICKOD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickve2gr.b $rd, $vj, $imm4 */ + LoongArch_VPICKVE2GR_B /* 1282 */, LoongArch_INS_VPICKVE2GR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_RVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickve2gr.bu $rd, $vj, $imm4 */ + LoongArch_VPICKVE2GR_BU /* 1283 */, LoongArch_INS_VPICKVE2GR_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_RVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickve2gr.d $rd, $vj, $imm1 */ + LoongArch_VPICKVE2GR_D /* 1284 */, LoongArch_INS_VPICKVE2GR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI1_RVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickve2gr.du $rd, $vj, $imm1 */ + LoongArch_VPICKVE2GR_DU /* 1285 */, LoongArch_INS_VPICKVE2GR_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI1_RVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickve2gr.h $rd, $vj, $imm3 */ + LoongArch_VPICKVE2GR_H /* 1286 */, LoongArch_INS_VPICKVE2GR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_RVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickve2gr.hu $rd, $vj, $imm3 */ + LoongArch_VPICKVE2GR_HU /* 1287 */, LoongArch_INS_VPICKVE2GR_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_RVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickve2gr.w $rd, $vj, $imm2 */ + LoongArch_VPICKVE2GR_W /* 1288 */, LoongArch_INS_VPICKVE2GR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_RVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vpickve2gr.wu $rd, $vj, $imm2 */ + LoongArch_VPICKVE2GR_WU /* 1289 */, LoongArch_INS_VPICKVE2GR_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_RVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplgr2vr.b $vd, $rj */ + LoongArch_VREPLGR2VR_B /* 1290 */, LoongArch_INS_VREPLGR2VR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VR, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplgr2vr.d $vd, $rj */ + LoongArch_VREPLGR2VR_D /* 1291 */, LoongArch_INS_VREPLGR2VR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VR, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplgr2vr.h $vd, $rj */ + LoongArch_VREPLGR2VR_H /* 1292 */, LoongArch_INS_VREPLGR2VR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VR, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplgr2vr.w $vd, $rj */ + LoongArch_VREPLGR2VR_W /* 1293 */, LoongArch_INS_VREPLGR2VR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_VR, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplvei.b $vd, $vj, $imm4 */ + LoongArch_VREPLVEI_B /* 1294 */, LoongArch_INS_VREPLVEI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplvei.d $vd, $vj, $imm1 */ + LoongArch_VREPLVEI_D /* 1295 */, LoongArch_INS_VREPLVEI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI1_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplvei.h $vd, $vj, $imm3 */ + LoongArch_VREPLVEI_H /* 1296 */, LoongArch_INS_VREPLVEI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplvei.w $vd, $vj, $imm2 */ + LoongArch_VREPLVEI_W /* 1297 */, LoongArch_INS_VREPLVEI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplve.b $vd, $vj, $rk */ + LoongArch_VREPLVE_B /* 1298 */, LoongArch_INS_VREPLVE_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVR, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplve.d $vd, $vj, $rk */ + LoongArch_VREPLVE_D /* 1299 */, LoongArch_INS_VREPLVE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVR, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplve.h $vd, $vj, $rk */ + LoongArch_VREPLVE_H /* 1300 */, LoongArch_INS_VREPLVE_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVR, CS_AC_INVALID }} + + #endif +}, +{ + /* vreplve.w $vd, $vj, $rk */ + LoongArch_VREPLVE_W /* 1301 */, LoongArch_INS_VREPLVE_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVR, CS_AC_INVALID }} + + #endif +}, +{ + /* vrotri.b $vd, $vj, $imm3 */ + LoongArch_VROTRI_B /* 1302 */, LoongArch_INS_VROTRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vrotri.d $vd, $vj, $imm6 */ + LoongArch_VROTRI_D /* 1303 */, LoongArch_INS_VROTRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vrotri.h $vd, $vj, $imm4 */ + LoongArch_VROTRI_H /* 1304 */, LoongArch_INS_VROTRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vrotri.w $vd, $vj, $imm5 */ + LoongArch_VROTRI_W /* 1305 */, LoongArch_INS_VROTRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vrotr.b $vd, $vj, $vk */ + LoongArch_VROTR_B /* 1306 */, LoongArch_INS_VROTR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vrotr.d $vd, $vj, $vk */ + LoongArch_VROTR_D /* 1307 */, LoongArch_INS_VROTR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vrotr.h $vd, $vj, $vk */ + LoongArch_VROTR_H /* 1308 */, LoongArch_INS_VROTR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vrotr.w $vd, $vj, $vk */ + LoongArch_VROTR_W /* 1309 */, LoongArch_INS_VROTR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsadd.b $vd, $vj, $vk */ + LoongArch_VSADD_B /* 1310 */, LoongArch_INS_VSADD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsadd.bu $vd, $vj, $vk */ + LoongArch_VSADD_BU /* 1311 */, LoongArch_INS_VSADD_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsadd.d $vd, $vj, $vk */ + LoongArch_VSADD_D /* 1312 */, LoongArch_INS_VSADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsadd.du $vd, $vj, $vk */ + LoongArch_VSADD_DU /* 1313 */, LoongArch_INS_VSADD_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsadd.h $vd, $vj, $vk */ + LoongArch_VSADD_H /* 1314 */, LoongArch_INS_VSADD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsadd.hu $vd, $vj, $vk */ + LoongArch_VSADD_HU /* 1315 */, LoongArch_INS_VSADD_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsadd.w $vd, $vj, $vk */ + LoongArch_VSADD_W /* 1316 */, LoongArch_INS_VSADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsadd.wu $vd, $vj, $vk */ + LoongArch_VSADD_WU /* 1317 */, LoongArch_INS_VSADD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsat.b $vd, $vj, $imm3 */ + LoongArch_VSAT_B /* 1318 */, LoongArch_INS_VSAT_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsat.bu $vd, $vj, $imm3 */ + LoongArch_VSAT_BU /* 1319 */, LoongArch_INS_VSAT_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsat.d $vd, $vj, $imm6 */ + LoongArch_VSAT_D /* 1320 */, LoongArch_INS_VSAT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsat.du $vd, $vj, $imm6 */ + LoongArch_VSAT_DU /* 1321 */, LoongArch_INS_VSAT_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsat.h $vd, $vj, $imm4 */ + LoongArch_VSAT_H /* 1322 */, LoongArch_INS_VSAT_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsat.hu $vd, $vj, $imm4 */ + LoongArch_VSAT_HU /* 1323 */, LoongArch_INS_VSAT_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsat.w $vd, $vj, $imm5 */ + LoongArch_VSAT_W /* 1324 */, LoongArch_INS_VSAT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsat.wu $vd, $vj, $imm5 */ + LoongArch_VSAT_WU /* 1325 */, LoongArch_INS_VSAT_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vseqi.b $vd, $vj, $imm5 */ + LoongArch_VSEQI_B /* 1326 */, LoongArch_INS_VSEQI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vseqi.d $vd, $vj, $imm5 */ + LoongArch_VSEQI_D /* 1327 */, LoongArch_INS_VSEQI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vseqi.h $vd, $vj, $imm5 */ + LoongArch_VSEQI_H /* 1328 */, LoongArch_INS_VSEQI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vseqi.w $vd, $vj, $imm5 */ + LoongArch_VSEQI_W /* 1329 */, LoongArch_INS_VSEQI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vseq.b $vd, $vj, $vk */ + LoongArch_VSEQ_B /* 1330 */, LoongArch_INS_VSEQ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vseq.d $vd, $vj, $vk */ + LoongArch_VSEQ_D /* 1331 */, LoongArch_INS_VSEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vseq.h $vd, $vj, $vk */ + LoongArch_VSEQ_H /* 1332 */, LoongArch_INS_VSEQ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vseq.w $vd, $vj, $vk */ + LoongArch_VSEQ_W /* 1333 */, LoongArch_INS_VSEQ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetallnez.b $cd, $vj */ + LoongArch_VSETALLNEZ_B /* 1334 */, LoongArch_INS_VSETALLNEZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetallnez.d $cd, $vj */ + LoongArch_VSETALLNEZ_D /* 1335 */, LoongArch_INS_VSETALLNEZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetallnez.h $cd, $vj */ + LoongArch_VSETALLNEZ_H /* 1336 */, LoongArch_INS_VSETALLNEZ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetallnez.w $cd, $vj */ + LoongArch_VSETALLNEZ_W /* 1337 */, LoongArch_INS_VSETALLNEZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetanyeqz.b $cd, $vj */ + LoongArch_VSETANYEQZ_B /* 1338 */, LoongArch_INS_VSETANYEQZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetanyeqz.d $cd, $vj */ + LoongArch_VSETANYEQZ_D /* 1339 */, LoongArch_INS_VSETANYEQZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetanyeqz.h $cd, $vj */ + LoongArch_VSETANYEQZ_H /* 1340 */, LoongArch_INS_VSETANYEQZ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetanyeqz.w $cd, $vj */ + LoongArch_VSETANYEQZ_W /* 1341 */, LoongArch_INS_VSETANYEQZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vseteqz.v $cd, $vj */ + LoongArch_VSETEQZ_V /* 1342 */, LoongArch_INS_VSETEQZ_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsetnez.v $cd, $vj */ + LoongArch_VSETNEZ_V /* 1343 */, LoongArch_INS_VSETNEZ_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CV, CS_AC_INVALID }} + + #endif +}, +{ + /* vshuf4i.b $vd, $vj, $imm8 */ + LoongArch_VSHUF4I_B /* 1344 */, LoongArch_INS_VSHUF4I_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vshuf4i.d $vd, $vj, $imm8 */ + LoongArch_VSHUF4I_D /* 1345 */, LoongArch_INS_VSHUF4I_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vshuf4i.h $vd, $vj, $imm8 */ + LoongArch_VSHUF4I_H /* 1346 */, LoongArch_INS_VSHUF4I_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vshuf4i.w $vd, $vj, $imm8 */ + LoongArch_VSHUF4I_W /* 1347 */, LoongArch_INS_VSHUF4I_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vshuf.b $vd, $vj, $vk, $va */ + LoongArch_VSHUF_B /* 1348 */, LoongArch_INS_VSHUF_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_VVVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vshuf.d $vd, $vj, $vk */ + LoongArch_VSHUF_D /* 1349 */, LoongArch_INS_VSHUF_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vshuf.h $vd, $vj, $vk */ + LoongArch_VSHUF_H /* 1350 */, LoongArch_INS_VSHUF_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vshuf.w $vd, $vj, $vk */ + LoongArch_VSHUF_W /* 1351 */, LoongArch_INS_VSHUF_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsigncov.b $vd, $vj, $vk */ + LoongArch_VSIGNCOV_B /* 1352 */, LoongArch_INS_VSIGNCOV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsigncov.d $vd, $vj, $vk */ + LoongArch_VSIGNCOV_D /* 1353 */, LoongArch_INS_VSIGNCOV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsigncov.h $vd, $vj, $vk */ + LoongArch_VSIGNCOV_H /* 1354 */, LoongArch_INS_VSIGNCOV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsigncov.w $vd, $vj, $vk */ + LoongArch_VSIGNCOV_W /* 1355 */, LoongArch_INS_VSIGNCOV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslei.b $vd, $vj, $imm5 */ + LoongArch_VSLEI_B /* 1356 */, LoongArch_INS_VSLEI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslei.bu $vd, $vj, $imm5 */ + LoongArch_VSLEI_BU /* 1357 */, LoongArch_INS_VSLEI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslei.d $vd, $vj, $imm5 */ + LoongArch_VSLEI_D /* 1358 */, LoongArch_INS_VSLEI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslei.du $vd, $vj, $imm5 */ + LoongArch_VSLEI_DU /* 1359 */, LoongArch_INS_VSLEI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslei.h $vd, $vj, $imm5 */ + LoongArch_VSLEI_H /* 1360 */, LoongArch_INS_VSLEI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslei.hu $vd, $vj, $imm5 */ + LoongArch_VSLEI_HU /* 1361 */, LoongArch_INS_VSLEI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslei.w $vd, $vj, $imm5 */ + LoongArch_VSLEI_W /* 1362 */, LoongArch_INS_VSLEI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslei.wu $vd, $vj, $imm5 */ + LoongArch_VSLEI_WU /* 1363 */, LoongArch_INS_VSLEI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsle.b $vd, $vj, $vk */ + LoongArch_VSLE_B /* 1364 */, LoongArch_INS_VSLE_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsle.bu $vd, $vj, $vk */ + LoongArch_VSLE_BU /* 1365 */, LoongArch_INS_VSLE_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsle.d $vd, $vj, $vk */ + LoongArch_VSLE_D /* 1366 */, LoongArch_INS_VSLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsle.du $vd, $vj, $vk */ + LoongArch_VSLE_DU /* 1367 */, LoongArch_INS_VSLE_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsle.h $vd, $vj, $vk */ + LoongArch_VSLE_H /* 1368 */, LoongArch_INS_VSLE_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsle.hu $vd, $vj, $vk */ + LoongArch_VSLE_HU /* 1369 */, LoongArch_INS_VSLE_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsle.w $vd, $vj, $vk */ + LoongArch_VSLE_W /* 1370 */, LoongArch_INS_VSLE_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsle.wu $vd, $vj, $vk */ + LoongArch_VSLE_WU /* 1371 */, LoongArch_INS_VSLE_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslli.b $vd, $vj, $imm3 */ + LoongArch_VSLLI_B /* 1372 */, LoongArch_INS_VSLLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslli.d $vd, $vj, $imm6 */ + LoongArch_VSLLI_D /* 1373 */, LoongArch_INS_VSLLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslli.h $vd, $vj, $imm4 */ + LoongArch_VSLLI_H /* 1374 */, LoongArch_INS_VSLLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslli.w $vd, $vj, $imm5 */ + LoongArch_VSLLI_W /* 1375 */, LoongArch_INS_VSLLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsllwil.du.wu $vd, $vj, $imm5 */ + LoongArch_VSLLWIL_DU_WU /* 1376 */, LoongArch_INS_VSLLWIL_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsllwil.d.w $vd, $vj, $imm5 */ + LoongArch_VSLLWIL_D_W /* 1377 */, LoongArch_INS_VSLLWIL_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsllwil.hu.bu $vd, $vj, $imm3 */ + LoongArch_VSLLWIL_HU_BU /* 1378 */, LoongArch_INS_VSLLWIL_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsllwil.h.b $vd, $vj, $imm3 */ + LoongArch_VSLLWIL_H_B /* 1379 */, LoongArch_INS_VSLLWIL_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsllwil.wu.hu $vd, $vj, $imm4 */ + LoongArch_VSLLWIL_WU_HU /* 1380 */, LoongArch_INS_VSLLWIL_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsllwil.w.h $vd, $vj, $imm4 */ + LoongArch_VSLLWIL_W_H /* 1381 */, LoongArch_INS_VSLLWIL_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsll.b $vd, $vj, $vk */ + LoongArch_VSLL_B /* 1382 */, LoongArch_INS_VSLL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsll.d $vd, $vj, $vk */ + LoongArch_VSLL_D /* 1383 */, LoongArch_INS_VSLL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsll.h $vd, $vj, $vk */ + LoongArch_VSLL_H /* 1384 */, LoongArch_INS_VSLL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsll.w $vd, $vj, $vk */ + LoongArch_VSLL_W /* 1385 */, LoongArch_INS_VSLL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslti.b $vd, $vj, $imm5 */ + LoongArch_VSLTI_B /* 1386 */, LoongArch_INS_VSLTI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslti.bu $vd, $vj, $imm5 */ + LoongArch_VSLTI_BU /* 1387 */, LoongArch_INS_VSLTI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslti.d $vd, $vj, $imm5 */ + LoongArch_VSLTI_D /* 1388 */, LoongArch_INS_VSLTI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslti.du $vd, $vj, $imm5 */ + LoongArch_VSLTI_DU /* 1389 */, LoongArch_INS_VSLTI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslti.h $vd, $vj, $imm5 */ + LoongArch_VSLTI_H /* 1390 */, LoongArch_INS_VSLTI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslti.hu $vd, $vj, $imm5 */ + LoongArch_VSLTI_HU /* 1391 */, LoongArch_INS_VSLTI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslti.w $vd, $vj, $imm5 */ + LoongArch_VSLTI_W /* 1392 */, LoongArch_INS_VSLTI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslti.wu $vd, $vj, $imm5 */ + LoongArch_VSLTI_WU /* 1393 */, LoongArch_INS_VSLTI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vslt.b $vd, $vj, $vk */ + LoongArch_VSLT_B /* 1394 */, LoongArch_INS_VSLT_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslt.bu $vd, $vj, $vk */ + LoongArch_VSLT_BU /* 1395 */, LoongArch_INS_VSLT_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslt.d $vd, $vj, $vk */ + LoongArch_VSLT_D /* 1396 */, LoongArch_INS_VSLT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslt.du $vd, $vj, $vk */ + LoongArch_VSLT_DU /* 1397 */, LoongArch_INS_VSLT_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslt.h $vd, $vj, $vk */ + LoongArch_VSLT_H /* 1398 */, LoongArch_INS_VSLT_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslt.hu $vd, $vj, $vk */ + LoongArch_VSLT_HU /* 1399 */, LoongArch_INS_VSLT_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslt.w $vd, $vj, $vk */ + LoongArch_VSLT_W /* 1400 */, LoongArch_INS_VSLT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vslt.wu $vd, $vj, $vk */ + LoongArch_VSLT_WU /* 1401 */, LoongArch_INS_VSLT_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrai.b $vd, $vj, $imm3 */ + LoongArch_VSRAI_B /* 1402 */, LoongArch_INS_VSRAI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrai.d $vd, $vj, $imm6 */ + LoongArch_VSRAI_D /* 1403 */, LoongArch_INS_VSRAI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrai.h $vd, $vj, $imm4 */ + LoongArch_VSRAI_H /* 1404 */, LoongArch_INS_VSRAI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrai.w $vd, $vj, $imm5 */ + LoongArch_VSRAI_W /* 1405 */, LoongArch_INS_VSRAI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrani.b.h $vd, $vj, $imm4 */ + LoongArch_VSRANI_B_H /* 1406 */, LoongArch_INS_VSRANI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrani.d.q $vd, $vj, $imm7 */ + LoongArch_VSRANI_D_Q /* 1407 */, LoongArch_INS_VSRANI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrani.h.w $vd, $vj, $imm5 */ + LoongArch_VSRANI_H_W /* 1408 */, LoongArch_INS_VSRANI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrani.w.d $vd, $vj, $imm6 */ + LoongArch_VSRANI_W_D /* 1409 */, LoongArch_INS_VSRANI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsran.b.h $vd, $vj, $vk */ + LoongArch_VSRAN_B_H /* 1410 */, LoongArch_INS_VSRAN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsran.h.w $vd, $vj, $vk */ + LoongArch_VSRAN_H_W /* 1411 */, LoongArch_INS_VSRAN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsran.w.d $vd, $vj, $vk */ + LoongArch_VSRAN_W_D /* 1412 */, LoongArch_INS_VSRAN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrari.b $vd, $vj, $imm3 */ + LoongArch_VSRARI_B /* 1413 */, LoongArch_INS_VSRARI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrari.d $vd, $vj, $imm6 */ + LoongArch_VSRARI_D /* 1414 */, LoongArch_INS_VSRARI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrari.h $vd, $vj, $imm4 */ + LoongArch_VSRARI_H /* 1415 */, LoongArch_INS_VSRARI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrari.w $vd, $vj, $imm5 */ + LoongArch_VSRARI_W /* 1416 */, LoongArch_INS_VSRARI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrarni.b.h $vd, $vj, $imm4 */ + LoongArch_VSRARNI_B_H /* 1417 */, LoongArch_INS_VSRARNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrarni.d.q $vd, $vj, $imm7 */ + LoongArch_VSRARNI_D_Q /* 1418 */, LoongArch_INS_VSRARNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrarni.h.w $vd, $vj, $imm5 */ + LoongArch_VSRARNI_H_W /* 1419 */, LoongArch_INS_VSRARNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrarni.w.d $vd, $vj, $imm6 */ + LoongArch_VSRARNI_W_D /* 1420 */, LoongArch_INS_VSRARNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrarn.b.h $vd, $vj, $vk */ + LoongArch_VSRARN_B_H /* 1421 */, LoongArch_INS_VSRARN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrarn.h.w $vd, $vj, $vk */ + LoongArch_VSRARN_H_W /* 1422 */, LoongArch_INS_VSRARN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrarn.w.d $vd, $vj, $vk */ + LoongArch_VSRARN_W_D /* 1423 */, LoongArch_INS_VSRARN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrar.b $vd, $vj, $vk */ + LoongArch_VSRAR_B /* 1424 */, LoongArch_INS_VSRAR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrar.d $vd, $vj, $vk */ + LoongArch_VSRAR_D /* 1425 */, LoongArch_INS_VSRAR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrar.h $vd, $vj, $vk */ + LoongArch_VSRAR_H /* 1426 */, LoongArch_INS_VSRAR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrar.w $vd, $vj, $vk */ + LoongArch_VSRAR_W /* 1427 */, LoongArch_INS_VSRAR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsra.b $vd, $vj, $vk */ + LoongArch_VSRA_B /* 1428 */, LoongArch_INS_VSRA_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsra.d $vd, $vj, $vk */ + LoongArch_VSRA_D /* 1429 */, LoongArch_INS_VSRA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsra.h $vd, $vj, $vk */ + LoongArch_VSRA_H /* 1430 */, LoongArch_INS_VSRA_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsra.w $vd, $vj, $vk */ + LoongArch_VSRA_W /* 1431 */, LoongArch_INS_VSRA_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrli.b $vd, $vj, $imm3 */ + LoongArch_VSRLI_B /* 1432 */, LoongArch_INS_VSRLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrli.d $vd, $vj, $imm6 */ + LoongArch_VSRLI_D /* 1433 */, LoongArch_INS_VSRLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrli.h $vd, $vj, $imm4 */ + LoongArch_VSRLI_H /* 1434 */, LoongArch_INS_VSRLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrli.w $vd, $vj, $imm5 */ + LoongArch_VSRLI_W /* 1435 */, LoongArch_INS_VSRLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlni.b.h $vd, $vj, $imm4 */ + LoongArch_VSRLNI_B_H /* 1436 */, LoongArch_INS_VSRLNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlni.d.q $vd, $vj, $imm7 */ + LoongArch_VSRLNI_D_Q /* 1437 */, LoongArch_INS_VSRLNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlni.h.w $vd, $vj, $imm5 */ + LoongArch_VSRLNI_H_W /* 1438 */, LoongArch_INS_VSRLNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlni.w.d $vd, $vj, $imm6 */ + LoongArch_VSRLNI_W_D /* 1439 */, LoongArch_INS_VSRLNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrln.b.h $vd, $vj, $vk */ + LoongArch_VSRLN_B_H /* 1440 */, LoongArch_INS_VSRLN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrln.h.w $vd, $vj, $vk */ + LoongArch_VSRLN_H_W /* 1441 */, LoongArch_INS_VSRLN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrln.w.d $vd, $vj, $vk */ + LoongArch_VSRLN_W_D /* 1442 */, LoongArch_INS_VSRLN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlri.b $vd, $vj, $imm3 */ + LoongArch_VSRLRI_B /* 1443 */, LoongArch_INS_VSRLRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlri.d $vd, $vj, $imm6 */ + LoongArch_VSRLRI_D /* 1444 */, LoongArch_INS_VSRLRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlri.h $vd, $vj, $imm4 */ + LoongArch_VSRLRI_H /* 1445 */, LoongArch_INS_VSRLRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlri.w $vd, $vj, $imm5 */ + LoongArch_VSRLRI_W /* 1446 */, LoongArch_INS_VSRLRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlrni.b.h $vd, $vj, $imm4 */ + LoongArch_VSRLRNI_B_H /* 1447 */, LoongArch_INS_VSRLRNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlrni.d.q $vd, $vj, $imm7 */ + LoongArch_VSRLRNI_D_Q /* 1448 */, LoongArch_INS_VSRLRNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlrni.h.w $vd, $vj, $imm5 */ + LoongArch_VSRLRNI_H_W /* 1449 */, LoongArch_INS_VSRLRNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlrni.w.d $vd, $vj, $imm6 */ + LoongArch_VSRLRNI_W_D /* 1450 */, LoongArch_INS_VSRLRNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlrn.b.h $vd, $vj, $vk */ + LoongArch_VSRLRN_B_H /* 1451 */, LoongArch_INS_VSRLRN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlrn.h.w $vd, $vj, $vk */ + LoongArch_VSRLRN_H_W /* 1452 */, LoongArch_INS_VSRLRN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlrn.w.d $vd, $vj, $vk */ + LoongArch_VSRLRN_W_D /* 1453 */, LoongArch_INS_VSRLRN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlr.b $vd, $vj, $vk */ + LoongArch_VSRLR_B /* 1454 */, LoongArch_INS_VSRLR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlr.d $vd, $vj, $vk */ + LoongArch_VSRLR_D /* 1455 */, LoongArch_INS_VSRLR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlr.h $vd, $vj, $vk */ + LoongArch_VSRLR_H /* 1456 */, LoongArch_INS_VSRLR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrlr.w $vd, $vj, $vk */ + LoongArch_VSRLR_W /* 1457 */, LoongArch_INS_VSRLR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrl.b $vd, $vj, $vk */ + LoongArch_VSRL_B /* 1458 */, LoongArch_INS_VSRL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrl.d $vd, $vj, $vk */ + LoongArch_VSRL_D /* 1459 */, LoongArch_INS_VSRL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrl.h $vd, $vj, $vk */ + LoongArch_VSRL_H /* 1460 */, LoongArch_INS_VSRL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsrl.w $vd, $vj, $vk */ + LoongArch_VSRL_W /* 1461 */, LoongArch_INS_VSRL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrani.bu.h $vd, $vj, $imm4 */ + LoongArch_VSSRANI_BU_H /* 1462 */, LoongArch_INS_VSSRANI_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrani.b.h $vd, $vj, $imm4 */ + LoongArch_VSSRANI_B_H /* 1463 */, LoongArch_INS_VSSRANI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrani.du.q $vd, $vj, $imm7 */ + LoongArch_VSSRANI_DU_Q /* 1464 */, LoongArch_INS_VSSRANI_DU_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrani.d.q $vd, $vj, $imm7 */ + LoongArch_VSSRANI_D_Q /* 1465 */, LoongArch_INS_VSSRANI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrani.hu.w $vd, $vj, $imm5 */ + LoongArch_VSSRANI_HU_W /* 1466 */, LoongArch_INS_VSSRANI_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrani.h.w $vd, $vj, $imm5 */ + LoongArch_VSSRANI_H_W /* 1467 */, LoongArch_INS_VSSRANI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrani.wu.d $vd, $vj, $imm6 */ + LoongArch_VSSRANI_WU_D /* 1468 */, LoongArch_INS_VSSRANI_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrani.w.d $vd, $vj, $imm6 */ + LoongArch_VSSRANI_W_D /* 1469 */, LoongArch_INS_VSSRANI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssran.bu.h $vd, $vj, $vk */ + LoongArch_VSSRAN_BU_H /* 1470 */, LoongArch_INS_VSSRAN_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssran.b.h $vd, $vj, $vk */ + LoongArch_VSSRAN_B_H /* 1471 */, LoongArch_INS_VSSRAN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssran.hu.w $vd, $vj, $vk */ + LoongArch_VSSRAN_HU_W /* 1472 */, LoongArch_INS_VSSRAN_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssran.h.w $vd, $vj, $vk */ + LoongArch_VSSRAN_H_W /* 1473 */, LoongArch_INS_VSSRAN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssran.wu.d $vd, $vj, $vk */ + LoongArch_VSSRAN_WU_D /* 1474 */, LoongArch_INS_VSSRAN_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssran.w.d $vd, $vj, $vk */ + LoongArch_VSSRAN_W_D /* 1475 */, LoongArch_INS_VSSRAN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarni.bu.h $vd, $vj, $imm4 */ + LoongArch_VSSRARNI_BU_H /* 1476 */, LoongArch_INS_VSSRARNI_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarni.b.h $vd, $vj, $imm4 */ + LoongArch_VSSRARNI_B_H /* 1477 */, LoongArch_INS_VSSRARNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarni.du.q $vd, $vj, $imm7 */ + LoongArch_VSSRARNI_DU_Q /* 1478 */, LoongArch_INS_VSSRARNI_DU_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarni.d.q $vd, $vj, $imm7 */ + LoongArch_VSSRARNI_D_Q /* 1479 */, LoongArch_INS_VSSRARNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarni.hu.w $vd, $vj, $imm5 */ + LoongArch_VSSRARNI_HU_W /* 1480 */, LoongArch_INS_VSSRARNI_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarni.h.w $vd, $vj, $imm5 */ + LoongArch_VSSRARNI_H_W /* 1481 */, LoongArch_INS_VSSRARNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarni.wu.d $vd, $vj, $imm6 */ + LoongArch_VSSRARNI_WU_D /* 1482 */, LoongArch_INS_VSSRARNI_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarni.w.d $vd, $vj, $imm6 */ + LoongArch_VSSRARNI_W_D /* 1483 */, LoongArch_INS_VSSRARNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarn.bu.h $vd, $vj, $vk */ + LoongArch_VSSRARN_BU_H /* 1484 */, LoongArch_INS_VSSRARN_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarn.b.h $vd, $vj, $vk */ + LoongArch_VSSRARN_B_H /* 1485 */, LoongArch_INS_VSSRARN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarn.hu.w $vd, $vj, $vk */ + LoongArch_VSSRARN_HU_W /* 1486 */, LoongArch_INS_VSSRARN_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarn.h.w $vd, $vj, $vk */ + LoongArch_VSSRARN_H_W /* 1487 */, LoongArch_INS_VSSRARN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarn.wu.d $vd, $vj, $vk */ + LoongArch_VSSRARN_WU_D /* 1488 */, LoongArch_INS_VSSRARN_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrarn.w.d $vd, $vj, $vk */ + LoongArch_VSSRARN_W_D /* 1489 */, LoongArch_INS_VSSRARN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlni.bu.h $vd, $vj, $imm4 */ + LoongArch_VSSRLNI_BU_H /* 1490 */, LoongArch_INS_VSSRLNI_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlni.b.h $vd, $vj, $imm4 */ + LoongArch_VSSRLNI_B_H /* 1491 */, LoongArch_INS_VSSRLNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlni.du.q $vd, $vj, $imm7 */ + LoongArch_VSSRLNI_DU_Q /* 1492 */, LoongArch_INS_VSSRLNI_DU_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlni.d.q $vd, $vj, $imm7 */ + LoongArch_VSSRLNI_D_Q /* 1493 */, LoongArch_INS_VSSRLNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlni.hu.w $vd, $vj, $imm5 */ + LoongArch_VSSRLNI_HU_W /* 1494 */, LoongArch_INS_VSSRLNI_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlni.h.w $vd, $vj, $imm5 */ + LoongArch_VSSRLNI_H_W /* 1495 */, LoongArch_INS_VSSRLNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlni.wu.d $vd, $vj, $imm6 */ + LoongArch_VSSRLNI_WU_D /* 1496 */, LoongArch_INS_VSSRLNI_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlni.w.d $vd, $vj, $imm6 */ + LoongArch_VSSRLNI_W_D /* 1497 */, LoongArch_INS_VSSRLNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrln.bu.h $vd, $vj, $vk */ + LoongArch_VSSRLN_BU_H /* 1498 */, LoongArch_INS_VSSRLN_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrln.b.h $vd, $vj, $vk */ + LoongArch_VSSRLN_B_H /* 1499 */, LoongArch_INS_VSSRLN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrln.hu.w $vd, $vj, $vk */ + LoongArch_VSSRLN_HU_W /* 1500 */, LoongArch_INS_VSSRLN_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrln.h.w $vd, $vj, $vk */ + LoongArch_VSSRLN_H_W /* 1501 */, LoongArch_INS_VSSRLN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrln.wu.d $vd, $vj, $vk */ + LoongArch_VSSRLN_WU_D /* 1502 */, LoongArch_INS_VSSRLN_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrln.w.d $vd, $vj, $vk */ + LoongArch_VSSRLN_W_D /* 1503 */, LoongArch_INS_VSSRLN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrni.bu.h $vd, $vj, $imm4 */ + LoongArch_VSSRLRNI_BU_H /* 1504 */, LoongArch_INS_VSSRLRNI_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrni.b.h $vd, $vj, $imm4 */ + LoongArch_VSSRLRNI_B_H /* 1505 */, LoongArch_INS_VSSRLRNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrni.du.q $vd, $vj, $imm7 */ + LoongArch_VSSRLRNI_DU_Q /* 1506 */, LoongArch_INS_VSSRLRNI_DU_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrni.d.q $vd, $vj, $imm7 */ + LoongArch_VSSRLRNI_D_Q /* 1507 */, LoongArch_INS_VSSRLRNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrni.hu.w $vd, $vj, $imm5 */ + LoongArch_VSSRLRNI_HU_W /* 1508 */, LoongArch_INS_VSSRLRNI_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrni.h.w $vd, $vj, $imm5 */ + LoongArch_VSSRLRNI_H_W /* 1509 */, LoongArch_INS_VSSRLRNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrni.wu.d $vd, $vj, $imm6 */ + LoongArch_VSSRLRNI_WU_D /* 1510 */, LoongArch_INS_VSSRLRNI_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrni.w.d $vd, $vj, $imm6 */ + LoongArch_VSSRLRNI_W_D /* 1511 */, LoongArch_INS_VSSRLRNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrn.bu.h $vd, $vj, $vk */ + LoongArch_VSSRLRN_BU_H /* 1512 */, LoongArch_INS_VSSRLRN_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrn.b.h $vd, $vj, $vk */ + LoongArch_VSSRLRN_B_H /* 1513 */, LoongArch_INS_VSSRLRN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrn.hu.w $vd, $vj, $vk */ + LoongArch_VSSRLRN_HU_W /* 1514 */, LoongArch_INS_VSSRLRN_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrn.h.w $vd, $vj, $vk */ + LoongArch_VSSRLRN_H_W /* 1515 */, LoongArch_INS_VSSRLRN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrn.wu.d $vd, $vj, $vk */ + LoongArch_VSSRLRN_WU_D /* 1516 */, LoongArch_INS_VSSRLRN_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssrlrn.w.d $vd, $vj, $vk */ + LoongArch_VSSRLRN_W_D /* 1517 */, LoongArch_INS_VSSRLRN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssub.b $vd, $vj, $vk */ + LoongArch_VSSUB_B /* 1518 */, LoongArch_INS_VSSUB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssub.bu $vd, $vj, $vk */ + LoongArch_VSSUB_BU /* 1519 */, LoongArch_INS_VSSUB_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssub.d $vd, $vj, $vk */ + LoongArch_VSSUB_D /* 1520 */, LoongArch_INS_VSSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssub.du $vd, $vj, $vk */ + LoongArch_VSSUB_DU /* 1521 */, LoongArch_INS_VSSUB_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssub.h $vd, $vj, $vk */ + LoongArch_VSSUB_H /* 1522 */, LoongArch_INS_VSSUB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssub.hu $vd, $vj, $vk */ + LoongArch_VSSUB_HU /* 1523 */, LoongArch_INS_VSSUB_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssub.w $vd, $vj, $vk */ + LoongArch_VSSUB_W /* 1524 */, LoongArch_INS_VSSUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vssub.wu $vd, $vj, $vk */ + LoongArch_VSSUB_WU /* 1525 */, LoongArch_INS_VSSUB_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vst $vd, $rj, $imm12 */ + LoongArch_VST /* 1526 */, LoongArch_INS_VST, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12_VRI, CS_AC_WRITE }} + + #endif +}, +{ + /* vstelm.b $vd, $rj, $imm8, $imm4 */ + LoongArch_VSTELM_B /* 1527 */, LoongArch_INS_VSTELM_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8I4_VRII, CS_AC_WRITE }} + + #endif +}, +{ + /* vstelm.d $vd, $rj, $imm8, $imm1 */ + LoongArch_VSTELM_D /* 1528 */, LoongArch_INS_VSTELM_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8I1_VRII, CS_AC_WRITE }} + + #endif +}, +{ + /* vstelm.h $vd, $rj, $imm8, $imm3 */ + LoongArch_VSTELM_H /* 1529 */, LoongArch_INS_VSTELM_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8I3_VRII, CS_AC_WRITE }} + + #endif +}, +{ + /* vstelm.w $vd, $rj, $imm8, $imm2 */ + LoongArch_VSTELM_W /* 1530 */, LoongArch_INS_VSTELM_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8I2_VRII, CS_AC_WRITE }} + + #endif +}, +{ + /* vstx $vd, $rj, $rk */ + LoongArch_VSTX /* 1531 */, LoongArch_INS_VSTX, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VRR, CS_AC_WRITE }} + + #endif +}, +{ + /* vsubi.bu $vd, $vj, $imm5 */ + LoongArch_VSUBI_BU /* 1532 */, LoongArch_INS_VSUBI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubi.du $vd, $vj, $imm5 */ + LoongArch_VSUBI_DU /* 1533 */, LoongArch_INS_VSUBI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubi.hu $vd, $vj, $imm5 */ + LoongArch_VSUBI_HU /* 1534 */, LoongArch_INS_VSUBI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubi.wu $vd, $vj, $imm5 */ + LoongArch_VSUBI_WU /* 1535 */, LoongArch_INS_VSUBI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwev.d.w $vd, $vj, $vk */ + LoongArch_VSUBWEV_D_W /* 1536 */, LoongArch_INS_VSUBWEV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwev.d.wu $vd, $vj, $vk */ + LoongArch_VSUBWEV_D_WU /* 1537 */, LoongArch_INS_VSUBWEV_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwev.h.b $vd, $vj, $vk */ + LoongArch_VSUBWEV_H_B /* 1538 */, LoongArch_INS_VSUBWEV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwev.h.bu $vd, $vj, $vk */ + LoongArch_VSUBWEV_H_BU /* 1539 */, LoongArch_INS_VSUBWEV_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwev.q.d $vd, $vj, $vk */ + LoongArch_VSUBWEV_Q_D /* 1540 */, LoongArch_INS_VSUBWEV_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwev.q.du $vd, $vj, $vk */ + LoongArch_VSUBWEV_Q_DU /* 1541 */, LoongArch_INS_VSUBWEV_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwev.w.h $vd, $vj, $vk */ + LoongArch_VSUBWEV_W_H /* 1542 */, LoongArch_INS_VSUBWEV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwev.w.hu $vd, $vj, $vk */ + LoongArch_VSUBWEV_W_HU /* 1543 */, LoongArch_INS_VSUBWEV_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwod.d.w $vd, $vj, $vk */ + LoongArch_VSUBWOD_D_W /* 1544 */, LoongArch_INS_VSUBWOD_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwod.d.wu $vd, $vj, $vk */ + LoongArch_VSUBWOD_D_WU /* 1545 */, LoongArch_INS_VSUBWOD_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwod.h.b $vd, $vj, $vk */ + LoongArch_VSUBWOD_H_B /* 1546 */, LoongArch_INS_VSUBWOD_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwod.h.bu $vd, $vj, $vk */ + LoongArch_VSUBWOD_H_BU /* 1547 */, LoongArch_INS_VSUBWOD_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwod.q.d $vd, $vj, $vk */ + LoongArch_VSUBWOD_Q_D /* 1548 */, LoongArch_INS_VSUBWOD_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwod.q.du $vd, $vj, $vk */ + LoongArch_VSUBWOD_Q_DU /* 1549 */, LoongArch_INS_VSUBWOD_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwod.w.h $vd, $vj, $vk */ + LoongArch_VSUBWOD_W_H /* 1550 */, LoongArch_INS_VSUBWOD_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsubwod.w.hu $vd, $vj, $vk */ + LoongArch_VSUBWOD_W_HU /* 1551 */, LoongArch_INS_VSUBWOD_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsub.b $vd, $vj, $vk */ + LoongArch_VSUB_B /* 1552 */, LoongArch_INS_VSUB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsub.d $vd, $vj, $vk */ + LoongArch_VSUB_D /* 1553 */, LoongArch_INS_VSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsub.h $vd, $vj, $vk */ + LoongArch_VSUB_H /* 1554 */, LoongArch_INS_VSUB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsub.q $vd, $vj, $vk */ + LoongArch_VSUB_Q /* 1555 */, LoongArch_INS_VSUB_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vsub.w $vd, $vj, $vk */ + LoongArch_VSUB_W /* 1556 */, LoongArch_INS_VSUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* vxori.b $vd, $vj, $imm8 */ + LoongArch_VXORI_B /* 1557 */, LoongArch_INS_VXORI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_VVI, CS_AC_INVALID }} + + #endif +}, +{ + /* vxor.v $vd, $vj, $vk */ + LoongArch_VXOR_V /* 1558 */, LoongArch_INS_VXOR_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_VVV, CS_AC_INVALID }} + + #endif +}, +{ + /* x86adc.b $rj, $rk */ + LoongArch_X86ADC_B /* 1559 */, LoongArch_INS_X86ADC_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86adc.d $rj, $rk */ + LoongArch_X86ADC_D /* 1560 */, LoongArch_INS_X86ADC_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86adc.h $rj, $rk */ + LoongArch_X86ADC_H /* 1561 */, LoongArch_INS_X86ADC_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86adc.w $rj, $rk */ + LoongArch_X86ADC_W /* 1562 */, LoongArch_INS_X86ADC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86add.b $rj, $rk */ + LoongArch_X86ADD_B /* 1563 */, LoongArch_INS_X86ADD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86add.d $rj, $rk */ + LoongArch_X86ADD_D /* 1564 */, LoongArch_INS_X86ADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86add.du $rj, $rk */ + LoongArch_X86ADD_DU /* 1565 */, LoongArch_INS_X86ADD_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86add.h $rj, $rk */ + LoongArch_X86ADD_H /* 1566 */, LoongArch_INS_X86ADD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86add.w $rj, $rk */ + LoongArch_X86ADD_W /* 1567 */, LoongArch_INS_X86ADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86add.wu $rj, $rk */ + LoongArch_X86ADD_WU /* 1568 */, LoongArch_INS_X86ADD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86and.b $rj, $rk */ + LoongArch_X86AND_B /* 1569 */, LoongArch_INS_X86AND_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86and.d $rj, $rk */ + LoongArch_X86AND_D /* 1570 */, LoongArch_INS_X86AND_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86and.h $rj, $rk */ + LoongArch_X86AND_H /* 1571 */, LoongArch_INS_X86AND_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86and.w $rj, $rk */ + LoongArch_X86AND_W /* 1572 */, LoongArch_INS_X86AND_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86clrtm */ + LoongArch_X86CLRTM /* 1573 */, LoongArch_INS_X86CLRTM, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* x86dectop */ + LoongArch_X86DECTOP /* 1574 */, LoongArch_INS_X86DECTOP, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* x86dec.b $rj */ + LoongArch_X86DEC_B /* 1575 */, LoongArch_INS_X86DEC_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86dec.d $rj */ + LoongArch_X86DEC_D /* 1576 */, LoongArch_INS_X86DEC_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86dec.h $rj */ + LoongArch_X86DEC_H /* 1577 */, LoongArch_INS_X86DEC_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86dec.w $rj */ + LoongArch_X86DEC_W /* 1578 */, LoongArch_INS_X86DEC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86inctop */ + LoongArch_X86INCTOP /* 1579 */, LoongArch_INS_X86INCTOP, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* x86inc.b $rj */ + LoongArch_X86INC_B /* 1580 */, LoongArch_INS_X86INC_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86inc.d $rj */ + LoongArch_X86INC_D /* 1581 */, LoongArch_INS_X86INC_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86inc.h $rj */ + LoongArch_X86INC_H /* 1582 */, LoongArch_INS_X86INC_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86inc.w $rj */ + LoongArch_X86INC_W /* 1583 */, LoongArch_INS_X86INC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mfflag $rd, $imm8 */ + LoongArch_X86MFFLAG /* 1584 */, LoongArch_INS_X86MFFLAG, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI8, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mftop $rd */ + LoongArch_X86MFTOP /* 1585 */, LoongArch_INS_X86MFTOP, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTMFTOP, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mtflag $rd, $imm8 */ + LoongArch_X86MTFLAG /* 1586 */, LoongArch_INS_X86MTFLAG, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI8, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mttop $ptr */ + LoongArch_X86MTTOP /* 1587 */, LoongArch_INS_X86MTTOP, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTMTTOP, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mul.b $rj, $rk */ + LoongArch_X86MUL_B /* 1588 */, LoongArch_INS_X86MUL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mul.bu $rj, $rk */ + LoongArch_X86MUL_BU /* 1589 */, LoongArch_INS_X86MUL_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mul.d $rj, $rk */ + LoongArch_X86MUL_D /* 1590 */, LoongArch_INS_X86MUL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mul.du $rj, $rk */ + LoongArch_X86MUL_DU /* 1591 */, LoongArch_INS_X86MUL_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mul.h $rj, $rk */ + LoongArch_X86MUL_H /* 1592 */, LoongArch_INS_X86MUL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mul.hu $rj, $rk */ + LoongArch_X86MUL_HU /* 1593 */, LoongArch_INS_X86MUL_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mul.w $rj, $rk */ + LoongArch_X86MUL_W /* 1594 */, LoongArch_INS_X86MUL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86mul.wu $rj, $rk */ + LoongArch_X86MUL_WU /* 1595 */, LoongArch_INS_X86MUL_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86or.b $rj, $rk */ + LoongArch_X86OR_B /* 1596 */, LoongArch_INS_X86OR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86or.d $rj, $rk */ + LoongArch_X86OR_D /* 1597 */, LoongArch_INS_X86OR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86or.h $rj, $rk */ + LoongArch_X86OR_H /* 1598 */, LoongArch_INS_X86OR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86or.w $rj, $rk */ + LoongArch_X86OR_W /* 1599 */, LoongArch_INS_X86OR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcli.b $rj, $imm3 */ + LoongArch_X86RCLI_B /* 1600 */, LoongArch_INS_X86RCLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcli.d $rj, $imm6 */ + LoongArch_X86RCLI_D /* 1601 */, LoongArch_INS_X86RCLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcli.h $rj, $imm4 */ + LoongArch_X86RCLI_H /* 1602 */, LoongArch_INS_X86RCLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcli.w $rj, $imm5 */ + LoongArch_X86RCLI_W /* 1603 */, LoongArch_INS_X86RCLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcl.b $rj, $rk */ + LoongArch_X86RCL_B /* 1604 */, LoongArch_INS_X86RCL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcl.d $rj, $rk */ + LoongArch_X86RCL_D /* 1605 */, LoongArch_INS_X86RCL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcl.h $rj, $rk */ + LoongArch_X86RCL_H /* 1606 */, LoongArch_INS_X86RCL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcl.w $rj, $rk */ + LoongArch_X86RCL_W /* 1607 */, LoongArch_INS_X86RCL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcri.b $rj, $imm3 */ + LoongArch_X86RCRI_B /* 1608 */, LoongArch_INS_X86RCRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcri.d $rj, $imm6 */ + LoongArch_X86RCRI_D /* 1609 */, LoongArch_INS_X86RCRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcri.h $rj, $imm4 */ + LoongArch_X86RCRI_H /* 1610 */, LoongArch_INS_X86RCRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcri.w $rj, $imm5 */ + LoongArch_X86RCRI_W /* 1611 */, LoongArch_INS_X86RCRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcr.b $rj, $rk */ + LoongArch_X86RCR_B /* 1612 */, LoongArch_INS_X86RCR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcr.d $rj, $rk */ + LoongArch_X86RCR_D /* 1613 */, LoongArch_INS_X86RCR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcr.h $rj, $rk */ + LoongArch_X86RCR_H /* 1614 */, LoongArch_INS_X86RCR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rcr.w $rj, $rk */ + LoongArch_X86RCR_W /* 1615 */, LoongArch_INS_X86RCR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotli.b $rj, $imm3 */ + LoongArch_X86ROTLI_B /* 1616 */, LoongArch_INS_X86ROTLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotli.d $rj, $imm6 */ + LoongArch_X86ROTLI_D /* 1617 */, LoongArch_INS_X86ROTLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotli.h $rj, $imm4 */ + LoongArch_X86ROTLI_H /* 1618 */, LoongArch_INS_X86ROTLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotli.w $rj, $imm5 */ + LoongArch_X86ROTLI_W /* 1619 */, LoongArch_INS_X86ROTLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotl.b $rj, $rk */ + LoongArch_X86ROTL_B /* 1620 */, LoongArch_INS_X86ROTL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotl.d $rj, $rk */ + LoongArch_X86ROTL_D /* 1621 */, LoongArch_INS_X86ROTL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotl.h $rj, $rk */ + LoongArch_X86ROTL_H /* 1622 */, LoongArch_INS_X86ROTL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotl.w $rj, $rk */ + LoongArch_X86ROTL_W /* 1623 */, LoongArch_INS_X86ROTL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotri.b $rj, $imm3 */ + LoongArch_X86ROTRI_B /* 1624 */, LoongArch_INS_X86ROTRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotri.d $rj, $imm6 */ + LoongArch_X86ROTRI_D /* 1625 */, LoongArch_INS_X86ROTRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotri.h $rj, $imm4 */ + LoongArch_X86ROTRI_H /* 1626 */, LoongArch_INS_X86ROTRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotri.w $rj, $imm5 */ + LoongArch_X86ROTRI_W /* 1627 */, LoongArch_INS_X86ROTRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotr.b $rj, $rk */ + LoongArch_X86ROTR_B /* 1628 */, LoongArch_INS_X86ROTR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotr.d $rj, $rk */ + LoongArch_X86ROTR_D /* 1629 */, LoongArch_INS_X86ROTR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotr.h $rj, $rk */ + LoongArch_X86ROTR_H /* 1630 */, LoongArch_INS_X86ROTR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86rotr.w $rj, $rk */ + LoongArch_X86ROTR_W /* 1631 */, LoongArch_INS_X86ROTR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sbc.b $rj, $rk */ + LoongArch_X86SBC_B /* 1632 */, LoongArch_INS_X86SBC_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sbc.d $rj, $rk */ + LoongArch_X86SBC_D /* 1633 */, LoongArch_INS_X86SBC_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sbc.h $rj, $rk */ + LoongArch_X86SBC_H /* 1634 */, LoongArch_INS_X86SBC_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sbc.w $rj, $rk */ + LoongArch_X86SBC_W /* 1635 */, LoongArch_INS_X86SBC_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86settag $rd, $imm5, $imm8 */ + LoongArch_X86SETTAG /* 1636 */, LoongArch_INS_X86SETTAG, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI5I8, CS_AC_INVALID }} + + #endif +}, +{ + /* x86settm */ + LoongArch_X86SETTM /* 1637 */, LoongArch_INS_X86SETTM, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMTI32, CS_AC_INVALID }} + + #endif +}, +{ + /* x86slli.b $rj, $imm3 */ + LoongArch_X86SLLI_B /* 1638 */, LoongArch_INS_X86SLLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* x86slli.d $rj, $imm6 */ + LoongArch_X86SLLI_D /* 1639 */, LoongArch_INS_X86SLLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* x86slli.h $rj, $imm4 */ + LoongArch_X86SLLI_H /* 1640 */, LoongArch_INS_X86SLLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* x86slli.w $rj, $imm5 */ + LoongArch_X86SLLI_W /* 1641 */, LoongArch_INS_X86SLLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sll.b $rj, $rk */ + LoongArch_X86SLL_B /* 1642 */, LoongArch_INS_X86SLL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sll.d $rj, $rk */ + LoongArch_X86SLL_D /* 1643 */, LoongArch_INS_X86SLL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sll.h $rj, $rk */ + LoongArch_X86SLL_H /* 1644 */, LoongArch_INS_X86SLL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sll.w $rj, $rk */ + LoongArch_X86SLL_W /* 1645 */, LoongArch_INS_X86SLL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srai.b $rj, $imm3 */ + LoongArch_X86SRAI_B /* 1646 */, LoongArch_INS_X86SRAI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srai.d $rj, $imm6 */ + LoongArch_X86SRAI_D /* 1647 */, LoongArch_INS_X86SRAI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srai.h $rj, $imm4 */ + LoongArch_X86SRAI_H /* 1648 */, LoongArch_INS_X86SRAI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srai.w $rj, $imm5 */ + LoongArch_X86SRAI_W /* 1649 */, LoongArch_INS_X86SRAI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sra.b $rj, $rk */ + LoongArch_X86SRA_B /* 1650 */, LoongArch_INS_X86SRA_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sra.d $rj, $rk */ + LoongArch_X86SRA_D /* 1651 */, LoongArch_INS_X86SRA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sra.h $rj, $rk */ + LoongArch_X86SRA_H /* 1652 */, LoongArch_INS_X86SRA_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sra.w $rj, $rk */ + LoongArch_X86SRA_W /* 1653 */, LoongArch_INS_X86SRA_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srli.b $rj, $imm3 */ + LoongArch_X86SRLI_B /* 1654 */, LoongArch_INS_X86SRLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI3, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srli.d $rj, $imm6 */ + LoongArch_X86SRLI_D /* 1655 */, LoongArch_INS_X86SRLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI6, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srli.h $rj, $imm4 */ + LoongArch_X86SRLI_H /* 1656 */, LoongArch_INS_X86SRLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI4, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srli.w $rj, $imm5 */ + LoongArch_X86SRLI_W /* 1657 */, LoongArch_INS_X86SRLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT1RI5, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srl.b $rj, $rk */ + LoongArch_X86SRL_B /* 1658 */, LoongArch_INS_X86SRL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srl.d $rj, $rk */ + LoongArch_X86SRL_D /* 1659 */, LoongArch_INS_X86SRL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srl.h $rj, $rk */ + LoongArch_X86SRL_H /* 1660 */, LoongArch_INS_X86SRL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86srl.w $rj, $rk */ + LoongArch_X86SRL_W /* 1661 */, LoongArch_INS_X86SRL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sub.b $rj, $rk */ + LoongArch_X86SUB_B /* 1662 */, LoongArch_INS_X86SUB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sub.d $rj, $rk */ + LoongArch_X86SUB_D /* 1663 */, LoongArch_INS_X86SUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sub.du $rj, $rk */ + LoongArch_X86SUB_DU /* 1664 */, LoongArch_INS_X86SUB_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sub.h $rj, $rk */ + LoongArch_X86SUB_H /* 1665 */, LoongArch_INS_X86SUB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sub.w $rj, $rk */ + LoongArch_X86SUB_W /* 1666 */, LoongArch_INS_X86SUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86sub.wu $rj, $rk */ + LoongArch_X86SUB_WU /* 1667 */, LoongArch_INS_X86SUB_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86xor.b $rj, $rk */ + LoongArch_X86XOR_B /* 1668 */, LoongArch_INS_X86XOR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86xor.d $rj, $rk */ + LoongArch_X86XOR_D /* 1669 */, LoongArch_INS_X86XOR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { LoongArch_FEATURE_IsLA64, 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86xor.h $rj, $rk */ + LoongArch_X86XOR_H /* 1670 */, LoongArch_INS_X86XOR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* x86xor.w $rj, $rk */ + LoongArch_X86XOR_W /* 1671 */, LoongArch_INS_X86XOR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_NODSTFMT2R, CS_AC_INVALID }} + + #endif +}, +{ + /* xor $rd, $rj, $rk */ + LoongArch_XOR /* 1672 */, LoongArch_INS_XOR, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R, CS_AC_INVALID }} + + #endif +}, +{ + /* xori $rd, $rj, $imm12 */ + LoongArch_XORI /* 1673 */, LoongArch_INS_XORI, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12, CS_AC_INVALID }} + + #endif +}, +{ + /* xvabsd.b $xd, $xj, $xk */ + LoongArch_XVABSD_B /* 1674 */, LoongArch_INS_XVABSD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvabsd.bu $xd, $xj, $xk */ + LoongArch_XVABSD_BU /* 1675 */, LoongArch_INS_XVABSD_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvabsd.d $xd, $xj, $xk */ + LoongArch_XVABSD_D /* 1676 */, LoongArch_INS_XVABSD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvabsd.du $xd, $xj, $xk */ + LoongArch_XVABSD_DU /* 1677 */, LoongArch_INS_XVABSD_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvabsd.h $xd, $xj, $xk */ + LoongArch_XVABSD_H /* 1678 */, LoongArch_INS_XVABSD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvabsd.hu $xd, $xj, $xk */ + LoongArch_XVABSD_HU /* 1679 */, LoongArch_INS_XVABSD_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvabsd.w $xd, $xj, $xk */ + LoongArch_XVABSD_W /* 1680 */, LoongArch_INS_XVABSD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvabsd.wu $xd, $xj, $xk */ + LoongArch_XVABSD_WU /* 1681 */, LoongArch_INS_XVABSD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadda.b $xd, $xj, $xk */ + LoongArch_XVADDA_B /* 1682 */, LoongArch_INS_XVADDA_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadda.d $xd, $xj, $xk */ + LoongArch_XVADDA_D /* 1683 */, LoongArch_INS_XVADDA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadda.h $xd, $xj, $xk */ + LoongArch_XVADDA_H /* 1684 */, LoongArch_INS_XVADDA_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadda.w $xd, $xj, $xk */ + LoongArch_XVADDA_W /* 1685 */, LoongArch_INS_XVADDA_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddi.bu $xd, $xj, $imm5 */ + LoongArch_XVADDI_BU /* 1686 */, LoongArch_INS_XVADDI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddi.du $xd, $xj, $imm5 */ + LoongArch_XVADDI_DU /* 1687 */, LoongArch_INS_XVADDI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddi.hu $xd, $xj, $imm5 */ + LoongArch_XVADDI_HU /* 1688 */, LoongArch_INS_XVADDI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddi.wu $xd, $xj, $imm5 */ + LoongArch_XVADDI_WU /* 1689 */, LoongArch_INS_XVADDI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.d.w $xd, $xj, $xk */ + LoongArch_XVADDWEV_D_W /* 1690 */, LoongArch_INS_XVADDWEV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.d.wu $xd, $xj, $xk */ + LoongArch_XVADDWEV_D_WU /* 1691 */, LoongArch_INS_XVADDWEV_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.d.wu.w $xd, $xj, $xk */ + LoongArch_XVADDWEV_D_WU_W /* 1692 */, LoongArch_INS_XVADDWEV_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.h.b $xd, $xj, $xk */ + LoongArch_XVADDWEV_H_B /* 1693 */, LoongArch_INS_XVADDWEV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.h.bu $xd, $xj, $xk */ + LoongArch_XVADDWEV_H_BU /* 1694 */, LoongArch_INS_XVADDWEV_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.h.bu.b $xd, $xj, $xk */ + LoongArch_XVADDWEV_H_BU_B /* 1695 */, LoongArch_INS_XVADDWEV_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.q.d $xd, $xj, $xk */ + LoongArch_XVADDWEV_Q_D /* 1696 */, LoongArch_INS_XVADDWEV_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.q.du $xd, $xj, $xk */ + LoongArch_XVADDWEV_Q_DU /* 1697 */, LoongArch_INS_XVADDWEV_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.q.du.d $xd, $xj, $xk */ + LoongArch_XVADDWEV_Q_DU_D /* 1698 */, LoongArch_INS_XVADDWEV_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.w.h $xd, $xj, $xk */ + LoongArch_XVADDWEV_W_H /* 1699 */, LoongArch_INS_XVADDWEV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.w.hu $xd, $xj, $xk */ + LoongArch_XVADDWEV_W_HU /* 1700 */, LoongArch_INS_XVADDWEV_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwev.w.hu.h $xd, $xj, $xk */ + LoongArch_XVADDWEV_W_HU_H /* 1701 */, LoongArch_INS_XVADDWEV_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.d.w $xd, $xj, $xk */ + LoongArch_XVADDWOD_D_W /* 1702 */, LoongArch_INS_XVADDWOD_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.d.wu $xd, $xj, $xk */ + LoongArch_XVADDWOD_D_WU /* 1703 */, LoongArch_INS_XVADDWOD_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.d.wu.w $xd, $xj, $xk */ + LoongArch_XVADDWOD_D_WU_W /* 1704 */, LoongArch_INS_XVADDWOD_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.h.b $xd, $xj, $xk */ + LoongArch_XVADDWOD_H_B /* 1705 */, LoongArch_INS_XVADDWOD_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.h.bu $xd, $xj, $xk */ + LoongArch_XVADDWOD_H_BU /* 1706 */, LoongArch_INS_XVADDWOD_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.h.bu.b $xd, $xj, $xk */ + LoongArch_XVADDWOD_H_BU_B /* 1707 */, LoongArch_INS_XVADDWOD_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.q.d $xd, $xj, $xk */ + LoongArch_XVADDWOD_Q_D /* 1708 */, LoongArch_INS_XVADDWOD_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.q.du $xd, $xj, $xk */ + LoongArch_XVADDWOD_Q_DU /* 1709 */, LoongArch_INS_XVADDWOD_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.q.du.d $xd, $xj, $xk */ + LoongArch_XVADDWOD_Q_DU_D /* 1710 */, LoongArch_INS_XVADDWOD_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.w.h $xd, $xj, $xk */ + LoongArch_XVADDWOD_W_H /* 1711 */, LoongArch_INS_XVADDWOD_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.w.hu $xd, $xj, $xk */ + LoongArch_XVADDWOD_W_HU /* 1712 */, LoongArch_INS_XVADDWOD_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvaddwod.w.hu.h $xd, $xj, $xk */ + LoongArch_XVADDWOD_W_HU_H /* 1713 */, LoongArch_INS_XVADDWOD_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadd.b $xd, $xj, $xk */ + LoongArch_XVADD_B /* 1714 */, LoongArch_INS_XVADD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadd.d $xd, $xj, $xk */ + LoongArch_XVADD_D /* 1715 */, LoongArch_INS_XVADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadd.h $xd, $xj, $xk */ + LoongArch_XVADD_H /* 1716 */, LoongArch_INS_XVADD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadd.q $xd, $xj, $xk */ + LoongArch_XVADD_Q /* 1717 */, LoongArch_INS_XVADD_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvadd.w $xd, $xj, $xk */ + LoongArch_XVADD_W /* 1718 */, LoongArch_INS_XVADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvandi.b $xd, $xj, $imm8 */ + LoongArch_XVANDI_B /* 1719 */, LoongArch_INS_XVANDI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvandn.v $xd, $xj, $xk */ + LoongArch_XVANDN_V /* 1720 */, LoongArch_INS_XVANDN_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvand.v $xd, $xj, $xk */ + LoongArch_XVAND_V /* 1721 */, LoongArch_INS_XVAND_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavgr.b $xd, $xj, $xk */ + LoongArch_XVAVGR_B /* 1722 */, LoongArch_INS_XVAVGR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavgr.bu $xd, $xj, $xk */ + LoongArch_XVAVGR_BU /* 1723 */, LoongArch_INS_XVAVGR_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavgr.d $xd, $xj, $xk */ + LoongArch_XVAVGR_D /* 1724 */, LoongArch_INS_XVAVGR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavgr.du $xd, $xj, $xk */ + LoongArch_XVAVGR_DU /* 1725 */, LoongArch_INS_XVAVGR_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavgr.h $xd, $xj, $xk */ + LoongArch_XVAVGR_H /* 1726 */, LoongArch_INS_XVAVGR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavgr.hu $xd, $xj, $xk */ + LoongArch_XVAVGR_HU /* 1727 */, LoongArch_INS_XVAVGR_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavgr.w $xd, $xj, $xk */ + LoongArch_XVAVGR_W /* 1728 */, LoongArch_INS_XVAVGR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavgr.wu $xd, $xj, $xk */ + LoongArch_XVAVGR_WU /* 1729 */, LoongArch_INS_XVAVGR_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavg.b $xd, $xj, $xk */ + LoongArch_XVAVG_B /* 1730 */, LoongArch_INS_XVAVG_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavg.bu $xd, $xj, $xk */ + LoongArch_XVAVG_BU /* 1731 */, LoongArch_INS_XVAVG_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavg.d $xd, $xj, $xk */ + LoongArch_XVAVG_D /* 1732 */, LoongArch_INS_XVAVG_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavg.du $xd, $xj, $xk */ + LoongArch_XVAVG_DU /* 1733 */, LoongArch_INS_XVAVG_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavg.h $xd, $xj, $xk */ + LoongArch_XVAVG_H /* 1734 */, LoongArch_INS_XVAVG_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavg.hu $xd, $xj, $xk */ + LoongArch_XVAVG_HU /* 1735 */, LoongArch_INS_XVAVG_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavg.w $xd, $xj, $xk */ + LoongArch_XVAVG_W /* 1736 */, LoongArch_INS_XVAVG_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvavg.wu $xd, $xj, $xk */ + LoongArch_XVAVG_WU /* 1737 */, LoongArch_INS_XVAVG_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitclri.b $xd, $xj, $imm3 */ + LoongArch_XVBITCLRI_B /* 1738 */, LoongArch_INS_XVBITCLRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitclri.d $xd, $xj, $imm6 */ + LoongArch_XVBITCLRI_D /* 1739 */, LoongArch_INS_XVBITCLRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitclri.h $xd, $xj, $imm4 */ + LoongArch_XVBITCLRI_H /* 1740 */, LoongArch_INS_XVBITCLRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitclri.w $xd, $xj, $imm5 */ + LoongArch_XVBITCLRI_W /* 1741 */, LoongArch_INS_XVBITCLRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitclr.b $xd, $xj, $xk */ + LoongArch_XVBITCLR_B /* 1742 */, LoongArch_INS_XVBITCLR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitclr.d $xd, $xj, $xk */ + LoongArch_XVBITCLR_D /* 1743 */, LoongArch_INS_XVBITCLR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitclr.h $xd, $xj, $xk */ + LoongArch_XVBITCLR_H /* 1744 */, LoongArch_INS_XVBITCLR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitclr.w $xd, $xj, $xk */ + LoongArch_XVBITCLR_W /* 1745 */, LoongArch_INS_XVBITCLR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitrevi.b $xd, $xj, $imm3 */ + LoongArch_XVBITREVI_B /* 1746 */, LoongArch_INS_XVBITREVI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitrevi.d $xd, $xj, $imm6 */ + LoongArch_XVBITREVI_D /* 1747 */, LoongArch_INS_XVBITREVI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitrevi.h $xd, $xj, $imm4 */ + LoongArch_XVBITREVI_H /* 1748 */, LoongArch_INS_XVBITREVI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitrevi.w $xd, $xj, $imm5 */ + LoongArch_XVBITREVI_W /* 1749 */, LoongArch_INS_XVBITREVI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitrev.b $xd, $xj, $xk */ + LoongArch_XVBITREV_B /* 1750 */, LoongArch_INS_XVBITREV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitrev.d $xd, $xj, $xk */ + LoongArch_XVBITREV_D /* 1751 */, LoongArch_INS_XVBITREV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitrev.h $xd, $xj, $xk */ + LoongArch_XVBITREV_H /* 1752 */, LoongArch_INS_XVBITREV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitrev.w $xd, $xj, $xk */ + LoongArch_XVBITREV_W /* 1753 */, LoongArch_INS_XVBITREV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitseli.b $xd, $xj, $imm8 */ + LoongArch_XVBITSELI_B /* 1754 */, LoongArch_INS_XVBITSELI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitsel.v $xd, $xj, $xk, $xa */ + LoongArch_XVBITSEL_V /* 1755 */, LoongArch_INS_XVBITSEL_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitseti.b $xd, $xj, $imm3 */ + LoongArch_XVBITSETI_B /* 1756 */, LoongArch_INS_XVBITSETI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitseti.d $xd, $xj, $imm6 */ + LoongArch_XVBITSETI_D /* 1757 */, LoongArch_INS_XVBITSETI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitseti.h $xd, $xj, $imm4 */ + LoongArch_XVBITSETI_H /* 1758 */, LoongArch_INS_XVBITSETI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitseti.w $xd, $xj, $imm5 */ + LoongArch_XVBITSETI_W /* 1759 */, LoongArch_INS_XVBITSETI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitset.b $xd, $xj, $xk */ + LoongArch_XVBITSET_B /* 1760 */, LoongArch_INS_XVBITSET_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitset.d $xd, $xj, $xk */ + LoongArch_XVBITSET_D /* 1761 */, LoongArch_INS_XVBITSET_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitset.h $xd, $xj, $xk */ + LoongArch_XVBITSET_H /* 1762 */, LoongArch_INS_XVBITSET_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbitset.w $xd, $xj, $xk */ + LoongArch_XVBITSET_W /* 1763 */, LoongArch_INS_XVBITSET_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbsll.v $xd, $xj, $imm5 */ + LoongArch_XVBSLL_V /* 1764 */, LoongArch_INS_XVBSLL_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvbsrl.v $xd, $xj, $imm5 */ + LoongArch_XVBSRL_V /* 1765 */, LoongArch_INS_XVBSRL_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvclo.b $xd, $xj */ + LoongArch_XVCLO_B /* 1766 */, LoongArch_INS_XVCLO_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvclo.d $xd, $xj */ + LoongArch_XVCLO_D /* 1767 */, LoongArch_INS_XVCLO_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvclo.h $xd, $xj */ + LoongArch_XVCLO_H /* 1768 */, LoongArch_INS_XVCLO_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvclo.w $xd, $xj */ + LoongArch_XVCLO_W /* 1769 */, LoongArch_INS_XVCLO_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvclz.b $xd, $xj */ + LoongArch_XVCLZ_B /* 1770 */, LoongArch_INS_XVCLZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvclz.d $xd, $xj */ + LoongArch_XVCLZ_D /* 1771 */, LoongArch_INS_XVCLZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvclz.h $xd, $xj */ + LoongArch_XVCLZ_H /* 1772 */, LoongArch_INS_XVCLZ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvclz.w $xd, $xj */ + LoongArch_XVCLZ_W /* 1773 */, LoongArch_INS_XVCLZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvdiv.b $xd, $xj, $xk */ + LoongArch_XVDIV_B /* 1774 */, LoongArch_INS_XVDIV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvdiv.bu $xd, $xj, $xk */ + LoongArch_XVDIV_BU /* 1775 */, LoongArch_INS_XVDIV_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvdiv.d $xd, $xj, $xk */ + LoongArch_XVDIV_D /* 1776 */, LoongArch_INS_XVDIV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvdiv.du $xd, $xj, $xk */ + LoongArch_XVDIV_DU /* 1777 */, LoongArch_INS_XVDIV_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvdiv.h $xd, $xj, $xk */ + LoongArch_XVDIV_H /* 1778 */, LoongArch_INS_XVDIV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvdiv.hu $xd, $xj, $xk */ + LoongArch_XVDIV_HU /* 1779 */, LoongArch_INS_XVDIV_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvdiv.w $xd, $xj, $xk */ + LoongArch_XVDIV_W /* 1780 */, LoongArch_INS_XVDIV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvdiv.wu $xd, $xj, $xk */ + LoongArch_XVDIV_WU /* 1781 */, LoongArch_INS_XVDIV_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvexth.du.wu $xd, $xj */ + LoongArch_XVEXTH_DU_WU /* 1782 */, LoongArch_INS_XVEXTH_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvexth.d.w $xd, $xj */ + LoongArch_XVEXTH_D_W /* 1783 */, LoongArch_INS_XVEXTH_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvexth.hu.bu $xd, $xj */ + LoongArch_XVEXTH_HU_BU /* 1784 */, LoongArch_INS_XVEXTH_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvexth.h.b $xd, $xj */ + LoongArch_XVEXTH_H_B /* 1785 */, LoongArch_INS_XVEXTH_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvexth.qu.du $xd, $xj */ + LoongArch_XVEXTH_QU_DU /* 1786 */, LoongArch_INS_XVEXTH_QU_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvexth.q.d $xd, $xj */ + LoongArch_XVEXTH_Q_D /* 1787 */, LoongArch_INS_XVEXTH_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvexth.wu.hu $xd, $xj */ + LoongArch_XVEXTH_WU_HU /* 1788 */, LoongArch_INS_XVEXTH_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvexth.w.h $xd, $xj */ + LoongArch_XVEXTH_W_H /* 1789 */, LoongArch_INS_XVEXTH_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvextl.qu.du $xd, $xj */ + LoongArch_XVEXTL_QU_DU /* 1790 */, LoongArch_INS_XVEXTL_QU_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvextl.q.d $xd, $xj */ + LoongArch_XVEXTL_Q_D /* 1791 */, LoongArch_INS_XVEXTL_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvextrins.b $xd, $xj, $imm8 */ + LoongArch_XVEXTRINS_B /* 1792 */, LoongArch_INS_XVEXTRINS_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvextrins.d $xd, $xj, $imm8 */ + LoongArch_XVEXTRINS_D /* 1793 */, LoongArch_INS_XVEXTRINS_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvextrins.h $xd, $xj, $imm8 */ + LoongArch_XVEXTRINS_H /* 1794 */, LoongArch_INS_XVEXTRINS_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvextrins.w $xd, $xj, $imm8 */ + LoongArch_XVEXTRINS_W /* 1795 */, LoongArch_INS_XVEXTRINS_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfadd.d $xd, $xj, $xk */ + LoongArch_XVFADD_D /* 1796 */, LoongArch_INS_XVFADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfadd.s $xd, $xj, $xk */ + LoongArch_XVFADD_S /* 1797 */, LoongArch_INS_XVFADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfclass.d $xd, $xj */ + LoongArch_XVFCLASS_D /* 1798 */, LoongArch_INS_XVFCLASS_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfclass.s $xd, $xj */ + LoongArch_XVFCLASS_S /* 1799 */, LoongArch_INS_XVFCLASS_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.caf.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CAF_D /* 1800 */, LoongArch_INS_XVFCMP_CAF_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.caf.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CAF_S /* 1801 */, LoongArch_INS_XVFCMP_CAF_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.ceq.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CEQ_D /* 1802 */, LoongArch_INS_XVFCMP_CEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.ceq.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CEQ_S /* 1803 */, LoongArch_INS_XVFCMP_CEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cle.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CLE_D /* 1804 */, LoongArch_INS_XVFCMP_CLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cle.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CLE_S /* 1805 */, LoongArch_INS_XVFCMP_CLE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.clt.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CLT_D /* 1806 */, LoongArch_INS_XVFCMP_CLT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.clt.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CLT_S /* 1807 */, LoongArch_INS_XVFCMP_CLT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cne.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CNE_D /* 1808 */, LoongArch_INS_XVFCMP_CNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cne.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CNE_S /* 1809 */, LoongArch_INS_XVFCMP_CNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cor.d $xd, $xj, $xk */ + LoongArch_XVFCMP_COR_D /* 1810 */, LoongArch_INS_XVFCMP_COR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cor.s $xd, $xj, $xk */ + LoongArch_XVFCMP_COR_S /* 1811 */, LoongArch_INS_XVFCMP_COR_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cueq.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CUEQ_D /* 1812 */, LoongArch_INS_XVFCMP_CUEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cueq.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CUEQ_S /* 1813 */, LoongArch_INS_XVFCMP_CUEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cule.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CULE_D /* 1814 */, LoongArch_INS_XVFCMP_CULE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cule.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CULE_S /* 1815 */, LoongArch_INS_XVFCMP_CULE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cult.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CULT_D /* 1816 */, LoongArch_INS_XVFCMP_CULT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cult.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CULT_S /* 1817 */, LoongArch_INS_XVFCMP_CULT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cune.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CUNE_D /* 1818 */, LoongArch_INS_XVFCMP_CUNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cune.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CUNE_S /* 1819 */, LoongArch_INS_XVFCMP_CUNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cun.d $xd, $xj, $xk */ + LoongArch_XVFCMP_CUN_D /* 1820 */, LoongArch_INS_XVFCMP_CUN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.cun.s $xd, $xj, $xk */ + LoongArch_XVFCMP_CUN_S /* 1821 */, LoongArch_INS_XVFCMP_CUN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.saf.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SAF_D /* 1822 */, LoongArch_INS_XVFCMP_SAF_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.saf.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SAF_S /* 1823 */, LoongArch_INS_XVFCMP_SAF_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.seq.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SEQ_D /* 1824 */, LoongArch_INS_XVFCMP_SEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.seq.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SEQ_S /* 1825 */, LoongArch_INS_XVFCMP_SEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sle.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SLE_D /* 1826 */, LoongArch_INS_XVFCMP_SLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sle.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SLE_S /* 1827 */, LoongArch_INS_XVFCMP_SLE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.slt.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SLT_D /* 1828 */, LoongArch_INS_XVFCMP_SLT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.slt.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SLT_S /* 1829 */, LoongArch_INS_XVFCMP_SLT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sne.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SNE_D /* 1830 */, LoongArch_INS_XVFCMP_SNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sne.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SNE_S /* 1831 */, LoongArch_INS_XVFCMP_SNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sor.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SOR_D /* 1832 */, LoongArch_INS_XVFCMP_SOR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sor.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SOR_S /* 1833 */, LoongArch_INS_XVFCMP_SOR_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sueq.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SUEQ_D /* 1834 */, LoongArch_INS_XVFCMP_SUEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sueq.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SUEQ_S /* 1835 */, LoongArch_INS_XVFCMP_SUEQ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sule.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SULE_D /* 1836 */, LoongArch_INS_XVFCMP_SULE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sule.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SULE_S /* 1837 */, LoongArch_INS_XVFCMP_SULE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sult.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SULT_D /* 1838 */, LoongArch_INS_XVFCMP_SULT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sult.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SULT_S /* 1839 */, LoongArch_INS_XVFCMP_SULT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sune.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SUNE_D /* 1840 */, LoongArch_INS_XVFCMP_SUNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sune.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SUNE_S /* 1841 */, LoongArch_INS_XVFCMP_SUNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sun.d $xd, $xj, $xk */ + LoongArch_XVFCMP_SUN_D /* 1842 */, LoongArch_INS_XVFCMP_SUN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcmp.sun.s $xd, $xj, $xk */ + LoongArch_XVFCMP_SUN_S /* 1843 */, LoongArch_INS_XVFCMP_SUN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcvth.d.s $xd, $xj */ + LoongArch_XVFCVTH_D_S /* 1844 */, LoongArch_INS_XVFCVTH_D_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcvth.s.h $xd, $xj */ + LoongArch_XVFCVTH_S_H /* 1845 */, LoongArch_INS_XVFCVTH_S_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcvtl.d.s $xd, $xj */ + LoongArch_XVFCVTL_D_S /* 1846 */, LoongArch_INS_XVFCVTL_D_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcvtl.s.h $xd, $xj */ + LoongArch_XVFCVTL_S_H /* 1847 */, LoongArch_INS_XVFCVTL_S_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcvt.h.s $xd, $xj, $xk */ + LoongArch_XVFCVT_H_S /* 1848 */, LoongArch_INS_XVFCVT_H_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfcvt.s.d $xd, $xj, $xk */ + LoongArch_XVFCVT_S_D /* 1849 */, LoongArch_INS_XVFCVT_S_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfdiv.d $xd, $xj, $xk */ + LoongArch_XVFDIV_D /* 1850 */, LoongArch_INS_XVFDIV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfdiv.s $xd, $xj, $xk */ + LoongArch_XVFDIV_S /* 1851 */, LoongArch_INS_XVFDIV_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvffinth.d.w $xd, $xj */ + LoongArch_XVFFINTH_D_W /* 1852 */, LoongArch_INS_XVFFINTH_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvffintl.d.w $xd, $xj */ + LoongArch_XVFFINTL_D_W /* 1853 */, LoongArch_INS_XVFFINTL_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvffint.d.l $xd, $xj */ + LoongArch_XVFFINT_D_L /* 1854 */, LoongArch_INS_XVFFINT_D_L, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvffint.d.lu $xd, $xj */ + LoongArch_XVFFINT_D_LU /* 1855 */, LoongArch_INS_XVFFINT_D_LU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvffint.s.l $xd, $xj, $xk */ + LoongArch_XVFFINT_S_L /* 1856 */, LoongArch_INS_XVFFINT_S_L, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvffint.s.w $xd, $xj */ + LoongArch_XVFFINT_S_W /* 1857 */, LoongArch_INS_XVFFINT_S_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvffint.s.wu $xd, $xj */ + LoongArch_XVFFINT_S_WU /* 1858 */, LoongArch_INS_XVFFINT_S_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvflogb.d $xd, $xj */ + LoongArch_XVFLOGB_D /* 1859 */, LoongArch_INS_XVFLOGB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvflogb.s $xd, $xj */ + LoongArch_XVFLOGB_S /* 1860 */, LoongArch_INS_XVFLOGB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmadd.d $xd, $xj, $xk, $xa */ + LoongArch_XVFMADD_D /* 1861 */, LoongArch_INS_XVFMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmadd.s $xd, $xj, $xk, $xa */ + LoongArch_XVFMADD_S /* 1862 */, LoongArch_INS_XVFMADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmaxa.d $xd, $xj, $xk */ + LoongArch_XVFMAXA_D /* 1863 */, LoongArch_INS_XVFMAXA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmaxa.s $xd, $xj, $xk */ + LoongArch_XVFMAXA_S /* 1864 */, LoongArch_INS_XVFMAXA_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmax.d $xd, $xj, $xk */ + LoongArch_XVFMAX_D /* 1865 */, LoongArch_INS_XVFMAX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmax.s $xd, $xj, $xk */ + LoongArch_XVFMAX_S /* 1866 */, LoongArch_INS_XVFMAX_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmina.d $xd, $xj, $xk */ + LoongArch_XVFMINA_D /* 1867 */, LoongArch_INS_XVFMINA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmina.s $xd, $xj, $xk */ + LoongArch_XVFMINA_S /* 1868 */, LoongArch_INS_XVFMINA_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmin.d $xd, $xj, $xk */ + LoongArch_XVFMIN_D /* 1869 */, LoongArch_INS_XVFMIN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmin.s $xd, $xj, $xk */ + LoongArch_XVFMIN_S /* 1870 */, LoongArch_INS_XVFMIN_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmsub.d $xd, $xj, $xk, $xa */ + LoongArch_XVFMSUB_D /* 1871 */, LoongArch_INS_XVFMSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmsub.s $xd, $xj, $xk, $xa */ + LoongArch_XVFMSUB_S /* 1872 */, LoongArch_INS_XVFMSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmul.d $xd, $xj, $xk */ + LoongArch_XVFMUL_D /* 1873 */, LoongArch_INS_XVFMUL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfmul.s $xd, $xj, $xk */ + LoongArch_XVFMUL_S /* 1874 */, LoongArch_INS_XVFMUL_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfnmadd.d $xd, $xj, $xk, $xa */ + LoongArch_XVFNMADD_D /* 1875 */, LoongArch_INS_XVFNMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfnmadd.s $xd, $xj, $xk, $xa */ + LoongArch_XVFNMADD_S /* 1876 */, LoongArch_INS_XVFNMADD_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfnmsub.d $xd, $xj, $xk, $xa */ + LoongArch_XVFNMSUB_D /* 1877 */, LoongArch_INS_XVFNMSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfnmsub.s $xd, $xj, $xk, $xa */ + LoongArch_XVFNMSUB_S /* 1878 */, LoongArch_INS_XVFNMSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrecipe.d $xd, $xj */ + LoongArch_XVFRECIPE_D /* 1879 */, LoongArch_INS_XVFRECIPE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrecipe.s $xd, $xj */ + LoongArch_XVFRECIPE_S /* 1880 */, LoongArch_INS_XVFRECIPE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrecip.d $xd, $xj */ + LoongArch_XVFRECIP_D /* 1881 */, LoongArch_INS_XVFRECIP_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrecip.s $xd, $xj */ + LoongArch_XVFRECIP_S /* 1882 */, LoongArch_INS_XVFRECIP_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrintrm.d $xd, $xj */ + LoongArch_XVFRINTRM_D /* 1883 */, LoongArch_INS_XVFRINTRM_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrintrm.s $xd, $xj */ + LoongArch_XVFRINTRM_S /* 1884 */, LoongArch_INS_XVFRINTRM_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrintrne.d $xd, $xj */ + LoongArch_XVFRINTRNE_D /* 1885 */, LoongArch_INS_XVFRINTRNE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrintrne.s $xd, $xj */ + LoongArch_XVFRINTRNE_S /* 1886 */, LoongArch_INS_XVFRINTRNE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrintrp.d $xd, $xj */ + LoongArch_XVFRINTRP_D /* 1887 */, LoongArch_INS_XVFRINTRP_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrintrp.s $xd, $xj */ + LoongArch_XVFRINTRP_S /* 1888 */, LoongArch_INS_XVFRINTRP_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrintrz.d $xd, $xj */ + LoongArch_XVFRINTRZ_D /* 1889 */, LoongArch_INS_XVFRINTRZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrintrz.s $xd, $xj */ + LoongArch_XVFRINTRZ_S /* 1890 */, LoongArch_INS_XVFRINTRZ_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrint.d $xd, $xj */ + LoongArch_XVFRINT_D /* 1891 */, LoongArch_INS_XVFRINT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrint.s $xd, $xj */ + LoongArch_XVFRINT_S /* 1892 */, LoongArch_INS_XVFRINT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrsqrte.d $xd, $xj */ + LoongArch_XVFRSQRTE_D /* 1893 */, LoongArch_INS_XVFRSQRTE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrsqrte.s $xd, $xj */ + LoongArch_XVFRSQRTE_S /* 1894 */, LoongArch_INS_XVFRSQRTE_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrsqrt.d $xd, $xj */ + LoongArch_XVFRSQRT_D /* 1895 */, LoongArch_INS_XVFRSQRT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrsqrt.s $xd, $xj */ + LoongArch_XVFRSQRT_S /* 1896 */, LoongArch_INS_XVFRSQRT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrstpi.b $xd, $xj, $imm5 */ + LoongArch_XVFRSTPI_B /* 1897 */, LoongArch_INS_XVFRSTPI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrstpi.h $xd, $xj, $imm5 */ + LoongArch_XVFRSTPI_H /* 1898 */, LoongArch_INS_XVFRSTPI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrstp.b $xd, $xj, $xk */ + LoongArch_XVFRSTP_B /* 1899 */, LoongArch_INS_XVFRSTP_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfrstp.h $xd, $xj, $xk */ + LoongArch_XVFRSTP_H /* 1900 */, LoongArch_INS_XVFRSTP_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfsqrt.d $xd, $xj */ + LoongArch_XVFSQRT_D /* 1901 */, LoongArch_INS_XVFSQRT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfsqrt.s $xd, $xj */ + LoongArch_XVFSQRT_S /* 1902 */, LoongArch_INS_XVFSQRT_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfsub.d $xd, $xj, $xk */ + LoongArch_XVFSUB_D /* 1903 */, LoongArch_INS_XVFSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvfsub.s $xd, $xj, $xk */ + LoongArch_XVFSUB_S /* 1904 */, LoongArch_INS_XVFSUB_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftinth.l.s $xd, $xj */ + LoongArch_XVFTINTH_L_S /* 1905 */, LoongArch_INS_XVFTINTH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintl.l.s $xd, $xj */ + LoongArch_XVFTINTL_L_S /* 1906 */, LoongArch_INS_XVFTINTL_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrmh.l.s $xd, $xj */ + LoongArch_XVFTINTRMH_L_S /* 1907 */, LoongArch_INS_XVFTINTRMH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrml.l.s $xd, $xj */ + LoongArch_XVFTINTRML_L_S /* 1908 */, LoongArch_INS_XVFTINTRML_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrm.l.d $xd, $xj */ + LoongArch_XVFTINTRM_L_D /* 1909 */, LoongArch_INS_XVFTINTRM_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrm.w.d $xd, $xj, $xk */ + LoongArch_XVFTINTRM_W_D /* 1910 */, LoongArch_INS_XVFTINTRM_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrm.w.s $xd, $xj */ + LoongArch_XVFTINTRM_W_S /* 1911 */, LoongArch_INS_XVFTINTRM_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrneh.l.s $xd, $xj */ + LoongArch_XVFTINTRNEH_L_S /* 1912 */, LoongArch_INS_XVFTINTRNEH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrnel.l.s $xd, $xj */ + LoongArch_XVFTINTRNEL_L_S /* 1913 */, LoongArch_INS_XVFTINTRNEL_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrne.l.d $xd, $xj */ + LoongArch_XVFTINTRNE_L_D /* 1914 */, LoongArch_INS_XVFTINTRNE_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrne.w.d $xd, $xj, $xk */ + LoongArch_XVFTINTRNE_W_D /* 1915 */, LoongArch_INS_XVFTINTRNE_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrne.w.s $xd, $xj */ + LoongArch_XVFTINTRNE_W_S /* 1916 */, LoongArch_INS_XVFTINTRNE_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrph.l.s $xd, $xj */ + LoongArch_XVFTINTRPH_L_S /* 1917 */, LoongArch_INS_XVFTINTRPH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrpl.l.s $xd, $xj */ + LoongArch_XVFTINTRPL_L_S /* 1918 */, LoongArch_INS_XVFTINTRPL_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrp.l.d $xd, $xj */ + LoongArch_XVFTINTRP_L_D /* 1919 */, LoongArch_INS_XVFTINTRP_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrp.w.d $xd, $xj, $xk */ + LoongArch_XVFTINTRP_W_D /* 1920 */, LoongArch_INS_XVFTINTRP_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrp.w.s $xd, $xj */ + LoongArch_XVFTINTRP_W_S /* 1921 */, LoongArch_INS_XVFTINTRP_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrzh.l.s $xd, $xj */ + LoongArch_XVFTINTRZH_L_S /* 1922 */, LoongArch_INS_XVFTINTRZH_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrzl.l.s $xd, $xj */ + LoongArch_XVFTINTRZL_L_S /* 1923 */, LoongArch_INS_XVFTINTRZL_L_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrz.lu.d $xd, $xj */ + LoongArch_XVFTINTRZ_LU_D /* 1924 */, LoongArch_INS_XVFTINTRZ_LU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrz.l.d $xd, $xj */ + LoongArch_XVFTINTRZ_L_D /* 1925 */, LoongArch_INS_XVFTINTRZ_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrz.wu.s $xd, $xj */ + LoongArch_XVFTINTRZ_WU_S /* 1926 */, LoongArch_INS_XVFTINTRZ_WU_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrz.w.d $xd, $xj, $xk */ + LoongArch_XVFTINTRZ_W_D /* 1927 */, LoongArch_INS_XVFTINTRZ_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftintrz.w.s $xd, $xj */ + LoongArch_XVFTINTRZ_W_S /* 1928 */, LoongArch_INS_XVFTINTRZ_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftint.lu.d $xd, $xj */ + LoongArch_XVFTINT_LU_D /* 1929 */, LoongArch_INS_XVFTINT_LU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftint.l.d $xd, $xj */ + LoongArch_XVFTINT_L_D /* 1930 */, LoongArch_INS_XVFTINT_L_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftint.wu.s $xd, $xj */ + LoongArch_XVFTINT_WU_S /* 1931 */, LoongArch_INS_XVFTINT_WU_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftint.w.d $xd, $xj, $xk */ + LoongArch_XVFTINT_W_D /* 1932 */, LoongArch_INS_XVFTINT_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvftint.w.s $xd, $xj */ + LoongArch_XVFTINT_W_S /* 1933 */, LoongArch_INS_XVFTINT_W_S, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhaddw.du.wu $xd, $xj, $xk */ + LoongArch_XVHADDW_DU_WU /* 1934 */, LoongArch_INS_XVHADDW_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhaddw.d.w $xd, $xj, $xk */ + LoongArch_XVHADDW_D_W /* 1935 */, LoongArch_INS_XVHADDW_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhaddw.hu.bu $xd, $xj, $xk */ + LoongArch_XVHADDW_HU_BU /* 1936 */, LoongArch_INS_XVHADDW_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhaddw.h.b $xd, $xj, $xk */ + LoongArch_XVHADDW_H_B /* 1937 */, LoongArch_INS_XVHADDW_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhaddw.qu.du $xd, $xj, $xk */ + LoongArch_XVHADDW_QU_DU /* 1938 */, LoongArch_INS_XVHADDW_QU_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhaddw.q.d $xd, $xj, $xk */ + LoongArch_XVHADDW_Q_D /* 1939 */, LoongArch_INS_XVHADDW_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhaddw.wu.hu $xd, $xj, $xk */ + LoongArch_XVHADDW_WU_HU /* 1940 */, LoongArch_INS_XVHADDW_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhaddw.w.h $xd, $xj, $xk */ + LoongArch_XVHADDW_W_H /* 1941 */, LoongArch_INS_XVHADDW_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhseli.d $xd, $xj, $imm5 */ + LoongArch_XVHSELI_D /* 1942 */, LoongArch_INS_XVHSELI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhsubw.du.wu $xd, $xj, $xk */ + LoongArch_XVHSUBW_DU_WU /* 1943 */, LoongArch_INS_XVHSUBW_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhsubw.d.w $xd, $xj, $xk */ + LoongArch_XVHSUBW_D_W /* 1944 */, LoongArch_INS_XVHSUBW_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhsubw.hu.bu $xd, $xj, $xk */ + LoongArch_XVHSUBW_HU_BU /* 1945 */, LoongArch_INS_XVHSUBW_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhsubw.h.b $xd, $xj, $xk */ + LoongArch_XVHSUBW_H_B /* 1946 */, LoongArch_INS_XVHSUBW_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhsubw.qu.du $xd, $xj, $xk */ + LoongArch_XVHSUBW_QU_DU /* 1947 */, LoongArch_INS_XVHSUBW_QU_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhsubw.q.d $xd, $xj, $xk */ + LoongArch_XVHSUBW_Q_D /* 1948 */, LoongArch_INS_XVHSUBW_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhsubw.wu.hu $xd, $xj, $xk */ + LoongArch_XVHSUBW_WU_HU /* 1949 */, LoongArch_INS_XVHSUBW_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvhsubw.w.h $xd, $xj, $xk */ + LoongArch_XVHSUBW_W_H /* 1950 */, LoongArch_INS_XVHSUBW_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvilvh.b $xd, $xj, $xk */ + LoongArch_XVILVH_B /* 1951 */, LoongArch_INS_XVILVH_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvilvh.d $xd, $xj, $xk */ + LoongArch_XVILVH_D /* 1952 */, LoongArch_INS_XVILVH_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvilvh.h $xd, $xj, $xk */ + LoongArch_XVILVH_H /* 1953 */, LoongArch_INS_XVILVH_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvilvh.w $xd, $xj, $xk */ + LoongArch_XVILVH_W /* 1954 */, LoongArch_INS_XVILVH_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvilvl.b $xd, $xj, $xk */ + LoongArch_XVILVL_B /* 1955 */, LoongArch_INS_XVILVL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvilvl.d $xd, $xj, $xk */ + LoongArch_XVILVL_D /* 1956 */, LoongArch_INS_XVILVL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvilvl.h $xd, $xj, $xk */ + LoongArch_XVILVL_H /* 1957 */, LoongArch_INS_XVILVL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvilvl.w $xd, $xj, $xk */ + LoongArch_XVILVL_W /* 1958 */, LoongArch_INS_XVILVL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvinsgr2vr.d $xd, $rj, $imm2 */ + LoongArch_XVINSGR2VR_D /* 1959 */, LoongArch_INS_XVINSGR2VR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_XRI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvinsgr2vr.w $xd, $rj, $imm3 */ + LoongArch_XVINSGR2VR_W /* 1960 */, LoongArch_INS_XVINSGR2VR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XRI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvinsve0.d $xd, $xj, $imm2 */ + LoongArch_XVINSVE0_D /* 1961 */, LoongArch_INS_XVINSVE0_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvinsve0.w $xd, $xj, $imm3 */ + LoongArch_XVINSVE0_W /* 1962 */, LoongArch_INS_XVINSVE0_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvld $xd, $rj, $imm12 */ + LoongArch_XVLD /* 1963 */, LoongArch_INS_XVLD, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12_XRI, CS_AC_READ }} + + #endif +}, +{ + /* xvldi $xd, $imm13 */ + LoongArch_XVLDI /* 1964 */, LoongArch_INS_XVLDI, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT1RI13_XI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvldrepl.b $xd, $rj, $imm12 */ + LoongArch_XVLDREPL_B /* 1965 */, LoongArch_INS_XVLDREPL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12_XRI, CS_AC_READ }} + + #endif +}, +{ + /* xvldrepl.d $xd, $rj, $imm9 */ + LoongArch_XVLDREPL_D /* 1966 */, LoongArch_INS_XVLDREPL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI9_XRI, CS_AC_READ }} + + #endif +}, +{ + /* xvldrepl.h $xd, $rj, $imm11 */ + LoongArch_XVLDREPL_H /* 1967 */, LoongArch_INS_XVLDREPL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI11_XRI, CS_AC_READ }} + + #endif +}, +{ + /* xvldrepl.w $xd, $rj, $imm10 */ + LoongArch_XVLDREPL_W /* 1968 */, LoongArch_INS_XVLDREPL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI10_XRI, CS_AC_READ }} + + #endif +}, +{ + /* xvldx $xd, $rj, $rk */ + LoongArch_XVLDX /* 1969 */, LoongArch_INS_XVLDX, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XRR, CS_AC_READ }} + + #endif +}, +{ + /* xvmaddwev.d.w $xd, $xj, $xk */ + LoongArch_XVMADDWEV_D_W /* 1970 */, LoongArch_INS_XVMADDWEV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.d.wu $xd, $xj, $xk */ + LoongArch_XVMADDWEV_D_WU /* 1971 */, LoongArch_INS_XVMADDWEV_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.d.wu.w $xd, $xj, $xk */ + LoongArch_XVMADDWEV_D_WU_W /* 1972 */, LoongArch_INS_XVMADDWEV_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.h.b $xd, $xj, $xk */ + LoongArch_XVMADDWEV_H_B /* 1973 */, LoongArch_INS_XVMADDWEV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.h.bu $xd, $xj, $xk */ + LoongArch_XVMADDWEV_H_BU /* 1974 */, LoongArch_INS_XVMADDWEV_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.h.bu.b $xd, $xj, $xk */ + LoongArch_XVMADDWEV_H_BU_B /* 1975 */, LoongArch_INS_XVMADDWEV_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.q.d $xd, $xj, $xk */ + LoongArch_XVMADDWEV_Q_D /* 1976 */, LoongArch_INS_XVMADDWEV_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.q.du $xd, $xj, $xk */ + LoongArch_XVMADDWEV_Q_DU /* 1977 */, LoongArch_INS_XVMADDWEV_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.q.du.d $xd, $xj, $xk */ + LoongArch_XVMADDWEV_Q_DU_D /* 1978 */, LoongArch_INS_XVMADDWEV_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.w.h $xd, $xj, $xk */ + LoongArch_XVMADDWEV_W_H /* 1979 */, LoongArch_INS_XVMADDWEV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.w.hu $xd, $xj, $xk */ + LoongArch_XVMADDWEV_W_HU /* 1980 */, LoongArch_INS_XVMADDWEV_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwev.w.hu.h $xd, $xj, $xk */ + LoongArch_XVMADDWEV_W_HU_H /* 1981 */, LoongArch_INS_XVMADDWEV_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.d.w $xd, $xj, $xk */ + LoongArch_XVMADDWOD_D_W /* 1982 */, LoongArch_INS_XVMADDWOD_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.d.wu $xd, $xj, $xk */ + LoongArch_XVMADDWOD_D_WU /* 1983 */, LoongArch_INS_XVMADDWOD_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.d.wu.w $xd, $xj, $xk */ + LoongArch_XVMADDWOD_D_WU_W /* 1984 */, LoongArch_INS_XVMADDWOD_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.h.b $xd, $xj, $xk */ + LoongArch_XVMADDWOD_H_B /* 1985 */, LoongArch_INS_XVMADDWOD_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.h.bu $xd, $xj, $xk */ + LoongArch_XVMADDWOD_H_BU /* 1986 */, LoongArch_INS_XVMADDWOD_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.h.bu.b $xd, $xj, $xk */ + LoongArch_XVMADDWOD_H_BU_B /* 1987 */, LoongArch_INS_XVMADDWOD_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.q.d $xd, $xj, $xk */ + LoongArch_XVMADDWOD_Q_D /* 1988 */, LoongArch_INS_XVMADDWOD_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.q.du $xd, $xj, $xk */ + LoongArch_XVMADDWOD_Q_DU /* 1989 */, LoongArch_INS_XVMADDWOD_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.q.du.d $xd, $xj, $xk */ + LoongArch_XVMADDWOD_Q_DU_D /* 1990 */, LoongArch_INS_XVMADDWOD_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.w.h $xd, $xj, $xk */ + LoongArch_XVMADDWOD_W_H /* 1991 */, LoongArch_INS_XVMADDWOD_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.w.hu $xd, $xj, $xk */ + LoongArch_XVMADDWOD_W_HU /* 1992 */, LoongArch_INS_XVMADDWOD_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaddwod.w.hu.h $xd, $xj, $xk */ + LoongArch_XVMADDWOD_W_HU_H /* 1993 */, LoongArch_INS_XVMADDWOD_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmadd.b $xd, $xj, $xk */ + LoongArch_XVMADD_B /* 1994 */, LoongArch_INS_XVMADD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmadd.d $xd, $xj, $xk */ + LoongArch_XVMADD_D /* 1995 */, LoongArch_INS_XVMADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmadd.h $xd, $xj, $xk */ + LoongArch_XVMADD_H /* 1996 */, LoongArch_INS_XVMADD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmadd.w $xd, $xj, $xk */ + LoongArch_XVMADD_W /* 1997 */, LoongArch_INS_XVMADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaxi.b $xd, $xj, $imm5 */ + LoongArch_XVMAXI_B /* 1998 */, LoongArch_INS_XVMAXI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaxi.bu $xd, $xj, $imm5 */ + LoongArch_XVMAXI_BU /* 1999 */, LoongArch_INS_XVMAXI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaxi.d $xd, $xj, $imm5 */ + LoongArch_XVMAXI_D /* 2000 */, LoongArch_INS_XVMAXI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaxi.du $xd, $xj, $imm5 */ + LoongArch_XVMAXI_DU /* 2001 */, LoongArch_INS_XVMAXI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaxi.h $xd, $xj, $imm5 */ + LoongArch_XVMAXI_H /* 2002 */, LoongArch_INS_XVMAXI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaxi.hu $xd, $xj, $imm5 */ + LoongArch_XVMAXI_HU /* 2003 */, LoongArch_INS_XVMAXI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaxi.w $xd, $xj, $imm5 */ + LoongArch_XVMAXI_W /* 2004 */, LoongArch_INS_XVMAXI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmaxi.wu $xd, $xj, $imm5 */ + LoongArch_XVMAXI_WU /* 2005 */, LoongArch_INS_XVMAXI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmax.b $xd, $xj, $xk */ + LoongArch_XVMAX_B /* 2006 */, LoongArch_INS_XVMAX_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmax.bu $xd, $xj, $xk */ + LoongArch_XVMAX_BU /* 2007 */, LoongArch_INS_XVMAX_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmax.d $xd, $xj, $xk */ + LoongArch_XVMAX_D /* 2008 */, LoongArch_INS_XVMAX_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmax.du $xd, $xj, $xk */ + LoongArch_XVMAX_DU /* 2009 */, LoongArch_INS_XVMAX_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmax.h $xd, $xj, $xk */ + LoongArch_XVMAX_H /* 2010 */, LoongArch_INS_XVMAX_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmax.hu $xd, $xj, $xk */ + LoongArch_XVMAX_HU /* 2011 */, LoongArch_INS_XVMAX_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmax.w $xd, $xj, $xk */ + LoongArch_XVMAX_W /* 2012 */, LoongArch_INS_XVMAX_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmax.wu $xd, $xj, $xk */ + LoongArch_XVMAX_WU /* 2013 */, LoongArch_INS_XVMAX_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmini.b $xd, $xj, $imm5 */ + LoongArch_XVMINI_B /* 2014 */, LoongArch_INS_XVMINI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmini.bu $xd, $xj, $imm5 */ + LoongArch_XVMINI_BU /* 2015 */, LoongArch_INS_XVMINI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmini.d $xd, $xj, $imm5 */ + LoongArch_XVMINI_D /* 2016 */, LoongArch_INS_XVMINI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmini.du $xd, $xj, $imm5 */ + LoongArch_XVMINI_DU /* 2017 */, LoongArch_INS_XVMINI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmini.h $xd, $xj, $imm5 */ + LoongArch_XVMINI_H /* 2018 */, LoongArch_INS_XVMINI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmini.hu $xd, $xj, $imm5 */ + LoongArch_XVMINI_HU /* 2019 */, LoongArch_INS_XVMINI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmini.w $xd, $xj, $imm5 */ + LoongArch_XVMINI_W /* 2020 */, LoongArch_INS_XVMINI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmini.wu $xd, $xj, $imm5 */ + LoongArch_XVMINI_WU /* 2021 */, LoongArch_INS_XVMINI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmin.b $xd, $xj, $xk */ + LoongArch_XVMIN_B /* 2022 */, LoongArch_INS_XVMIN_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmin.bu $xd, $xj, $xk */ + LoongArch_XVMIN_BU /* 2023 */, LoongArch_INS_XVMIN_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmin.d $xd, $xj, $xk */ + LoongArch_XVMIN_D /* 2024 */, LoongArch_INS_XVMIN_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmin.du $xd, $xj, $xk */ + LoongArch_XVMIN_DU /* 2025 */, LoongArch_INS_XVMIN_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmin.h $xd, $xj, $xk */ + LoongArch_XVMIN_H /* 2026 */, LoongArch_INS_XVMIN_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmin.hu $xd, $xj, $xk */ + LoongArch_XVMIN_HU /* 2027 */, LoongArch_INS_XVMIN_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmin.w $xd, $xj, $xk */ + LoongArch_XVMIN_W /* 2028 */, LoongArch_INS_XVMIN_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmin.wu $xd, $xj, $xk */ + LoongArch_XVMIN_WU /* 2029 */, LoongArch_INS_XVMIN_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmod.b $xd, $xj, $xk */ + LoongArch_XVMOD_B /* 2030 */, LoongArch_INS_XVMOD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmod.bu $xd, $xj, $xk */ + LoongArch_XVMOD_BU /* 2031 */, LoongArch_INS_XVMOD_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmod.d $xd, $xj, $xk */ + LoongArch_XVMOD_D /* 2032 */, LoongArch_INS_XVMOD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmod.du $xd, $xj, $xk */ + LoongArch_XVMOD_DU /* 2033 */, LoongArch_INS_XVMOD_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmod.h $xd, $xj, $xk */ + LoongArch_XVMOD_H /* 2034 */, LoongArch_INS_XVMOD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmod.hu $xd, $xj, $xk */ + LoongArch_XVMOD_HU /* 2035 */, LoongArch_INS_XVMOD_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmod.w $xd, $xj, $xk */ + LoongArch_XVMOD_W /* 2036 */, LoongArch_INS_XVMOD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmod.wu $xd, $xj, $xk */ + LoongArch_XVMOD_WU /* 2037 */, LoongArch_INS_XVMOD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmskgez.b $xd, $xj */ + LoongArch_XVMSKGEZ_B /* 2038 */, LoongArch_INS_XVMSKGEZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmskltz.b $xd, $xj */ + LoongArch_XVMSKLTZ_B /* 2039 */, LoongArch_INS_XVMSKLTZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmskltz.d $xd, $xj */ + LoongArch_XVMSKLTZ_D /* 2040 */, LoongArch_INS_XVMSKLTZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmskltz.h $xd, $xj */ + LoongArch_XVMSKLTZ_H /* 2041 */, LoongArch_INS_XVMSKLTZ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmskltz.w $xd, $xj */ + LoongArch_XVMSKLTZ_W /* 2042 */, LoongArch_INS_XVMSKLTZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmsknz.b $xd, $xj */ + LoongArch_XVMSKNZ_B /* 2043 */, LoongArch_INS_XVMSKNZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmsub.b $xd, $xj, $xk */ + LoongArch_XVMSUB_B /* 2044 */, LoongArch_INS_XVMSUB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmsub.d $xd, $xj, $xk */ + LoongArch_XVMSUB_D /* 2045 */, LoongArch_INS_XVMSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmsub.h $xd, $xj, $xk */ + LoongArch_XVMSUB_H /* 2046 */, LoongArch_INS_XVMSUB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmsub.w $xd, $xj, $xk */ + LoongArch_XVMSUB_W /* 2047 */, LoongArch_INS_XVMSUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmuh.b $xd, $xj, $xk */ + LoongArch_XVMUH_B /* 2048 */, LoongArch_INS_XVMUH_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmuh.bu $xd, $xj, $xk */ + LoongArch_XVMUH_BU /* 2049 */, LoongArch_INS_XVMUH_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmuh.d $xd, $xj, $xk */ + LoongArch_XVMUH_D /* 2050 */, LoongArch_INS_XVMUH_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmuh.du $xd, $xj, $xk */ + LoongArch_XVMUH_DU /* 2051 */, LoongArch_INS_XVMUH_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmuh.h $xd, $xj, $xk */ + LoongArch_XVMUH_H /* 2052 */, LoongArch_INS_XVMUH_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmuh.hu $xd, $xj, $xk */ + LoongArch_XVMUH_HU /* 2053 */, LoongArch_INS_XVMUH_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmuh.w $xd, $xj, $xk */ + LoongArch_XVMUH_W /* 2054 */, LoongArch_INS_XVMUH_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmuh.wu $xd, $xj, $xk */ + LoongArch_XVMUH_WU /* 2055 */, LoongArch_INS_XVMUH_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.d.w $xd, $xj, $xk */ + LoongArch_XVMULWEV_D_W /* 2056 */, LoongArch_INS_XVMULWEV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.d.wu $xd, $xj, $xk */ + LoongArch_XVMULWEV_D_WU /* 2057 */, LoongArch_INS_XVMULWEV_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.d.wu.w $xd, $xj, $xk */ + LoongArch_XVMULWEV_D_WU_W /* 2058 */, LoongArch_INS_XVMULWEV_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.h.b $xd, $xj, $xk */ + LoongArch_XVMULWEV_H_B /* 2059 */, LoongArch_INS_XVMULWEV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.h.bu $xd, $xj, $xk */ + LoongArch_XVMULWEV_H_BU /* 2060 */, LoongArch_INS_XVMULWEV_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.h.bu.b $xd, $xj, $xk */ + LoongArch_XVMULWEV_H_BU_B /* 2061 */, LoongArch_INS_XVMULWEV_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.q.d $xd, $xj, $xk */ + LoongArch_XVMULWEV_Q_D /* 2062 */, LoongArch_INS_XVMULWEV_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.q.du $xd, $xj, $xk */ + LoongArch_XVMULWEV_Q_DU /* 2063 */, LoongArch_INS_XVMULWEV_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.q.du.d $xd, $xj, $xk */ + LoongArch_XVMULWEV_Q_DU_D /* 2064 */, LoongArch_INS_XVMULWEV_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.w.h $xd, $xj, $xk */ + LoongArch_XVMULWEV_W_H /* 2065 */, LoongArch_INS_XVMULWEV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.w.hu $xd, $xj, $xk */ + LoongArch_XVMULWEV_W_HU /* 2066 */, LoongArch_INS_XVMULWEV_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwev.w.hu.h $xd, $xj, $xk */ + LoongArch_XVMULWEV_W_HU_H /* 2067 */, LoongArch_INS_XVMULWEV_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.d.w $xd, $xj, $xk */ + LoongArch_XVMULWOD_D_W /* 2068 */, LoongArch_INS_XVMULWOD_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.d.wu $xd, $xj, $xk */ + LoongArch_XVMULWOD_D_WU /* 2069 */, LoongArch_INS_XVMULWOD_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.d.wu.w $xd, $xj, $xk */ + LoongArch_XVMULWOD_D_WU_W /* 2070 */, LoongArch_INS_XVMULWOD_D_WU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.h.b $xd, $xj, $xk */ + LoongArch_XVMULWOD_H_B /* 2071 */, LoongArch_INS_XVMULWOD_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.h.bu $xd, $xj, $xk */ + LoongArch_XVMULWOD_H_BU /* 2072 */, LoongArch_INS_XVMULWOD_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.h.bu.b $xd, $xj, $xk */ + LoongArch_XVMULWOD_H_BU_B /* 2073 */, LoongArch_INS_XVMULWOD_H_BU_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.q.d $xd, $xj, $xk */ + LoongArch_XVMULWOD_Q_D /* 2074 */, LoongArch_INS_XVMULWOD_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.q.du $xd, $xj, $xk */ + LoongArch_XVMULWOD_Q_DU /* 2075 */, LoongArch_INS_XVMULWOD_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.q.du.d $xd, $xj, $xk */ + LoongArch_XVMULWOD_Q_DU_D /* 2076 */, LoongArch_INS_XVMULWOD_Q_DU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.w.h $xd, $xj, $xk */ + LoongArch_XVMULWOD_W_H /* 2077 */, LoongArch_INS_XVMULWOD_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.w.hu $xd, $xj, $xk */ + LoongArch_XVMULWOD_W_HU /* 2078 */, LoongArch_INS_XVMULWOD_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmulwod.w.hu.h $xd, $xj, $xk */ + LoongArch_XVMULWOD_W_HU_H /* 2079 */, LoongArch_INS_XVMULWOD_W_HU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmul.b $xd, $xj, $xk */ + LoongArch_XVMUL_B /* 2080 */, LoongArch_INS_XVMUL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmul.d $xd, $xj, $xk */ + LoongArch_XVMUL_D /* 2081 */, LoongArch_INS_XVMUL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmul.h $xd, $xj, $xk */ + LoongArch_XVMUL_H /* 2082 */, LoongArch_INS_XVMUL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvmul.w $xd, $xj, $xk */ + LoongArch_XVMUL_W /* 2083 */, LoongArch_INS_XVMUL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvneg.b $xd, $xj */ + LoongArch_XVNEG_B /* 2084 */, LoongArch_INS_XVNEG_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvneg.d $xd, $xj */ + LoongArch_XVNEG_D /* 2085 */, LoongArch_INS_XVNEG_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvneg.h $xd, $xj */ + LoongArch_XVNEG_H /* 2086 */, LoongArch_INS_XVNEG_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvneg.w $xd, $xj */ + LoongArch_XVNEG_W /* 2087 */, LoongArch_INS_XVNEG_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvnori.b $xd, $xj, $imm8 */ + LoongArch_XVNORI_B /* 2088 */, LoongArch_INS_XVNORI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvnor.v $xd, $xj, $xk */ + LoongArch_XVNOR_V /* 2089 */, LoongArch_INS_XVNOR_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvori.b $xd, $xj, $imm8 */ + LoongArch_XVORI_B /* 2090 */, LoongArch_INS_XVORI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvorn.v $xd, $xj, $xk */ + LoongArch_XVORN_V /* 2091 */, LoongArch_INS_XVORN_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvor.v $xd, $xj, $xk */ + LoongArch_XVOR_V /* 2092 */, LoongArch_INS_XVOR_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpackev.b $xd, $xj, $xk */ + LoongArch_XVPACKEV_B /* 2093 */, LoongArch_INS_XVPACKEV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpackev.d $xd, $xj, $xk */ + LoongArch_XVPACKEV_D /* 2094 */, LoongArch_INS_XVPACKEV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpackev.h $xd, $xj, $xk */ + LoongArch_XVPACKEV_H /* 2095 */, LoongArch_INS_XVPACKEV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpackev.w $xd, $xj, $xk */ + LoongArch_XVPACKEV_W /* 2096 */, LoongArch_INS_XVPACKEV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpackod.b $xd, $xj, $xk */ + LoongArch_XVPACKOD_B /* 2097 */, LoongArch_INS_XVPACKOD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpackod.d $xd, $xj, $xk */ + LoongArch_XVPACKOD_D /* 2098 */, LoongArch_INS_XVPACKOD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpackod.h $xd, $xj, $xk */ + LoongArch_XVPACKOD_H /* 2099 */, LoongArch_INS_XVPACKOD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpackod.w $xd, $xj, $xk */ + LoongArch_XVPACKOD_W /* 2100 */, LoongArch_INS_XVPACKOD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpcnt.b $xd, $xj */ + LoongArch_XVPCNT_B /* 2101 */, LoongArch_INS_XVPCNT_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpcnt.d $xd, $xj */ + LoongArch_XVPCNT_D /* 2102 */, LoongArch_INS_XVPCNT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpcnt.h $xd, $xj */ + LoongArch_XVPCNT_H /* 2103 */, LoongArch_INS_XVPCNT_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpcnt.w $xd, $xj */ + LoongArch_XVPCNT_W /* 2104 */, LoongArch_INS_XVPCNT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpermi.d $xd, $xj, $imm8 */ + LoongArch_XVPERMI_D /* 2105 */, LoongArch_INS_XVPERMI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpermi.q $xd, $xj, $imm8 */ + LoongArch_XVPERMI_Q /* 2106 */, LoongArch_INS_XVPERMI_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpermi.w $xd, $xj, $imm8 */ + LoongArch_XVPERMI_W /* 2107 */, LoongArch_INS_XVPERMI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvperm.w $xd, $xj, $xk */ + LoongArch_XVPERM_W /* 2108 */, LoongArch_INS_XVPERM_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickev.b $xd, $xj, $xk */ + LoongArch_XVPICKEV_B /* 2109 */, LoongArch_INS_XVPICKEV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickev.d $xd, $xj, $xk */ + LoongArch_XVPICKEV_D /* 2110 */, LoongArch_INS_XVPICKEV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickev.h $xd, $xj, $xk */ + LoongArch_XVPICKEV_H /* 2111 */, LoongArch_INS_XVPICKEV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickev.w $xd, $xj, $xk */ + LoongArch_XVPICKEV_W /* 2112 */, LoongArch_INS_XVPICKEV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickod.b $xd, $xj, $xk */ + LoongArch_XVPICKOD_B /* 2113 */, LoongArch_INS_XVPICKOD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickod.d $xd, $xj, $xk */ + LoongArch_XVPICKOD_D /* 2114 */, LoongArch_INS_XVPICKOD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickod.h $xd, $xj, $xk */ + LoongArch_XVPICKOD_H /* 2115 */, LoongArch_INS_XVPICKOD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickod.w $xd, $xj, $xk */ + LoongArch_XVPICKOD_W /* 2116 */, LoongArch_INS_XVPICKOD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickve2gr.d $rd, $xj, $imm2 */ + LoongArch_XVPICKVE2GR_D /* 2117 */, LoongArch_INS_XVPICKVE2GR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_RXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickve2gr.du $rd, $xj, $imm2 */ + LoongArch_XVPICKVE2GR_DU /* 2118 */, LoongArch_INS_XVPICKVE2GR_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_RXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickve2gr.w $rd, $xj, $imm3 */ + LoongArch_XVPICKVE2GR_W /* 2119 */, LoongArch_INS_XVPICKVE2GR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_RXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickve2gr.wu $rd, $xj, $imm3 */ + LoongArch_XVPICKVE2GR_WU /* 2120 */, LoongArch_INS_XVPICKVE2GR_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_RXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickve.d $xd, $xj, $imm2 */ + LoongArch_XVPICKVE_D /* 2121 */, LoongArch_INS_XVPICKVE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvpickve.w $xd, $xj, $imm3 */ + LoongArch_XVPICKVE_W /* 2122 */, LoongArch_INS_XVPICKVE_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrepl128vei.b $xd, $xj, $imm4 */ + LoongArch_XVREPL128VEI_B /* 2123 */, LoongArch_INS_XVREPL128VEI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrepl128vei.d $xd, $xj, $imm1 */ + LoongArch_XVREPL128VEI_D /* 2124 */, LoongArch_INS_XVREPL128VEI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI1_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrepl128vei.h $xd, $xj, $imm3 */ + LoongArch_XVREPL128VEI_H /* 2125 */, LoongArch_INS_XVREPL128VEI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrepl128vei.w $xd, $xj, $imm2 */ + LoongArch_XVREPL128VEI_W /* 2126 */, LoongArch_INS_XVREPL128VEI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI2_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplgr2vr.b $xd, $rj */ + LoongArch_XVREPLGR2VR_B /* 2127 */, LoongArch_INS_XVREPLGR2VR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XR, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplgr2vr.d $xd, $rj */ + LoongArch_XVREPLGR2VR_D /* 2128 */, LoongArch_INS_XVREPLGR2VR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XR, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplgr2vr.h $xd, $rj */ + LoongArch_XVREPLGR2VR_H /* 2129 */, LoongArch_INS_XVREPLGR2VR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XR, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplgr2vr.w $xd, $rj */ + LoongArch_XVREPLGR2VR_W /* 2130 */, LoongArch_INS_XVREPLGR2VR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XR, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve0.b $xd, $xj */ + LoongArch_XVREPLVE0_B /* 2131 */, LoongArch_INS_XVREPLVE0_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve0.d $xd, $xj */ + LoongArch_XVREPLVE0_D /* 2132 */, LoongArch_INS_XVREPLVE0_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve0.h $xd, $xj */ + LoongArch_XVREPLVE0_H /* 2133 */, LoongArch_INS_XVREPLVE0_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve0.q $xd, $xj */ + LoongArch_XVREPLVE0_Q /* 2134 */, LoongArch_INS_XVREPLVE0_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve0.w $xd, $xj */ + LoongArch_XVREPLVE0_W /* 2135 */, LoongArch_INS_XVREPLVE0_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_XX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve.b $xd, $xj, $rk */ + LoongArch_XVREPLVE_B /* 2136 */, LoongArch_INS_XVREPLVE_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXR, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve.d $xd, $xj, $rk */ + LoongArch_XVREPLVE_D /* 2137 */, LoongArch_INS_XVREPLVE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXR, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve.h $xd, $xj, $rk */ + LoongArch_XVREPLVE_H /* 2138 */, LoongArch_INS_XVREPLVE_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXR, CS_AC_INVALID }} + + #endif +}, +{ + /* xvreplve.w $xd, $xj, $rk */ + LoongArch_XVREPLVE_W /* 2139 */, LoongArch_INS_XVREPLVE_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXR, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrotri.b $xd, $xj, $imm3 */ + LoongArch_XVROTRI_B /* 2140 */, LoongArch_INS_XVROTRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrotri.d $xd, $xj, $imm6 */ + LoongArch_XVROTRI_D /* 2141 */, LoongArch_INS_XVROTRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrotri.h $xd, $xj, $imm4 */ + LoongArch_XVROTRI_H /* 2142 */, LoongArch_INS_XVROTRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrotri.w $xd, $xj, $imm5 */ + LoongArch_XVROTRI_W /* 2143 */, LoongArch_INS_XVROTRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrotr.b $xd, $xj, $xk */ + LoongArch_XVROTR_B /* 2144 */, LoongArch_INS_XVROTR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrotr.d $xd, $xj, $xk */ + LoongArch_XVROTR_D /* 2145 */, LoongArch_INS_XVROTR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrotr.h $xd, $xj, $xk */ + LoongArch_XVROTR_H /* 2146 */, LoongArch_INS_XVROTR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvrotr.w $xd, $xj, $xk */ + LoongArch_XVROTR_W /* 2147 */, LoongArch_INS_XVROTR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsadd.b $xd, $xj, $xk */ + LoongArch_XVSADD_B /* 2148 */, LoongArch_INS_XVSADD_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsadd.bu $xd, $xj, $xk */ + LoongArch_XVSADD_BU /* 2149 */, LoongArch_INS_XVSADD_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsadd.d $xd, $xj, $xk */ + LoongArch_XVSADD_D /* 2150 */, LoongArch_INS_XVSADD_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsadd.du $xd, $xj, $xk */ + LoongArch_XVSADD_DU /* 2151 */, LoongArch_INS_XVSADD_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsadd.h $xd, $xj, $xk */ + LoongArch_XVSADD_H /* 2152 */, LoongArch_INS_XVSADD_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsadd.hu $xd, $xj, $xk */ + LoongArch_XVSADD_HU /* 2153 */, LoongArch_INS_XVSADD_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsadd.w $xd, $xj, $xk */ + LoongArch_XVSADD_W /* 2154 */, LoongArch_INS_XVSADD_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsadd.wu $xd, $xj, $xk */ + LoongArch_XVSADD_WU /* 2155 */, LoongArch_INS_XVSADD_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsat.b $xd, $xj, $imm3 */ + LoongArch_XVSAT_B /* 2156 */, LoongArch_INS_XVSAT_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsat.bu $xd, $xj, $imm3 */ + LoongArch_XVSAT_BU /* 2157 */, LoongArch_INS_XVSAT_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsat.d $xd, $xj, $imm6 */ + LoongArch_XVSAT_D /* 2158 */, LoongArch_INS_XVSAT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsat.du $xd, $xj, $imm6 */ + LoongArch_XVSAT_DU /* 2159 */, LoongArch_INS_XVSAT_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsat.h $xd, $xj, $imm4 */ + LoongArch_XVSAT_H /* 2160 */, LoongArch_INS_XVSAT_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsat.hu $xd, $xj, $imm4 */ + LoongArch_XVSAT_HU /* 2161 */, LoongArch_INS_XVSAT_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsat.w $xd, $xj, $imm5 */ + LoongArch_XVSAT_W /* 2162 */, LoongArch_INS_XVSAT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsat.wu $xd, $xj, $imm5 */ + LoongArch_XVSAT_WU /* 2163 */, LoongArch_INS_XVSAT_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseqi.b $xd, $xj, $imm5 */ + LoongArch_XVSEQI_B /* 2164 */, LoongArch_INS_XVSEQI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseqi.d $xd, $xj, $imm5 */ + LoongArch_XVSEQI_D /* 2165 */, LoongArch_INS_XVSEQI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseqi.h $xd, $xj, $imm5 */ + LoongArch_XVSEQI_H /* 2166 */, LoongArch_INS_XVSEQI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseqi.w $xd, $xj, $imm5 */ + LoongArch_XVSEQI_W /* 2167 */, LoongArch_INS_XVSEQI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseq.b $xd, $xj, $xk */ + LoongArch_XVSEQ_B /* 2168 */, LoongArch_INS_XVSEQ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseq.d $xd, $xj, $xk */ + LoongArch_XVSEQ_D /* 2169 */, LoongArch_INS_XVSEQ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseq.h $xd, $xj, $xk */ + LoongArch_XVSEQ_H /* 2170 */, LoongArch_INS_XVSEQ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseq.w $xd, $xj, $xk */ + LoongArch_XVSEQ_W /* 2171 */, LoongArch_INS_XVSEQ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetallnez.b $cd, $xj */ + LoongArch_XVSETALLNEZ_B /* 2172 */, LoongArch_INS_XVSETALLNEZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetallnez.d $cd, $xj */ + LoongArch_XVSETALLNEZ_D /* 2173 */, LoongArch_INS_XVSETALLNEZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetallnez.h $cd, $xj */ + LoongArch_XVSETALLNEZ_H /* 2174 */, LoongArch_INS_XVSETALLNEZ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetallnez.w $cd, $xj */ + LoongArch_XVSETALLNEZ_W /* 2175 */, LoongArch_INS_XVSETALLNEZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetanyeqz.b $cd, $xj */ + LoongArch_XVSETANYEQZ_B /* 2176 */, LoongArch_INS_XVSETANYEQZ_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetanyeqz.d $cd, $xj */ + LoongArch_XVSETANYEQZ_D /* 2177 */, LoongArch_INS_XVSETANYEQZ_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetanyeqz.h $cd, $xj */ + LoongArch_XVSETANYEQZ_H /* 2178 */, LoongArch_INS_XVSETANYEQZ_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetanyeqz.w $cd, $xj */ + LoongArch_XVSETANYEQZ_W /* 2179 */, LoongArch_INS_XVSETANYEQZ_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvseteqz.v $cd, $xj */ + LoongArch_XVSETEQZ_V /* 2180 */, LoongArch_INS_XVSETEQZ_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsetnez.v $cd, $xj */ + LoongArch_XVSETNEZ_V /* 2181 */, LoongArch_INS_XVSETNEZ_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2R_CX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvshuf4i.b $xd, $xj, $imm8 */ + LoongArch_XVSHUF4I_B /* 2182 */, LoongArch_INS_XVSHUF4I_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvshuf4i.d $xd, $xj, $imm8 */ + LoongArch_XVSHUF4I_D /* 2183 */, LoongArch_INS_XVSHUF4I_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvshuf4i.h $xd, $xj, $imm8 */ + LoongArch_XVSHUF4I_H /* 2184 */, LoongArch_INS_XVSHUF4I_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvshuf4i.w $xd, $xj, $imm8 */ + LoongArch_XVSHUF4I_W /* 2185 */, LoongArch_INS_XVSHUF4I_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvshuf.b $xd, $xj, $xk, $xa */ + LoongArch_XVSHUF_B /* 2186 */, LoongArch_INS_XVSHUF_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT4R_XXXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvshuf.d $xd, $xj, $xk */ + LoongArch_XVSHUF_D /* 2187 */, LoongArch_INS_XVSHUF_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvshuf.h $xd, $xj, $xk */ + LoongArch_XVSHUF_H /* 2188 */, LoongArch_INS_XVSHUF_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvshuf.w $xd, $xj, $xk */ + LoongArch_XVSHUF_W /* 2189 */, LoongArch_INS_XVSHUF_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsigncov.b $xd, $xj, $xk */ + LoongArch_XVSIGNCOV_B /* 2190 */, LoongArch_INS_XVSIGNCOV_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsigncov.d $xd, $xj, $xk */ + LoongArch_XVSIGNCOV_D /* 2191 */, LoongArch_INS_XVSIGNCOV_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsigncov.h $xd, $xj, $xk */ + LoongArch_XVSIGNCOV_H /* 2192 */, LoongArch_INS_XVSIGNCOV_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsigncov.w $xd, $xj, $xk */ + LoongArch_XVSIGNCOV_W /* 2193 */, LoongArch_INS_XVSIGNCOV_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslei.b $xd, $xj, $imm5 */ + LoongArch_XVSLEI_B /* 2194 */, LoongArch_INS_XVSLEI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslei.bu $xd, $xj, $imm5 */ + LoongArch_XVSLEI_BU /* 2195 */, LoongArch_INS_XVSLEI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslei.d $xd, $xj, $imm5 */ + LoongArch_XVSLEI_D /* 2196 */, LoongArch_INS_XVSLEI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslei.du $xd, $xj, $imm5 */ + LoongArch_XVSLEI_DU /* 2197 */, LoongArch_INS_XVSLEI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslei.h $xd, $xj, $imm5 */ + LoongArch_XVSLEI_H /* 2198 */, LoongArch_INS_XVSLEI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslei.hu $xd, $xj, $imm5 */ + LoongArch_XVSLEI_HU /* 2199 */, LoongArch_INS_XVSLEI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslei.w $xd, $xj, $imm5 */ + LoongArch_XVSLEI_W /* 2200 */, LoongArch_INS_XVSLEI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslei.wu $xd, $xj, $imm5 */ + LoongArch_XVSLEI_WU /* 2201 */, LoongArch_INS_XVSLEI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsle.b $xd, $xj, $xk */ + LoongArch_XVSLE_B /* 2202 */, LoongArch_INS_XVSLE_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsle.bu $xd, $xj, $xk */ + LoongArch_XVSLE_BU /* 2203 */, LoongArch_INS_XVSLE_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsle.d $xd, $xj, $xk */ + LoongArch_XVSLE_D /* 2204 */, LoongArch_INS_XVSLE_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsle.du $xd, $xj, $xk */ + LoongArch_XVSLE_DU /* 2205 */, LoongArch_INS_XVSLE_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsle.h $xd, $xj, $xk */ + LoongArch_XVSLE_H /* 2206 */, LoongArch_INS_XVSLE_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsle.hu $xd, $xj, $xk */ + LoongArch_XVSLE_HU /* 2207 */, LoongArch_INS_XVSLE_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsle.w $xd, $xj, $xk */ + LoongArch_XVSLE_W /* 2208 */, LoongArch_INS_XVSLE_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsle.wu $xd, $xj, $xk */ + LoongArch_XVSLE_WU /* 2209 */, LoongArch_INS_XVSLE_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslli.b $xd, $xj, $imm3 */ + LoongArch_XVSLLI_B /* 2210 */, LoongArch_INS_XVSLLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslli.d $xd, $xj, $imm6 */ + LoongArch_XVSLLI_D /* 2211 */, LoongArch_INS_XVSLLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslli.h $xd, $xj, $imm4 */ + LoongArch_XVSLLI_H /* 2212 */, LoongArch_INS_XVSLLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslli.w $xd, $xj, $imm5 */ + LoongArch_XVSLLI_W /* 2213 */, LoongArch_INS_XVSLLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsllwil.du.wu $xd, $xj, $imm5 */ + LoongArch_XVSLLWIL_DU_WU /* 2214 */, LoongArch_INS_XVSLLWIL_DU_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsllwil.d.w $xd, $xj, $imm5 */ + LoongArch_XVSLLWIL_D_W /* 2215 */, LoongArch_INS_XVSLLWIL_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsllwil.hu.bu $xd, $xj, $imm3 */ + LoongArch_XVSLLWIL_HU_BU /* 2216 */, LoongArch_INS_XVSLLWIL_HU_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsllwil.h.b $xd, $xj, $imm3 */ + LoongArch_XVSLLWIL_H_B /* 2217 */, LoongArch_INS_XVSLLWIL_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsllwil.wu.hu $xd, $xj, $imm4 */ + LoongArch_XVSLLWIL_WU_HU /* 2218 */, LoongArch_INS_XVSLLWIL_WU_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsllwil.w.h $xd, $xj, $imm4 */ + LoongArch_XVSLLWIL_W_H /* 2219 */, LoongArch_INS_XVSLLWIL_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsll.b $xd, $xj, $xk */ + LoongArch_XVSLL_B /* 2220 */, LoongArch_INS_XVSLL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsll.d $xd, $xj, $xk */ + LoongArch_XVSLL_D /* 2221 */, LoongArch_INS_XVSLL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsll.h $xd, $xj, $xk */ + LoongArch_XVSLL_H /* 2222 */, LoongArch_INS_XVSLL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsll.w $xd, $xj, $xk */ + LoongArch_XVSLL_W /* 2223 */, LoongArch_INS_XVSLL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslti.b $xd, $xj, $imm5 */ + LoongArch_XVSLTI_B /* 2224 */, LoongArch_INS_XVSLTI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslti.bu $xd, $xj, $imm5 */ + LoongArch_XVSLTI_BU /* 2225 */, LoongArch_INS_XVSLTI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslti.d $xd, $xj, $imm5 */ + LoongArch_XVSLTI_D /* 2226 */, LoongArch_INS_XVSLTI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslti.du $xd, $xj, $imm5 */ + LoongArch_XVSLTI_DU /* 2227 */, LoongArch_INS_XVSLTI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslti.h $xd, $xj, $imm5 */ + LoongArch_XVSLTI_H /* 2228 */, LoongArch_INS_XVSLTI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslti.hu $xd, $xj, $imm5 */ + LoongArch_XVSLTI_HU /* 2229 */, LoongArch_INS_XVSLTI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslti.w $xd, $xj, $imm5 */ + LoongArch_XVSLTI_W /* 2230 */, LoongArch_INS_XVSLTI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslti.wu $xd, $xj, $imm5 */ + LoongArch_XVSLTI_WU /* 2231 */, LoongArch_INS_XVSLTI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslt.b $xd, $xj, $xk */ + LoongArch_XVSLT_B /* 2232 */, LoongArch_INS_XVSLT_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslt.bu $xd, $xj, $xk */ + LoongArch_XVSLT_BU /* 2233 */, LoongArch_INS_XVSLT_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslt.d $xd, $xj, $xk */ + LoongArch_XVSLT_D /* 2234 */, LoongArch_INS_XVSLT_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslt.du $xd, $xj, $xk */ + LoongArch_XVSLT_DU /* 2235 */, LoongArch_INS_XVSLT_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslt.h $xd, $xj, $xk */ + LoongArch_XVSLT_H /* 2236 */, LoongArch_INS_XVSLT_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslt.hu $xd, $xj, $xk */ + LoongArch_XVSLT_HU /* 2237 */, LoongArch_INS_XVSLT_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslt.w $xd, $xj, $xk */ + LoongArch_XVSLT_W /* 2238 */, LoongArch_INS_XVSLT_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvslt.wu $xd, $xj, $xk */ + LoongArch_XVSLT_WU /* 2239 */, LoongArch_INS_XVSLT_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrai.b $xd, $xj, $imm3 */ + LoongArch_XVSRAI_B /* 2240 */, LoongArch_INS_XVSRAI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrai.d $xd, $xj, $imm6 */ + LoongArch_XVSRAI_D /* 2241 */, LoongArch_INS_XVSRAI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrai.h $xd, $xj, $imm4 */ + LoongArch_XVSRAI_H /* 2242 */, LoongArch_INS_XVSRAI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrai.w $xd, $xj, $imm5 */ + LoongArch_XVSRAI_W /* 2243 */, LoongArch_INS_XVSRAI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrani.b.h $xd, $xj, $imm4 */ + LoongArch_XVSRANI_B_H /* 2244 */, LoongArch_INS_XVSRANI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrani.d.q $xd, $xj, $imm7 */ + LoongArch_XVSRANI_D_Q /* 2245 */, LoongArch_INS_XVSRANI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrani.h.w $xd, $xj, $imm5 */ + LoongArch_XVSRANI_H_W /* 2246 */, LoongArch_INS_XVSRANI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrani.w.d $xd, $xj, $imm6 */ + LoongArch_XVSRANI_W_D /* 2247 */, LoongArch_INS_XVSRANI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsran.b.h $xd, $xj, $xk */ + LoongArch_XVSRAN_B_H /* 2248 */, LoongArch_INS_XVSRAN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsran.h.w $xd, $xj, $xk */ + LoongArch_XVSRAN_H_W /* 2249 */, LoongArch_INS_XVSRAN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsran.w.d $xd, $xj, $xk */ + LoongArch_XVSRAN_W_D /* 2250 */, LoongArch_INS_XVSRAN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrari.b $xd, $xj, $imm3 */ + LoongArch_XVSRARI_B /* 2251 */, LoongArch_INS_XVSRARI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrari.d $xd, $xj, $imm6 */ + LoongArch_XVSRARI_D /* 2252 */, LoongArch_INS_XVSRARI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrari.h $xd, $xj, $imm4 */ + LoongArch_XVSRARI_H /* 2253 */, LoongArch_INS_XVSRARI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrari.w $xd, $xj, $imm5 */ + LoongArch_XVSRARI_W /* 2254 */, LoongArch_INS_XVSRARI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrarni.b.h $xd, $xj, $imm4 */ + LoongArch_XVSRARNI_B_H /* 2255 */, LoongArch_INS_XVSRARNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrarni.d.q $xd, $xj, $imm7 */ + LoongArch_XVSRARNI_D_Q /* 2256 */, LoongArch_INS_XVSRARNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrarni.h.w $xd, $xj, $imm5 */ + LoongArch_XVSRARNI_H_W /* 2257 */, LoongArch_INS_XVSRARNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrarni.w.d $xd, $xj, $imm6 */ + LoongArch_XVSRARNI_W_D /* 2258 */, LoongArch_INS_XVSRARNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrarn.b.h $xd, $xj, $xk */ + LoongArch_XVSRARN_B_H /* 2259 */, LoongArch_INS_XVSRARN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrarn.h.w $xd, $xj, $xk */ + LoongArch_XVSRARN_H_W /* 2260 */, LoongArch_INS_XVSRARN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrarn.w.d $xd, $xj, $xk */ + LoongArch_XVSRARN_W_D /* 2261 */, LoongArch_INS_XVSRARN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrar.b $xd, $xj, $xk */ + LoongArch_XVSRAR_B /* 2262 */, LoongArch_INS_XVSRAR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrar.d $xd, $xj, $xk */ + LoongArch_XVSRAR_D /* 2263 */, LoongArch_INS_XVSRAR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrar.h $xd, $xj, $xk */ + LoongArch_XVSRAR_H /* 2264 */, LoongArch_INS_XVSRAR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrar.w $xd, $xj, $xk */ + LoongArch_XVSRAR_W /* 2265 */, LoongArch_INS_XVSRAR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsra.b $xd, $xj, $xk */ + LoongArch_XVSRA_B /* 2266 */, LoongArch_INS_XVSRA_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsra.d $xd, $xj, $xk */ + LoongArch_XVSRA_D /* 2267 */, LoongArch_INS_XVSRA_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsra.h $xd, $xj, $xk */ + LoongArch_XVSRA_H /* 2268 */, LoongArch_INS_XVSRA_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsra.w $xd, $xj, $xk */ + LoongArch_XVSRA_W /* 2269 */, LoongArch_INS_XVSRA_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrli.b $xd, $xj, $imm3 */ + LoongArch_XVSRLI_B /* 2270 */, LoongArch_INS_XVSRLI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrli.d $xd, $xj, $imm6 */ + LoongArch_XVSRLI_D /* 2271 */, LoongArch_INS_XVSRLI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrli.h $xd, $xj, $imm4 */ + LoongArch_XVSRLI_H /* 2272 */, LoongArch_INS_XVSRLI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrli.w $xd, $xj, $imm5 */ + LoongArch_XVSRLI_W /* 2273 */, LoongArch_INS_XVSRLI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlni.b.h $xd, $xj, $imm4 */ + LoongArch_XVSRLNI_B_H /* 2274 */, LoongArch_INS_XVSRLNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlni.d.q $xd, $xj, $imm7 */ + LoongArch_XVSRLNI_D_Q /* 2275 */, LoongArch_INS_XVSRLNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlni.h.w $xd, $xj, $imm5 */ + LoongArch_XVSRLNI_H_W /* 2276 */, LoongArch_INS_XVSRLNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlni.w.d $xd, $xj, $imm6 */ + LoongArch_XVSRLNI_W_D /* 2277 */, LoongArch_INS_XVSRLNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrln.b.h $xd, $xj, $xk */ + LoongArch_XVSRLN_B_H /* 2278 */, LoongArch_INS_XVSRLN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrln.h.w $xd, $xj, $xk */ + LoongArch_XVSRLN_H_W /* 2279 */, LoongArch_INS_XVSRLN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrln.w.d $xd, $xj, $xk */ + LoongArch_XVSRLN_W_D /* 2280 */, LoongArch_INS_XVSRLN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlri.b $xd, $xj, $imm3 */ + LoongArch_XVSRLRI_B /* 2281 */, LoongArch_INS_XVSRLRI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI3_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlri.d $xd, $xj, $imm6 */ + LoongArch_XVSRLRI_D /* 2282 */, LoongArch_INS_XVSRLRI_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlri.h $xd, $xj, $imm4 */ + LoongArch_XVSRLRI_H /* 2283 */, LoongArch_INS_XVSRLRI_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlri.w $xd, $xj, $imm5 */ + LoongArch_XVSRLRI_W /* 2284 */, LoongArch_INS_XVSRLRI_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlrni.b.h $xd, $xj, $imm4 */ + LoongArch_XVSRLRNI_B_H /* 2285 */, LoongArch_INS_XVSRLRNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlrni.d.q $xd, $xj, $imm7 */ + LoongArch_XVSRLRNI_D_Q /* 2286 */, LoongArch_INS_XVSRLRNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlrni.h.w $xd, $xj, $imm5 */ + LoongArch_XVSRLRNI_H_W /* 2287 */, LoongArch_INS_XVSRLRNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlrni.w.d $xd, $xj, $imm6 */ + LoongArch_XVSRLRNI_W_D /* 2288 */, LoongArch_INS_XVSRLRNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlrn.b.h $xd, $xj, $xk */ + LoongArch_XVSRLRN_B_H /* 2289 */, LoongArch_INS_XVSRLRN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlrn.h.w $xd, $xj, $xk */ + LoongArch_XVSRLRN_H_W /* 2290 */, LoongArch_INS_XVSRLRN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlrn.w.d $xd, $xj, $xk */ + LoongArch_XVSRLRN_W_D /* 2291 */, LoongArch_INS_XVSRLRN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlr.b $xd, $xj, $xk */ + LoongArch_XVSRLR_B /* 2292 */, LoongArch_INS_XVSRLR_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlr.d $xd, $xj, $xk */ + LoongArch_XVSRLR_D /* 2293 */, LoongArch_INS_XVSRLR_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlr.h $xd, $xj, $xk */ + LoongArch_XVSRLR_H /* 2294 */, LoongArch_INS_XVSRLR_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrlr.w $xd, $xj, $xk */ + LoongArch_XVSRLR_W /* 2295 */, LoongArch_INS_XVSRLR_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrl.b $xd, $xj, $xk */ + LoongArch_XVSRL_B /* 2296 */, LoongArch_INS_XVSRL_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrl.d $xd, $xj, $xk */ + LoongArch_XVSRL_D /* 2297 */, LoongArch_INS_XVSRL_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrl.h $xd, $xj, $xk */ + LoongArch_XVSRL_H /* 2298 */, LoongArch_INS_XVSRL_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsrl.w $xd, $xj, $xk */ + LoongArch_XVSRL_W /* 2299 */, LoongArch_INS_XVSRL_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrani.bu.h $xd, $xj, $imm4 */ + LoongArch_XVSSRANI_BU_H /* 2300 */, LoongArch_INS_XVSSRANI_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrani.b.h $xd, $xj, $imm4 */ + LoongArch_XVSSRANI_B_H /* 2301 */, LoongArch_INS_XVSSRANI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrani.du.q $xd, $xj, $imm7 */ + LoongArch_XVSSRANI_DU_Q /* 2302 */, LoongArch_INS_XVSSRANI_DU_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrani.d.q $xd, $xj, $imm7 */ + LoongArch_XVSSRANI_D_Q /* 2303 */, LoongArch_INS_XVSSRANI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrani.hu.w $xd, $xj, $imm5 */ + LoongArch_XVSSRANI_HU_W /* 2304 */, LoongArch_INS_XVSSRANI_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrani.h.w $xd, $xj, $imm5 */ + LoongArch_XVSSRANI_H_W /* 2305 */, LoongArch_INS_XVSSRANI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrani.wu.d $xd, $xj, $imm6 */ + LoongArch_XVSSRANI_WU_D /* 2306 */, LoongArch_INS_XVSSRANI_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrani.w.d $xd, $xj, $imm6 */ + LoongArch_XVSSRANI_W_D /* 2307 */, LoongArch_INS_XVSSRANI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssran.bu.h $xd, $xj, $xk */ + LoongArch_XVSSRAN_BU_H /* 2308 */, LoongArch_INS_XVSSRAN_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssran.b.h $xd, $xj, $xk */ + LoongArch_XVSSRAN_B_H /* 2309 */, LoongArch_INS_XVSSRAN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssran.hu.w $xd, $xj, $xk */ + LoongArch_XVSSRAN_HU_W /* 2310 */, LoongArch_INS_XVSSRAN_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssran.h.w $xd, $xj, $xk */ + LoongArch_XVSSRAN_H_W /* 2311 */, LoongArch_INS_XVSSRAN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssran.wu.d $xd, $xj, $xk */ + LoongArch_XVSSRAN_WU_D /* 2312 */, LoongArch_INS_XVSSRAN_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssran.w.d $xd, $xj, $xk */ + LoongArch_XVSSRAN_W_D /* 2313 */, LoongArch_INS_XVSSRAN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarni.bu.h $xd, $xj, $imm4 */ + LoongArch_XVSSRARNI_BU_H /* 2314 */, LoongArch_INS_XVSSRARNI_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarni.b.h $xd, $xj, $imm4 */ + LoongArch_XVSSRARNI_B_H /* 2315 */, LoongArch_INS_XVSSRARNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarni.du.q $xd, $xj, $imm7 */ + LoongArch_XVSSRARNI_DU_Q /* 2316 */, LoongArch_INS_XVSSRARNI_DU_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarni.d.q $xd, $xj, $imm7 */ + LoongArch_XVSSRARNI_D_Q /* 2317 */, LoongArch_INS_XVSSRARNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarni.hu.w $xd, $xj, $imm5 */ + LoongArch_XVSSRARNI_HU_W /* 2318 */, LoongArch_INS_XVSSRARNI_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarni.h.w $xd, $xj, $imm5 */ + LoongArch_XVSSRARNI_H_W /* 2319 */, LoongArch_INS_XVSSRARNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarni.wu.d $xd, $xj, $imm6 */ + LoongArch_XVSSRARNI_WU_D /* 2320 */, LoongArch_INS_XVSSRARNI_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarni.w.d $xd, $xj, $imm6 */ + LoongArch_XVSSRARNI_W_D /* 2321 */, LoongArch_INS_XVSSRARNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarn.bu.h $xd, $xj, $xk */ + LoongArch_XVSSRARN_BU_H /* 2322 */, LoongArch_INS_XVSSRARN_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarn.b.h $xd, $xj, $xk */ + LoongArch_XVSSRARN_B_H /* 2323 */, LoongArch_INS_XVSSRARN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarn.hu.w $xd, $xj, $xk */ + LoongArch_XVSSRARN_HU_W /* 2324 */, LoongArch_INS_XVSSRARN_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarn.h.w $xd, $xj, $xk */ + LoongArch_XVSSRARN_H_W /* 2325 */, LoongArch_INS_XVSSRARN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarn.wu.d $xd, $xj, $xk */ + LoongArch_XVSSRARN_WU_D /* 2326 */, LoongArch_INS_XVSSRARN_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrarn.w.d $xd, $xj, $xk */ + LoongArch_XVSSRARN_W_D /* 2327 */, LoongArch_INS_XVSSRARN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlni.bu.h $xd, $xj, $imm4 */ + LoongArch_XVSSRLNI_BU_H /* 2328 */, LoongArch_INS_XVSSRLNI_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlni.b.h $xd, $xj, $imm4 */ + LoongArch_XVSSRLNI_B_H /* 2329 */, LoongArch_INS_XVSSRLNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlni.du.q $xd, $xj, $imm7 */ + LoongArch_XVSSRLNI_DU_Q /* 2330 */, LoongArch_INS_XVSSRLNI_DU_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlni.d.q $xd, $xj, $imm7 */ + LoongArch_XVSSRLNI_D_Q /* 2331 */, LoongArch_INS_XVSSRLNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlni.hu.w $xd, $xj, $imm5 */ + LoongArch_XVSSRLNI_HU_W /* 2332 */, LoongArch_INS_XVSSRLNI_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlni.h.w $xd, $xj, $imm5 */ + LoongArch_XVSSRLNI_H_W /* 2333 */, LoongArch_INS_XVSSRLNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlni.wu.d $xd, $xj, $imm6 */ + LoongArch_XVSSRLNI_WU_D /* 2334 */, LoongArch_INS_XVSSRLNI_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlni.w.d $xd, $xj, $imm6 */ + LoongArch_XVSSRLNI_W_D /* 2335 */, LoongArch_INS_XVSSRLNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrln.bu.h $xd, $xj, $xk */ + LoongArch_XVSSRLN_BU_H /* 2336 */, LoongArch_INS_XVSSRLN_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrln.b.h $xd, $xj, $xk */ + LoongArch_XVSSRLN_B_H /* 2337 */, LoongArch_INS_XVSSRLN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrln.hu.w $xd, $xj, $xk */ + LoongArch_XVSSRLN_HU_W /* 2338 */, LoongArch_INS_XVSSRLN_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrln.h.w $xd, $xj, $xk */ + LoongArch_XVSSRLN_H_W /* 2339 */, LoongArch_INS_XVSSRLN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrln.wu.d $xd, $xj, $xk */ + LoongArch_XVSSRLN_WU_D /* 2340 */, LoongArch_INS_XVSSRLN_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrln.w.d $xd, $xj, $xk */ + LoongArch_XVSSRLN_W_D /* 2341 */, LoongArch_INS_XVSSRLN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrni.bu.h $xd, $xj, $imm4 */ + LoongArch_XVSSRLRNI_BU_H /* 2342 */, LoongArch_INS_XVSSRLRNI_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrni.b.h $xd, $xj, $imm4 */ + LoongArch_XVSSRLRNI_B_H /* 2343 */, LoongArch_INS_XVSSRLRNI_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI4_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrni.du.q $xd, $xj, $imm7 */ + LoongArch_XVSSRLRNI_DU_Q /* 2344 */, LoongArch_INS_XVSSRLRNI_DU_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrni.d.q $xd, $xj, $imm7 */ + LoongArch_XVSSRLRNI_D_Q /* 2345 */, LoongArch_INS_XVSSRLRNI_D_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI7_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrni.hu.w $xd, $xj, $imm5 */ + LoongArch_XVSSRLRNI_HU_W /* 2346 */, LoongArch_INS_XVSSRLRNI_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrni.h.w $xd, $xj, $imm5 */ + LoongArch_XVSSRLRNI_H_W /* 2347 */, LoongArch_INS_XVSSRLRNI_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrni.wu.d $xd, $xj, $imm6 */ + LoongArch_XVSSRLRNI_WU_D /* 2348 */, LoongArch_INS_XVSSRLRNI_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrni.w.d $xd, $xj, $imm6 */ + LoongArch_XVSSRLRNI_W_D /* 2349 */, LoongArch_INS_XVSSRLRNI_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI6_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrn.bu.h $xd, $xj, $xk */ + LoongArch_XVSSRLRN_BU_H /* 2350 */, LoongArch_INS_XVSSRLRN_BU_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrn.b.h $xd, $xj, $xk */ + LoongArch_XVSSRLRN_B_H /* 2351 */, LoongArch_INS_XVSSRLRN_B_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrn.hu.w $xd, $xj, $xk */ + LoongArch_XVSSRLRN_HU_W /* 2352 */, LoongArch_INS_XVSSRLRN_HU_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrn.h.w $xd, $xj, $xk */ + LoongArch_XVSSRLRN_H_W /* 2353 */, LoongArch_INS_XVSSRLRN_H_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrn.wu.d $xd, $xj, $xk */ + LoongArch_XVSSRLRN_WU_D /* 2354 */, LoongArch_INS_XVSSRLRN_WU_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssrlrn.w.d $xd, $xj, $xk */ + LoongArch_XVSSRLRN_W_D /* 2355 */, LoongArch_INS_XVSSRLRN_W_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssub.b $xd, $xj, $xk */ + LoongArch_XVSSUB_B /* 2356 */, LoongArch_INS_XVSSUB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssub.bu $xd, $xj, $xk */ + LoongArch_XVSSUB_BU /* 2357 */, LoongArch_INS_XVSSUB_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssub.d $xd, $xj, $xk */ + LoongArch_XVSSUB_D /* 2358 */, LoongArch_INS_XVSSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssub.du $xd, $xj, $xk */ + LoongArch_XVSSUB_DU /* 2359 */, LoongArch_INS_XVSSUB_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssub.h $xd, $xj, $xk */ + LoongArch_XVSSUB_H /* 2360 */, LoongArch_INS_XVSSUB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssub.hu $xd, $xj, $xk */ + LoongArch_XVSSUB_HU /* 2361 */, LoongArch_INS_XVSSUB_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssub.w $xd, $xj, $xk */ + LoongArch_XVSSUB_W /* 2362 */, LoongArch_INS_XVSSUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvssub.wu $xd, $xj, $xk */ + LoongArch_XVSSUB_WU /* 2363 */, LoongArch_INS_XVSSUB_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvst $xd, $rj, $imm12 */ + LoongArch_XVST /* 2364 */, LoongArch_INS_XVST, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI12_XRI, CS_AC_WRITE }} + + #endif +}, +{ + /* xvstelm.b $xd, $rj, $imm8, $imm5 */ + LoongArch_XVSTELM_B /* 2365 */, LoongArch_INS_XVSTELM_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8I5_XRII, CS_AC_WRITE }} + + #endif +}, +{ + /* xvstelm.d $xd, $rj, $imm8, $imm2 */ + LoongArch_XVSTELM_D /* 2366 */, LoongArch_INS_XVSTELM_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8I2_XRII, CS_AC_WRITE }} + + #endif +}, +{ + /* xvstelm.h $xd, $rj, $imm8, $imm4 */ + LoongArch_XVSTELM_H /* 2367 */, LoongArch_INS_XVSTELM_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8I4_XRII, CS_AC_WRITE }} + + #endif +}, +{ + /* xvstelm.w $xd, $rj, $imm8, $imm3 */ + LoongArch_XVSTELM_W /* 2368 */, LoongArch_INS_XVSTELM_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8I3_XRII, CS_AC_WRITE }} + + #endif +}, +{ + /* xvstx $xd, $rj, $rk */ + LoongArch_XVSTX /* 2369 */, LoongArch_INS_XVSTX, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XRR, CS_AC_WRITE }} + + #endif +}, +{ + /* xvsubi.bu $xd, $xj, $imm5 */ + LoongArch_XVSUBI_BU /* 2370 */, LoongArch_INS_XVSUBI_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubi.du $xd, $xj, $imm5 */ + LoongArch_XVSUBI_DU /* 2371 */, LoongArch_INS_XVSUBI_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubi.hu $xd, $xj, $imm5 */ + LoongArch_XVSUBI_HU /* 2372 */, LoongArch_INS_XVSUBI_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubi.wu $xd, $xj, $imm5 */ + LoongArch_XVSUBI_WU /* 2373 */, LoongArch_INS_XVSUBI_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI5_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwev.d.w $xd, $xj, $xk */ + LoongArch_XVSUBWEV_D_W /* 2374 */, LoongArch_INS_XVSUBWEV_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwev.d.wu $xd, $xj, $xk */ + LoongArch_XVSUBWEV_D_WU /* 2375 */, LoongArch_INS_XVSUBWEV_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwev.h.b $xd, $xj, $xk */ + LoongArch_XVSUBWEV_H_B /* 2376 */, LoongArch_INS_XVSUBWEV_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwev.h.bu $xd, $xj, $xk */ + LoongArch_XVSUBWEV_H_BU /* 2377 */, LoongArch_INS_XVSUBWEV_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwev.q.d $xd, $xj, $xk */ + LoongArch_XVSUBWEV_Q_D /* 2378 */, LoongArch_INS_XVSUBWEV_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwev.q.du $xd, $xj, $xk */ + LoongArch_XVSUBWEV_Q_DU /* 2379 */, LoongArch_INS_XVSUBWEV_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwev.w.h $xd, $xj, $xk */ + LoongArch_XVSUBWEV_W_H /* 2380 */, LoongArch_INS_XVSUBWEV_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwev.w.hu $xd, $xj, $xk */ + LoongArch_XVSUBWEV_W_HU /* 2381 */, LoongArch_INS_XVSUBWEV_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwod.d.w $xd, $xj, $xk */ + LoongArch_XVSUBWOD_D_W /* 2382 */, LoongArch_INS_XVSUBWOD_D_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwod.d.wu $xd, $xj, $xk */ + LoongArch_XVSUBWOD_D_WU /* 2383 */, LoongArch_INS_XVSUBWOD_D_WU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwod.h.b $xd, $xj, $xk */ + LoongArch_XVSUBWOD_H_B /* 2384 */, LoongArch_INS_XVSUBWOD_H_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwod.h.bu $xd, $xj, $xk */ + LoongArch_XVSUBWOD_H_BU /* 2385 */, LoongArch_INS_XVSUBWOD_H_BU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwod.q.d $xd, $xj, $xk */ + LoongArch_XVSUBWOD_Q_D /* 2386 */, LoongArch_INS_XVSUBWOD_Q_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwod.q.du $xd, $xj, $xk */ + LoongArch_XVSUBWOD_Q_DU /* 2387 */, LoongArch_INS_XVSUBWOD_Q_DU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwod.w.h $xd, $xj, $xk */ + LoongArch_XVSUBWOD_W_H /* 2388 */, LoongArch_INS_XVSUBWOD_W_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsubwod.w.hu $xd, $xj, $xk */ + LoongArch_XVSUBWOD_W_HU /* 2389 */, LoongArch_INS_XVSUBWOD_W_HU, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsub.b $xd, $xj, $xk */ + LoongArch_XVSUB_B /* 2390 */, LoongArch_INS_XVSUB_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsub.d $xd, $xj, $xk */ + LoongArch_XVSUB_D /* 2391 */, LoongArch_INS_XVSUB_D, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsub.h $xd, $xj, $xk */ + LoongArch_XVSUB_H /* 2392 */, LoongArch_INS_XVSUB_H, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsub.q $xd, $xj, $xk */ + LoongArch_XVSUB_Q /* 2393 */, LoongArch_INS_XVSUB_Q, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvsub.w $xd, $xj, $xk */ + LoongArch_XVSUB_W /* 2394 */, LoongArch_INS_XVSUB_W, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, +{ + /* xvxori.b $xd, $xj, $imm8 */ + LoongArch_XVXORI_B /* 2395 */, LoongArch_INS_XVXORI_B, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT2RI8_XXI, CS_AC_INVALID }} + + #endif +}, +{ + /* xvxor.v $xd, $xj, $xk */ + LoongArch_XVXOR_V /* 2396 */, LoongArch_INS_XVXOR_V, + #ifndef CAPSTONE_DIET + { 0 }, { 0 }, { 0 }, 0, 0, { .loongarch = { LoongArch_INSN_FORM_FMT3R_XXX, CS_AC_INVALID }} + + #endif +}, diff --git a/arch/LoongArch/LoongArchGenCSMappingInsnName.inc b/arch/LoongArch/LoongArchGenCSMappingInsnName.inc new file mode 100644 index 0000000000..0d1216ed3b --- /dev/null +++ b/arch/LoongArch/LoongArchGenCSMappingInsnName.inc @@ -0,0 +1,2064 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + + "invalid", // LoongArch_INS_INVALID + "call36", // LoongArch_INS_CALL36 + "la_abs", // LoongArch_INS_LA_ABS + "la_got", // LoongArch_INS_LA_GOT + "la_pcrel", // LoongArch_INS_LA_PCREL + "la_tls_gd", // LoongArch_INS_LA_TLS_GD + "la_tls_ie", // LoongArch_INS_LA_TLS_IE + "la_tls_ld", // LoongArch_INS_LA_TLS_LD + "la_tls_le", // LoongArch_INS_LA_TLS_LE + "li_d", // LoongArch_INS_LI_D + "li_w", // LoongArch_INS_LI_W + "tail36", // LoongArch_INS_TAIL36 + "vrepli_b", // LoongArch_INS_VREPLI_B + "vrepli_d", // LoongArch_INS_VREPLI_D + "vrepli_h", // LoongArch_INS_VREPLI_H + "vrepli_w", // LoongArch_INS_VREPLI_W + "xvrepli_b", // LoongArch_INS_XVREPLI_B + "xvrepli_d", // LoongArch_INS_XVREPLI_D + "xvrepli_h", // LoongArch_INS_XVREPLI_H + "xvrepli_w", // LoongArch_INS_XVREPLI_W + "adc_b", // LoongArch_INS_ADC_B + "adc_d", // LoongArch_INS_ADC_D + "adc_h", // LoongArch_INS_ADC_H + "adc_w", // LoongArch_INS_ADC_W + "addi_d", // LoongArch_INS_ADDI_D + "addi_w", // LoongArch_INS_ADDI_W + "addu12i_d", // LoongArch_INS_ADDU12I_D + "addu12i_w", // LoongArch_INS_ADDU12I_W + "addu16i_d", // LoongArch_INS_ADDU16I_D + "add_d", // LoongArch_INS_ADD_D + "add_w", // LoongArch_INS_ADD_W + "alsl_d", // LoongArch_INS_ALSL_D + "alsl_w", // LoongArch_INS_ALSL_W + "alsl_wu", // LoongArch_INS_ALSL_WU + "amadd_b", // LoongArch_INS_AMADD_B + "amadd_d", // LoongArch_INS_AMADD_D + "amadd_h", // LoongArch_INS_AMADD_H + "amadd_w", // LoongArch_INS_AMADD_W + "amadd_db_b", // LoongArch_INS_AMADD_DB_B + "amadd_db_d", // LoongArch_INS_AMADD_DB_D + "amadd_db_h", // LoongArch_INS_AMADD_DB_H + "amadd_db_w", // LoongArch_INS_AMADD_DB_W + "amand_d", // LoongArch_INS_AMAND_D + "amand_w", // LoongArch_INS_AMAND_W + "amand_db_d", // LoongArch_INS_AMAND_DB_D + "amand_db_w", // LoongArch_INS_AMAND_DB_W + "amcas_b", // LoongArch_INS_AMCAS_B + "amcas_d", // LoongArch_INS_AMCAS_D + "amcas_h", // LoongArch_INS_AMCAS_H + "amcas_w", // LoongArch_INS_AMCAS_W + "amcas_db_b", // LoongArch_INS_AMCAS_DB_B + "amcas_db_d", // LoongArch_INS_AMCAS_DB_D + "amcas_db_h", // LoongArch_INS_AMCAS_DB_H + "amcas_db_w", // LoongArch_INS_AMCAS_DB_W + "ammax_d", // LoongArch_INS_AMMAX_D + "ammax_du", // LoongArch_INS_AMMAX_DU + "ammax_w", // LoongArch_INS_AMMAX_W + "ammax_wu", // LoongArch_INS_AMMAX_WU + "ammax_db_d", // LoongArch_INS_AMMAX_DB_D + "ammax_db_du", // LoongArch_INS_AMMAX_DB_DU + "ammax_db_w", // LoongArch_INS_AMMAX_DB_W + "ammax_db_wu", // LoongArch_INS_AMMAX_DB_WU + "ammin_d", // LoongArch_INS_AMMIN_D + "ammin_du", // LoongArch_INS_AMMIN_DU + "ammin_w", // LoongArch_INS_AMMIN_W + "ammin_wu", // LoongArch_INS_AMMIN_WU + "ammin_db_d", // LoongArch_INS_AMMIN_DB_D + "ammin_db_du", // LoongArch_INS_AMMIN_DB_DU + "ammin_db_w", // LoongArch_INS_AMMIN_DB_W + "ammin_db_wu", // LoongArch_INS_AMMIN_DB_WU + "amor_d", // LoongArch_INS_AMOR_D + "amor_w", // LoongArch_INS_AMOR_W + "amor_db_d", // LoongArch_INS_AMOR_DB_D + "amor_db_w", // LoongArch_INS_AMOR_DB_W + "amswap_b", // LoongArch_INS_AMSWAP_B + "amswap_d", // LoongArch_INS_AMSWAP_D + "amswap_h", // LoongArch_INS_AMSWAP_H + "amswap_w", // LoongArch_INS_AMSWAP_W + "amswap_db_b", // LoongArch_INS_AMSWAP_DB_B + "amswap_db_d", // LoongArch_INS_AMSWAP_DB_D + "amswap_db_h", // LoongArch_INS_AMSWAP_DB_H + "amswap_db_w", // LoongArch_INS_AMSWAP_DB_W + "amxor_d", // LoongArch_INS_AMXOR_D + "amxor_w", // LoongArch_INS_AMXOR_W + "amxor_db_d", // LoongArch_INS_AMXOR_DB_D + "amxor_db_w", // LoongArch_INS_AMXOR_DB_W + "and", // LoongArch_INS_AND + "andi", // LoongArch_INS_ANDI + "andn", // LoongArch_INS_ANDN + "armadc_w", // LoongArch_INS_ARMADC_W + "armadd_w", // LoongArch_INS_ARMADD_W + "armand_w", // LoongArch_INS_ARMAND_W + "armmfflag", // LoongArch_INS_ARMMFFLAG + "armmove", // LoongArch_INS_ARMMOVE + "armmov_d", // LoongArch_INS_ARMMOV_D + "armmov_w", // LoongArch_INS_ARMMOV_W + "armmtflag", // LoongArch_INS_ARMMTFLAG + "armnot_w", // LoongArch_INS_ARMNOT_W + "armor_w", // LoongArch_INS_ARMOR_W + "armrotri_w", // LoongArch_INS_ARMROTRI_W + "armrotr_w", // LoongArch_INS_ARMROTR_W + "armrrx_w", // LoongArch_INS_ARMRRX_W + "armsbc_w", // LoongArch_INS_ARMSBC_W + "armslli_w", // LoongArch_INS_ARMSLLI_W + "armsll_w", // LoongArch_INS_ARMSLL_W + "armsrai_w", // LoongArch_INS_ARMSRAI_W + "armsra_w", // LoongArch_INS_ARMSRA_W + "armsrli_w", // LoongArch_INS_ARMSRLI_W + "armsrl_w", // LoongArch_INS_ARMSRL_W + "armsub_w", // LoongArch_INS_ARMSUB_W + "armxor_w", // LoongArch_INS_ARMXOR_W + "asrtgt_d", // LoongArch_INS_ASRTGT_D + "asrtle_d", // LoongArch_INS_ASRTLE_D + "b", // LoongArch_INS_B + "bceqz", // LoongArch_INS_BCEQZ + "bcnez", // LoongArch_INS_BCNEZ + "beq", // LoongArch_INS_BEQ + "beqz", // LoongArch_INS_BEQZ + "bge", // LoongArch_INS_BGE + "bgeu", // LoongArch_INS_BGEU + "bitrev_4b", // LoongArch_INS_BITREV_4B + "bitrev_8b", // LoongArch_INS_BITREV_8B + "bitrev_d", // LoongArch_INS_BITREV_D + "bitrev_w", // LoongArch_INS_BITREV_W + "bl", // LoongArch_INS_BL + "blt", // LoongArch_INS_BLT + "bltu", // LoongArch_INS_BLTU + "bne", // LoongArch_INS_BNE + "bnez", // LoongArch_INS_BNEZ + "break", // LoongArch_INS_BREAK + "bstrins_d", // LoongArch_INS_BSTRINS_D + "bstrins_w", // LoongArch_INS_BSTRINS_W + "bstrpick_d", // LoongArch_INS_BSTRPICK_D + "bstrpick_w", // LoongArch_INS_BSTRPICK_W + "bytepick_d", // LoongArch_INS_BYTEPICK_D + "bytepick_w", // LoongArch_INS_BYTEPICK_W + "cacop", // LoongArch_INS_CACOP + "clo_d", // LoongArch_INS_CLO_D + "clo_w", // LoongArch_INS_CLO_W + "clz_d", // LoongArch_INS_CLZ_D + "clz_w", // LoongArch_INS_CLZ_W + "cpucfg", // LoongArch_INS_CPUCFG + "crcc_w_b_w", // LoongArch_INS_CRCC_W_B_W + "crcc_w_d_w", // LoongArch_INS_CRCC_W_D_W + "crcc_w_h_w", // LoongArch_INS_CRCC_W_H_W + "crcc_w_w_w", // LoongArch_INS_CRCC_W_W_W + "crc_w_b_w", // LoongArch_INS_CRC_W_B_W + "crc_w_d_w", // LoongArch_INS_CRC_W_D_W + "crc_w_h_w", // LoongArch_INS_CRC_W_H_W + "crc_w_w_w", // LoongArch_INS_CRC_W_W_W + "csrrd", // LoongArch_INS_CSRRD + "csrwr", // LoongArch_INS_CSRWR + "csrxchg", // LoongArch_INS_CSRXCHG + "cto_d", // LoongArch_INS_CTO_D + "cto_w", // LoongArch_INS_CTO_W + "ctz_d", // LoongArch_INS_CTZ_D + "ctz_w", // LoongArch_INS_CTZ_W + "dbar", // LoongArch_INS_DBAR + "dbcl", // LoongArch_INS_DBCL + "div_d", // LoongArch_INS_DIV_D + "div_du", // LoongArch_INS_DIV_DU + "div_w", // LoongArch_INS_DIV_W + "div_wu", // LoongArch_INS_DIV_WU + "ertn", // LoongArch_INS_ERTN + "ext_w_b", // LoongArch_INS_EXT_W_B + "ext_w_h", // LoongArch_INS_EXT_W_H + "fabs_d", // LoongArch_INS_FABS_D + "fabs_s", // LoongArch_INS_FABS_S + "fadd_d", // LoongArch_INS_FADD_D + "fadd_s", // LoongArch_INS_FADD_S + "fclass_d", // LoongArch_INS_FCLASS_D + "fclass_s", // LoongArch_INS_FCLASS_S + "fcmp_caf_d", // LoongArch_INS_FCMP_CAF_D + "fcmp_caf_s", // LoongArch_INS_FCMP_CAF_S + "fcmp_ceq_d", // LoongArch_INS_FCMP_CEQ_D + "fcmp_ceq_s", // LoongArch_INS_FCMP_CEQ_S + "fcmp_cle_d", // LoongArch_INS_FCMP_CLE_D + "fcmp_cle_s", // LoongArch_INS_FCMP_CLE_S + "fcmp_clt_d", // LoongArch_INS_FCMP_CLT_D + "fcmp_clt_s", // LoongArch_INS_FCMP_CLT_S + "fcmp_cne_d", // LoongArch_INS_FCMP_CNE_D + "fcmp_cne_s", // LoongArch_INS_FCMP_CNE_S + "fcmp_cor_d", // LoongArch_INS_FCMP_COR_D + "fcmp_cor_s", // LoongArch_INS_FCMP_COR_S + "fcmp_cueq_d", // LoongArch_INS_FCMP_CUEQ_D + "fcmp_cueq_s", // LoongArch_INS_FCMP_CUEQ_S + "fcmp_cule_d", // LoongArch_INS_FCMP_CULE_D + "fcmp_cule_s", // LoongArch_INS_FCMP_CULE_S + "fcmp_cult_d", // LoongArch_INS_FCMP_CULT_D + "fcmp_cult_s", // LoongArch_INS_FCMP_CULT_S + "fcmp_cune_d", // LoongArch_INS_FCMP_CUNE_D + "fcmp_cune_s", // LoongArch_INS_FCMP_CUNE_S + "fcmp_cun_d", // LoongArch_INS_FCMP_CUN_D + "fcmp_cun_s", // LoongArch_INS_FCMP_CUN_S + "fcmp_saf_d", // LoongArch_INS_FCMP_SAF_D + "fcmp_saf_s", // LoongArch_INS_FCMP_SAF_S + "fcmp_seq_d", // LoongArch_INS_FCMP_SEQ_D + "fcmp_seq_s", // LoongArch_INS_FCMP_SEQ_S + "fcmp_sle_d", // LoongArch_INS_FCMP_SLE_D + "fcmp_sle_s", // LoongArch_INS_FCMP_SLE_S + "fcmp_slt_d", // LoongArch_INS_FCMP_SLT_D + "fcmp_slt_s", // LoongArch_INS_FCMP_SLT_S + "fcmp_sne_d", // LoongArch_INS_FCMP_SNE_D + "fcmp_sne_s", // LoongArch_INS_FCMP_SNE_S + "fcmp_sor_d", // LoongArch_INS_FCMP_SOR_D + "fcmp_sor_s", // LoongArch_INS_FCMP_SOR_S + "fcmp_sueq_d", // LoongArch_INS_FCMP_SUEQ_D + "fcmp_sueq_s", // LoongArch_INS_FCMP_SUEQ_S + "fcmp_sule_d", // LoongArch_INS_FCMP_SULE_D + "fcmp_sule_s", // LoongArch_INS_FCMP_SULE_S + "fcmp_sult_d", // LoongArch_INS_FCMP_SULT_D + "fcmp_sult_s", // LoongArch_INS_FCMP_SULT_S + "fcmp_sune_d", // LoongArch_INS_FCMP_SUNE_D + "fcmp_sune_s", // LoongArch_INS_FCMP_SUNE_S + "fcmp_sun_d", // LoongArch_INS_FCMP_SUN_D + "fcmp_sun_s", // LoongArch_INS_FCMP_SUN_S + "fcopysign_d", // LoongArch_INS_FCOPYSIGN_D + "fcopysign_s", // LoongArch_INS_FCOPYSIGN_S + "fcvt_d_ld", // LoongArch_INS_FCVT_D_LD + "fcvt_d_s", // LoongArch_INS_FCVT_D_S + "fcvt_ld_d", // LoongArch_INS_FCVT_LD_D + "fcvt_s_d", // LoongArch_INS_FCVT_S_D + "fcvt_ud_d", // LoongArch_INS_FCVT_UD_D + "fdiv_d", // LoongArch_INS_FDIV_D + "fdiv_s", // LoongArch_INS_FDIV_S + "ffint_d_l", // LoongArch_INS_FFINT_D_L + "ffint_d_w", // LoongArch_INS_FFINT_D_W + "ffint_s_l", // LoongArch_INS_FFINT_S_L + "ffint_s_w", // LoongArch_INS_FFINT_S_W + "fldgt_d", // LoongArch_INS_FLDGT_D + "fldgt_s", // LoongArch_INS_FLDGT_S + "fldle_d", // LoongArch_INS_FLDLE_D + "fldle_s", // LoongArch_INS_FLDLE_S + "fldx_d", // LoongArch_INS_FLDX_D + "fldx_s", // LoongArch_INS_FLDX_S + "fld_d", // LoongArch_INS_FLD_D + "fld_s", // LoongArch_INS_FLD_S + "flogb_d", // LoongArch_INS_FLOGB_D + "flogb_s", // LoongArch_INS_FLOGB_S + "fmadd_d", // LoongArch_INS_FMADD_D + "fmadd_s", // LoongArch_INS_FMADD_S + "fmaxa_d", // LoongArch_INS_FMAXA_D + "fmaxa_s", // LoongArch_INS_FMAXA_S + "fmax_d", // LoongArch_INS_FMAX_D + "fmax_s", // LoongArch_INS_FMAX_S + "fmina_d", // LoongArch_INS_FMINA_D + "fmina_s", // LoongArch_INS_FMINA_S + "fmin_d", // LoongArch_INS_FMIN_D + "fmin_s", // LoongArch_INS_FMIN_S + "fmov_d", // LoongArch_INS_FMOV_D + "fmov_s", // LoongArch_INS_FMOV_S + "fmsub_d", // LoongArch_INS_FMSUB_D + "fmsub_s", // LoongArch_INS_FMSUB_S + "fmul_d", // LoongArch_INS_FMUL_D + "fmul_s", // LoongArch_INS_FMUL_S + "fneg_d", // LoongArch_INS_FNEG_D + "fneg_s", // LoongArch_INS_FNEG_S + "fnmadd_d", // LoongArch_INS_FNMADD_D + "fnmadd_s", // LoongArch_INS_FNMADD_S + "fnmsub_d", // LoongArch_INS_FNMSUB_D + "fnmsub_s", // LoongArch_INS_FNMSUB_S + "frecipe_d", // LoongArch_INS_FRECIPE_D + "frecipe_s", // LoongArch_INS_FRECIPE_S + "frecip_d", // LoongArch_INS_FRECIP_D + "frecip_s", // LoongArch_INS_FRECIP_S + "frint_d", // LoongArch_INS_FRINT_D + "frint_s", // LoongArch_INS_FRINT_S + "frsqrte_d", // LoongArch_INS_FRSQRTE_D + "frsqrte_s", // LoongArch_INS_FRSQRTE_S + "frsqrt_d", // LoongArch_INS_FRSQRT_D + "frsqrt_s", // LoongArch_INS_FRSQRT_S + "fscaleb_d", // LoongArch_INS_FSCALEB_D + "fscaleb_s", // LoongArch_INS_FSCALEB_S + "fsel", // LoongArch_INS_FSEL + "fsqrt_d", // LoongArch_INS_FSQRT_D + "fsqrt_s", // LoongArch_INS_FSQRT_S + "fstgt_d", // LoongArch_INS_FSTGT_D + "fstgt_s", // LoongArch_INS_FSTGT_S + "fstle_d", // LoongArch_INS_FSTLE_D + "fstle_s", // LoongArch_INS_FSTLE_S + "fstx_d", // LoongArch_INS_FSTX_D + "fstx_s", // LoongArch_INS_FSTX_S + "fst_d", // LoongArch_INS_FST_D + "fst_s", // LoongArch_INS_FST_S + "fsub_d", // LoongArch_INS_FSUB_D + "fsub_s", // LoongArch_INS_FSUB_S + "ftintrm_l_d", // LoongArch_INS_FTINTRM_L_D + "ftintrm_l_s", // LoongArch_INS_FTINTRM_L_S + "ftintrm_w_d", // LoongArch_INS_FTINTRM_W_D + "ftintrm_w_s", // LoongArch_INS_FTINTRM_W_S + "ftintrne_l_d", // LoongArch_INS_FTINTRNE_L_D + "ftintrne_l_s", // LoongArch_INS_FTINTRNE_L_S + "ftintrne_w_d", // LoongArch_INS_FTINTRNE_W_D + "ftintrne_w_s", // LoongArch_INS_FTINTRNE_W_S + "ftintrp_l_d", // LoongArch_INS_FTINTRP_L_D + "ftintrp_l_s", // LoongArch_INS_FTINTRP_L_S + "ftintrp_w_d", // LoongArch_INS_FTINTRP_W_D + "ftintrp_w_s", // LoongArch_INS_FTINTRP_W_S + "ftintrz_l_d", // LoongArch_INS_FTINTRZ_L_D + "ftintrz_l_s", // LoongArch_INS_FTINTRZ_L_S + "ftintrz_w_d", // LoongArch_INS_FTINTRZ_W_D + "ftintrz_w_s", // LoongArch_INS_FTINTRZ_W_S + "ftint_l_d", // LoongArch_INS_FTINT_L_D + "ftint_l_s", // LoongArch_INS_FTINT_L_S + "ftint_w_d", // LoongArch_INS_FTINT_W_D + "ftint_w_s", // LoongArch_INS_FTINT_W_S + "gcsrrd", // LoongArch_INS_GCSRRD + "gcsrwr", // LoongArch_INS_GCSRWR + "gcsrxchg", // LoongArch_INS_GCSRXCHG + "gtlbflush", // LoongArch_INS_GTLBFLUSH + "hvcl", // LoongArch_INS_HVCL + "ibar", // LoongArch_INS_IBAR + "idle", // LoongArch_INS_IDLE + "invtlb", // LoongArch_INS_INVTLB + "iocsrrd_b", // LoongArch_INS_IOCSRRD_B + "iocsrrd_d", // LoongArch_INS_IOCSRRD_D + "iocsrrd_h", // LoongArch_INS_IOCSRRD_H + "iocsrrd_w", // LoongArch_INS_IOCSRRD_W + "iocsrwr_b", // LoongArch_INS_IOCSRWR_B + "iocsrwr_d", // LoongArch_INS_IOCSRWR_D + "iocsrwr_h", // LoongArch_INS_IOCSRWR_H + "iocsrwr_w", // LoongArch_INS_IOCSRWR_W + "jirl", // LoongArch_INS_JIRL + "jiscr0", // LoongArch_INS_JISCR0 + "jiscr1", // LoongArch_INS_JISCR1 + "lddir", // LoongArch_INS_LDDIR + "ldgt_b", // LoongArch_INS_LDGT_B + "ldgt_d", // LoongArch_INS_LDGT_D + "ldgt_h", // LoongArch_INS_LDGT_H + "ldgt_w", // LoongArch_INS_LDGT_W + "ldle_b", // LoongArch_INS_LDLE_B + "ldle_d", // LoongArch_INS_LDLE_D + "ldle_h", // LoongArch_INS_LDLE_H + "ldle_w", // LoongArch_INS_LDLE_W + "ldl_d", // LoongArch_INS_LDL_D + "ldl_w", // LoongArch_INS_LDL_W + "ldpte", // LoongArch_INS_LDPTE + "ldptr_d", // LoongArch_INS_LDPTR_D + "ldptr_w", // LoongArch_INS_LDPTR_W + "ldr_d", // LoongArch_INS_LDR_D + "ldr_w", // LoongArch_INS_LDR_W + "ldx_b", // LoongArch_INS_LDX_B + "ldx_bu", // LoongArch_INS_LDX_BU + "ldx_d", // LoongArch_INS_LDX_D + "ldx_h", // LoongArch_INS_LDX_H + "ldx_hu", // LoongArch_INS_LDX_HU + "ldx_w", // LoongArch_INS_LDX_W + "ldx_wu", // LoongArch_INS_LDX_WU + "ld_b", // LoongArch_INS_LD_B + "ld_bu", // LoongArch_INS_LD_BU + "ld_d", // LoongArch_INS_LD_D + "ld_h", // LoongArch_INS_LD_H + "ld_hu", // LoongArch_INS_LD_HU + "ld_w", // LoongArch_INS_LD_W + "ld_wu", // LoongArch_INS_LD_WU + "llacq_d", // LoongArch_INS_LLACQ_D + "llacq_w", // LoongArch_INS_LLACQ_W + "ll_d", // LoongArch_INS_LL_D + "ll_w", // LoongArch_INS_LL_W + "lu12i_w", // LoongArch_INS_LU12I_W + "lu32i_d", // LoongArch_INS_LU32I_D + "lu52i_d", // LoongArch_INS_LU52I_D + "maskeqz", // LoongArch_INS_MASKEQZ + "masknez", // LoongArch_INS_MASKNEZ + "mod_d", // LoongArch_INS_MOD_D + "mod_du", // LoongArch_INS_MOD_DU + "mod_w", // LoongArch_INS_MOD_W + "mod_wu", // LoongArch_INS_MOD_WU + "movcf2fr", // LoongArch_INS_MOVCF2FR + "movcf2gr", // LoongArch_INS_MOVCF2GR + "movfcsr2gr", // LoongArch_INS_MOVFCSR2GR + "movfr2cf", // LoongArch_INS_MOVFR2CF + "movfr2gr_d", // LoongArch_INS_MOVFR2GR_D + "movfr2gr_s", // LoongArch_INS_MOVFR2GR_S + "movfrh2gr_s", // LoongArch_INS_MOVFRH2GR_S + "movgr2cf", // LoongArch_INS_MOVGR2CF + "movgr2fcsr", // LoongArch_INS_MOVGR2FCSR + "movgr2frh_w", // LoongArch_INS_MOVGR2FRH_W + "movgr2fr_d", // LoongArch_INS_MOVGR2FR_D + "movgr2fr_w", // LoongArch_INS_MOVGR2FR_W + "movgr2scr", // LoongArch_INS_MOVGR2SCR + "movscr2gr", // LoongArch_INS_MOVSCR2GR + "mulh_d", // LoongArch_INS_MULH_D + "mulh_du", // LoongArch_INS_MULH_DU + "mulh_w", // LoongArch_INS_MULH_W + "mulh_wu", // LoongArch_INS_MULH_WU + "mulw_d_w", // LoongArch_INS_MULW_D_W + "mulw_d_wu", // LoongArch_INS_MULW_D_WU + "mul_d", // LoongArch_INS_MUL_D + "mul_w", // LoongArch_INS_MUL_W + "nor", // LoongArch_INS_NOR + "or", // LoongArch_INS_OR + "ori", // LoongArch_INS_ORI + "orn", // LoongArch_INS_ORN + "pcaddi", // LoongArch_INS_PCADDI + "pcaddu12i", // LoongArch_INS_PCADDU12I + "pcaddu18i", // LoongArch_INS_PCADDU18I + "pcalau12i", // LoongArch_INS_PCALAU12I + "preld", // LoongArch_INS_PRELD + "preldx", // LoongArch_INS_PRELDX + "rcri_b", // LoongArch_INS_RCRI_B + "rcri_d", // LoongArch_INS_RCRI_D + "rcri_h", // LoongArch_INS_RCRI_H + "rcri_w", // LoongArch_INS_RCRI_W + "rcr_b", // LoongArch_INS_RCR_B + "rcr_d", // LoongArch_INS_RCR_D + "rcr_h", // LoongArch_INS_RCR_H + "rcr_w", // LoongArch_INS_RCR_W + "rdtimeh_w", // LoongArch_INS_RDTIMEH_W + "rdtimel_w", // LoongArch_INS_RDTIMEL_W + "rdtime_d", // LoongArch_INS_RDTIME_D + "revb_2h", // LoongArch_INS_REVB_2H + "revb_2w", // LoongArch_INS_REVB_2W + "revb_4h", // LoongArch_INS_REVB_4H + "revb_d", // LoongArch_INS_REVB_D + "revh_2w", // LoongArch_INS_REVH_2W + "revh_d", // LoongArch_INS_REVH_D + "rotri_b", // LoongArch_INS_ROTRI_B + "rotri_d", // LoongArch_INS_ROTRI_D + "rotri_h", // LoongArch_INS_ROTRI_H + "rotri_w", // LoongArch_INS_ROTRI_W + "rotr_b", // LoongArch_INS_ROTR_B + "rotr_d", // LoongArch_INS_ROTR_D + "rotr_h", // LoongArch_INS_ROTR_H + "rotr_w", // LoongArch_INS_ROTR_W + "sbc_b", // LoongArch_INS_SBC_B + "sbc_d", // LoongArch_INS_SBC_D + "sbc_h", // LoongArch_INS_SBC_H + "sbc_w", // LoongArch_INS_SBC_W + "screl_d", // LoongArch_INS_SCREL_D + "screl_w", // LoongArch_INS_SCREL_W + "sc_d", // LoongArch_INS_SC_D + "sc_q", // LoongArch_INS_SC_Q + "sc_w", // LoongArch_INS_SC_W + "setarmj", // LoongArch_INS_SETARMJ + "setx86j", // LoongArch_INS_SETX86J + "setx86loope", // LoongArch_INS_SETX86LOOPE + "setx86loopne", // LoongArch_INS_SETX86LOOPNE + "slli_d", // LoongArch_INS_SLLI_D + "slli_w", // LoongArch_INS_SLLI_W + "sll_d", // LoongArch_INS_SLL_D + "sll_w", // LoongArch_INS_SLL_W + "slt", // LoongArch_INS_SLT + "slti", // LoongArch_INS_SLTI + "sltu", // LoongArch_INS_SLTU + "sltui", // LoongArch_INS_SLTUI + "srai_d", // LoongArch_INS_SRAI_D + "srai_w", // LoongArch_INS_SRAI_W + "sra_d", // LoongArch_INS_SRA_D + "sra_w", // LoongArch_INS_SRA_W + "srli_d", // LoongArch_INS_SRLI_D + "srli_w", // LoongArch_INS_SRLI_W + "srl_d", // LoongArch_INS_SRL_D + "srl_w", // LoongArch_INS_SRL_W + "stgt_b", // LoongArch_INS_STGT_B + "stgt_d", // LoongArch_INS_STGT_D + "stgt_h", // LoongArch_INS_STGT_H + "stgt_w", // LoongArch_INS_STGT_W + "stle_b", // LoongArch_INS_STLE_B + "stle_d", // LoongArch_INS_STLE_D + "stle_h", // LoongArch_INS_STLE_H + "stle_w", // LoongArch_INS_STLE_W + "stl_d", // LoongArch_INS_STL_D + "stl_w", // LoongArch_INS_STL_W + "stptr_d", // LoongArch_INS_STPTR_D + "stptr_w", // LoongArch_INS_STPTR_W + "str_d", // LoongArch_INS_STR_D + "str_w", // LoongArch_INS_STR_W + "stx_b", // LoongArch_INS_STX_B + "stx_d", // LoongArch_INS_STX_D + "stx_h", // LoongArch_INS_STX_H + "stx_w", // LoongArch_INS_STX_W + "st_b", // LoongArch_INS_ST_B + "st_d", // LoongArch_INS_ST_D + "st_h", // LoongArch_INS_ST_H + "st_w", // LoongArch_INS_ST_W + "sub_d", // LoongArch_INS_SUB_D + "sub_w", // LoongArch_INS_SUB_W + "syscall", // LoongArch_INS_SYSCALL + "tlbclr", // LoongArch_INS_TLBCLR + "tlbfill", // LoongArch_INS_TLBFILL + "tlbflush", // LoongArch_INS_TLBFLUSH + "tlbrd", // LoongArch_INS_TLBRD + "tlbsrch", // LoongArch_INS_TLBSRCH + "tlbwr", // LoongArch_INS_TLBWR + "vabsd_b", // LoongArch_INS_VABSD_B + "vabsd_bu", // LoongArch_INS_VABSD_BU + "vabsd_d", // LoongArch_INS_VABSD_D + "vabsd_du", // LoongArch_INS_VABSD_DU + "vabsd_h", // LoongArch_INS_VABSD_H + "vabsd_hu", // LoongArch_INS_VABSD_HU + "vabsd_w", // LoongArch_INS_VABSD_W + "vabsd_wu", // LoongArch_INS_VABSD_WU + "vadda_b", // LoongArch_INS_VADDA_B + "vadda_d", // LoongArch_INS_VADDA_D + "vadda_h", // LoongArch_INS_VADDA_H + "vadda_w", // LoongArch_INS_VADDA_W + "vaddi_bu", // LoongArch_INS_VADDI_BU + "vaddi_du", // LoongArch_INS_VADDI_DU + "vaddi_hu", // LoongArch_INS_VADDI_HU + "vaddi_wu", // LoongArch_INS_VADDI_WU + "vaddwev_d_w", // LoongArch_INS_VADDWEV_D_W + "vaddwev_d_wu", // LoongArch_INS_VADDWEV_D_WU + "vaddwev_d_wu_w", // LoongArch_INS_VADDWEV_D_WU_W + "vaddwev_h_b", // LoongArch_INS_VADDWEV_H_B + "vaddwev_h_bu", // LoongArch_INS_VADDWEV_H_BU + "vaddwev_h_bu_b", // LoongArch_INS_VADDWEV_H_BU_B + "vaddwev_q_d", // LoongArch_INS_VADDWEV_Q_D + "vaddwev_q_du", // LoongArch_INS_VADDWEV_Q_DU + "vaddwev_q_du_d", // LoongArch_INS_VADDWEV_Q_DU_D + "vaddwev_w_h", // LoongArch_INS_VADDWEV_W_H + "vaddwev_w_hu", // LoongArch_INS_VADDWEV_W_HU + "vaddwev_w_hu_h", // LoongArch_INS_VADDWEV_W_HU_H + "vaddwod_d_w", // LoongArch_INS_VADDWOD_D_W + "vaddwod_d_wu", // LoongArch_INS_VADDWOD_D_WU + "vaddwod_d_wu_w", // LoongArch_INS_VADDWOD_D_WU_W + "vaddwod_h_b", // LoongArch_INS_VADDWOD_H_B + "vaddwod_h_bu", // LoongArch_INS_VADDWOD_H_BU + "vaddwod_h_bu_b", // LoongArch_INS_VADDWOD_H_BU_B + "vaddwod_q_d", // LoongArch_INS_VADDWOD_Q_D + "vaddwod_q_du", // LoongArch_INS_VADDWOD_Q_DU + "vaddwod_q_du_d", // LoongArch_INS_VADDWOD_Q_DU_D + "vaddwod_w_h", // LoongArch_INS_VADDWOD_W_H + "vaddwod_w_hu", // LoongArch_INS_VADDWOD_W_HU + "vaddwod_w_hu_h", // LoongArch_INS_VADDWOD_W_HU_H + "vadd_b", // LoongArch_INS_VADD_B + "vadd_d", // LoongArch_INS_VADD_D + "vadd_h", // LoongArch_INS_VADD_H + "vadd_q", // LoongArch_INS_VADD_Q + "vadd_w", // LoongArch_INS_VADD_W + "vandi_b", // LoongArch_INS_VANDI_B + "vandn_v", // LoongArch_INS_VANDN_V + "vand_v", // LoongArch_INS_VAND_V + "vavgr_b", // LoongArch_INS_VAVGR_B + "vavgr_bu", // LoongArch_INS_VAVGR_BU + "vavgr_d", // LoongArch_INS_VAVGR_D + "vavgr_du", // LoongArch_INS_VAVGR_DU + "vavgr_h", // LoongArch_INS_VAVGR_H + "vavgr_hu", // LoongArch_INS_VAVGR_HU + "vavgr_w", // LoongArch_INS_VAVGR_W + "vavgr_wu", // LoongArch_INS_VAVGR_WU + "vavg_b", // LoongArch_INS_VAVG_B + "vavg_bu", // LoongArch_INS_VAVG_BU + "vavg_d", // LoongArch_INS_VAVG_D + "vavg_du", // LoongArch_INS_VAVG_DU + "vavg_h", // LoongArch_INS_VAVG_H + "vavg_hu", // LoongArch_INS_VAVG_HU + "vavg_w", // LoongArch_INS_VAVG_W + "vavg_wu", // LoongArch_INS_VAVG_WU + "vbitclri_b", // LoongArch_INS_VBITCLRI_B + "vbitclri_d", // LoongArch_INS_VBITCLRI_D + "vbitclri_h", // LoongArch_INS_VBITCLRI_H + "vbitclri_w", // LoongArch_INS_VBITCLRI_W + "vbitclr_b", // LoongArch_INS_VBITCLR_B + "vbitclr_d", // LoongArch_INS_VBITCLR_D + "vbitclr_h", // LoongArch_INS_VBITCLR_H + "vbitclr_w", // LoongArch_INS_VBITCLR_W + "vbitrevi_b", // LoongArch_INS_VBITREVI_B + "vbitrevi_d", // LoongArch_INS_VBITREVI_D + "vbitrevi_h", // LoongArch_INS_VBITREVI_H + "vbitrevi_w", // LoongArch_INS_VBITREVI_W + "vbitrev_b", // LoongArch_INS_VBITREV_B + "vbitrev_d", // LoongArch_INS_VBITREV_D + "vbitrev_h", // LoongArch_INS_VBITREV_H + "vbitrev_w", // LoongArch_INS_VBITREV_W + "vbitseli_b", // LoongArch_INS_VBITSELI_B + "vbitsel_v", // LoongArch_INS_VBITSEL_V + "vbitseti_b", // LoongArch_INS_VBITSETI_B + "vbitseti_d", // LoongArch_INS_VBITSETI_D + "vbitseti_h", // LoongArch_INS_VBITSETI_H + "vbitseti_w", // LoongArch_INS_VBITSETI_W + "vbitset_b", // LoongArch_INS_VBITSET_B + "vbitset_d", // LoongArch_INS_VBITSET_D + "vbitset_h", // LoongArch_INS_VBITSET_H + "vbitset_w", // LoongArch_INS_VBITSET_W + "vbsll_v", // LoongArch_INS_VBSLL_V + "vbsrl_v", // LoongArch_INS_VBSRL_V + "vclo_b", // LoongArch_INS_VCLO_B + "vclo_d", // LoongArch_INS_VCLO_D + "vclo_h", // LoongArch_INS_VCLO_H + "vclo_w", // LoongArch_INS_VCLO_W + "vclz_b", // LoongArch_INS_VCLZ_B + "vclz_d", // LoongArch_INS_VCLZ_D + "vclz_h", // LoongArch_INS_VCLZ_H + "vclz_w", // LoongArch_INS_VCLZ_W + "vdiv_b", // LoongArch_INS_VDIV_B + "vdiv_bu", // LoongArch_INS_VDIV_BU + "vdiv_d", // LoongArch_INS_VDIV_D + "vdiv_du", // LoongArch_INS_VDIV_DU + "vdiv_h", // LoongArch_INS_VDIV_H + "vdiv_hu", // LoongArch_INS_VDIV_HU + "vdiv_w", // LoongArch_INS_VDIV_W + "vdiv_wu", // LoongArch_INS_VDIV_WU + "vext2xv_du_bu", // LoongArch_INS_VEXT2XV_DU_BU + "vext2xv_du_hu", // LoongArch_INS_VEXT2XV_DU_HU + "vext2xv_du_wu", // LoongArch_INS_VEXT2XV_DU_WU + "vext2xv_d_b", // LoongArch_INS_VEXT2XV_D_B + "vext2xv_d_h", // LoongArch_INS_VEXT2XV_D_H + "vext2xv_d_w", // LoongArch_INS_VEXT2XV_D_W + "vext2xv_hu_bu", // LoongArch_INS_VEXT2XV_HU_BU + "vext2xv_h_b", // LoongArch_INS_VEXT2XV_H_B + "vext2xv_wu_bu", // LoongArch_INS_VEXT2XV_WU_BU + "vext2xv_wu_hu", // LoongArch_INS_VEXT2XV_WU_HU + "vext2xv_w_b", // LoongArch_INS_VEXT2XV_W_B + "vext2xv_w_h", // LoongArch_INS_VEXT2XV_W_H + "vexth_du_wu", // LoongArch_INS_VEXTH_DU_WU + "vexth_d_w", // LoongArch_INS_VEXTH_D_W + "vexth_hu_bu", // LoongArch_INS_VEXTH_HU_BU + "vexth_h_b", // LoongArch_INS_VEXTH_H_B + "vexth_qu_du", // LoongArch_INS_VEXTH_QU_DU + "vexth_q_d", // LoongArch_INS_VEXTH_Q_D + "vexth_wu_hu", // LoongArch_INS_VEXTH_WU_HU + "vexth_w_h", // LoongArch_INS_VEXTH_W_H + "vextl_qu_du", // LoongArch_INS_VEXTL_QU_DU + "vextl_q_d", // LoongArch_INS_VEXTL_Q_D + "vextrins_b", // LoongArch_INS_VEXTRINS_B + "vextrins_d", // LoongArch_INS_VEXTRINS_D + "vextrins_h", // LoongArch_INS_VEXTRINS_H + "vextrins_w", // LoongArch_INS_VEXTRINS_W + "vfadd_d", // LoongArch_INS_VFADD_D + "vfadd_s", // LoongArch_INS_VFADD_S + "vfclass_d", // LoongArch_INS_VFCLASS_D + "vfclass_s", // LoongArch_INS_VFCLASS_S + "vfcmp_caf_d", // LoongArch_INS_VFCMP_CAF_D + "vfcmp_caf_s", // LoongArch_INS_VFCMP_CAF_S + "vfcmp_ceq_d", // LoongArch_INS_VFCMP_CEQ_D + "vfcmp_ceq_s", // LoongArch_INS_VFCMP_CEQ_S + "vfcmp_cle_d", // LoongArch_INS_VFCMP_CLE_D + "vfcmp_cle_s", // LoongArch_INS_VFCMP_CLE_S + "vfcmp_clt_d", // LoongArch_INS_VFCMP_CLT_D + "vfcmp_clt_s", // LoongArch_INS_VFCMP_CLT_S + "vfcmp_cne_d", // LoongArch_INS_VFCMP_CNE_D + "vfcmp_cne_s", // LoongArch_INS_VFCMP_CNE_S + "vfcmp_cor_d", // LoongArch_INS_VFCMP_COR_D + "vfcmp_cor_s", // LoongArch_INS_VFCMP_COR_S + "vfcmp_cueq_d", // LoongArch_INS_VFCMP_CUEQ_D + "vfcmp_cueq_s", // LoongArch_INS_VFCMP_CUEQ_S + "vfcmp_cule_d", // LoongArch_INS_VFCMP_CULE_D + "vfcmp_cule_s", // LoongArch_INS_VFCMP_CULE_S + "vfcmp_cult_d", // LoongArch_INS_VFCMP_CULT_D + "vfcmp_cult_s", // LoongArch_INS_VFCMP_CULT_S + "vfcmp_cune_d", // LoongArch_INS_VFCMP_CUNE_D + "vfcmp_cune_s", // LoongArch_INS_VFCMP_CUNE_S + "vfcmp_cun_d", // LoongArch_INS_VFCMP_CUN_D + "vfcmp_cun_s", // LoongArch_INS_VFCMP_CUN_S + "vfcmp_saf_d", // LoongArch_INS_VFCMP_SAF_D + "vfcmp_saf_s", // LoongArch_INS_VFCMP_SAF_S + "vfcmp_seq_d", // LoongArch_INS_VFCMP_SEQ_D + "vfcmp_seq_s", // LoongArch_INS_VFCMP_SEQ_S + "vfcmp_sle_d", // LoongArch_INS_VFCMP_SLE_D + "vfcmp_sle_s", // LoongArch_INS_VFCMP_SLE_S + "vfcmp_slt_d", // LoongArch_INS_VFCMP_SLT_D + "vfcmp_slt_s", // LoongArch_INS_VFCMP_SLT_S + "vfcmp_sne_d", // LoongArch_INS_VFCMP_SNE_D + "vfcmp_sne_s", // LoongArch_INS_VFCMP_SNE_S + "vfcmp_sor_d", // LoongArch_INS_VFCMP_SOR_D + "vfcmp_sor_s", // LoongArch_INS_VFCMP_SOR_S + "vfcmp_sueq_d", // LoongArch_INS_VFCMP_SUEQ_D + "vfcmp_sueq_s", // LoongArch_INS_VFCMP_SUEQ_S + "vfcmp_sule_d", // LoongArch_INS_VFCMP_SULE_D + "vfcmp_sule_s", // LoongArch_INS_VFCMP_SULE_S + "vfcmp_sult_d", // LoongArch_INS_VFCMP_SULT_D + "vfcmp_sult_s", // LoongArch_INS_VFCMP_SULT_S + "vfcmp_sune_d", // LoongArch_INS_VFCMP_SUNE_D + "vfcmp_sune_s", // LoongArch_INS_VFCMP_SUNE_S + "vfcmp_sun_d", // LoongArch_INS_VFCMP_SUN_D + "vfcmp_sun_s", // LoongArch_INS_VFCMP_SUN_S + "vfcvth_d_s", // LoongArch_INS_VFCVTH_D_S + "vfcvth_s_h", // LoongArch_INS_VFCVTH_S_H + "vfcvtl_d_s", // LoongArch_INS_VFCVTL_D_S + "vfcvtl_s_h", // LoongArch_INS_VFCVTL_S_H + "vfcvt_h_s", // LoongArch_INS_VFCVT_H_S + "vfcvt_s_d", // LoongArch_INS_VFCVT_S_D + "vfdiv_d", // LoongArch_INS_VFDIV_D + "vfdiv_s", // LoongArch_INS_VFDIV_S + "vffinth_d_w", // LoongArch_INS_VFFINTH_D_W + "vffintl_d_w", // LoongArch_INS_VFFINTL_D_W + "vffint_d_l", // LoongArch_INS_VFFINT_D_L + "vffint_d_lu", // LoongArch_INS_VFFINT_D_LU + "vffint_s_l", // LoongArch_INS_VFFINT_S_L + "vffint_s_w", // LoongArch_INS_VFFINT_S_W + "vffint_s_wu", // LoongArch_INS_VFFINT_S_WU + "vflogb_d", // LoongArch_INS_VFLOGB_D + "vflogb_s", // LoongArch_INS_VFLOGB_S + "vfmadd_d", // LoongArch_INS_VFMADD_D + "vfmadd_s", // LoongArch_INS_VFMADD_S + "vfmaxa_d", // LoongArch_INS_VFMAXA_D + "vfmaxa_s", // LoongArch_INS_VFMAXA_S + "vfmax_d", // LoongArch_INS_VFMAX_D + "vfmax_s", // LoongArch_INS_VFMAX_S + "vfmina_d", // LoongArch_INS_VFMINA_D + "vfmina_s", // LoongArch_INS_VFMINA_S + "vfmin_d", // LoongArch_INS_VFMIN_D + "vfmin_s", // LoongArch_INS_VFMIN_S + "vfmsub_d", // LoongArch_INS_VFMSUB_D + "vfmsub_s", // LoongArch_INS_VFMSUB_S + "vfmul_d", // LoongArch_INS_VFMUL_D + "vfmul_s", // LoongArch_INS_VFMUL_S + "vfnmadd_d", // LoongArch_INS_VFNMADD_D + "vfnmadd_s", // LoongArch_INS_VFNMADD_S + "vfnmsub_d", // LoongArch_INS_VFNMSUB_D + "vfnmsub_s", // LoongArch_INS_VFNMSUB_S + "vfrecipe_d", // LoongArch_INS_VFRECIPE_D + "vfrecipe_s", // LoongArch_INS_VFRECIPE_S + "vfrecip_d", // LoongArch_INS_VFRECIP_D + "vfrecip_s", // LoongArch_INS_VFRECIP_S + "vfrintrm_d", // LoongArch_INS_VFRINTRM_D + "vfrintrm_s", // LoongArch_INS_VFRINTRM_S + "vfrintrne_d", // LoongArch_INS_VFRINTRNE_D + "vfrintrne_s", // LoongArch_INS_VFRINTRNE_S + "vfrintrp_d", // LoongArch_INS_VFRINTRP_D + "vfrintrp_s", // LoongArch_INS_VFRINTRP_S + "vfrintrz_d", // LoongArch_INS_VFRINTRZ_D + "vfrintrz_s", // LoongArch_INS_VFRINTRZ_S + "vfrint_d", // LoongArch_INS_VFRINT_D + "vfrint_s", // LoongArch_INS_VFRINT_S + "vfrsqrte_d", // LoongArch_INS_VFRSQRTE_D + "vfrsqrte_s", // LoongArch_INS_VFRSQRTE_S + "vfrsqrt_d", // LoongArch_INS_VFRSQRT_D + "vfrsqrt_s", // LoongArch_INS_VFRSQRT_S + "vfrstpi_b", // LoongArch_INS_VFRSTPI_B + "vfrstpi_h", // LoongArch_INS_VFRSTPI_H + "vfrstp_b", // LoongArch_INS_VFRSTP_B + "vfrstp_h", // LoongArch_INS_VFRSTP_H + "vfsqrt_d", // LoongArch_INS_VFSQRT_D + "vfsqrt_s", // LoongArch_INS_VFSQRT_S + "vfsub_d", // LoongArch_INS_VFSUB_D + "vfsub_s", // LoongArch_INS_VFSUB_S + "vftinth_l_s", // LoongArch_INS_VFTINTH_L_S + "vftintl_l_s", // LoongArch_INS_VFTINTL_L_S + "vftintrmh_l_s", // LoongArch_INS_VFTINTRMH_L_S + "vftintrml_l_s", // LoongArch_INS_VFTINTRML_L_S + "vftintrm_l_d", // LoongArch_INS_VFTINTRM_L_D + "vftintrm_w_d", // LoongArch_INS_VFTINTRM_W_D + "vftintrm_w_s", // LoongArch_INS_VFTINTRM_W_S + "vftintrneh_l_s", // LoongArch_INS_VFTINTRNEH_L_S + "vftintrnel_l_s", // LoongArch_INS_VFTINTRNEL_L_S + "vftintrne_l_d", // LoongArch_INS_VFTINTRNE_L_D + "vftintrne_w_d", // LoongArch_INS_VFTINTRNE_W_D + "vftintrne_w_s", // LoongArch_INS_VFTINTRNE_W_S + "vftintrph_l_s", // LoongArch_INS_VFTINTRPH_L_S + "vftintrpl_l_s", // LoongArch_INS_VFTINTRPL_L_S + "vftintrp_l_d", // LoongArch_INS_VFTINTRP_L_D + "vftintrp_w_d", // LoongArch_INS_VFTINTRP_W_D + "vftintrp_w_s", // LoongArch_INS_VFTINTRP_W_S + "vftintrzh_l_s", // LoongArch_INS_VFTINTRZH_L_S + "vftintrzl_l_s", // LoongArch_INS_VFTINTRZL_L_S + "vftintrz_lu_d", // LoongArch_INS_VFTINTRZ_LU_D + "vftintrz_l_d", // LoongArch_INS_VFTINTRZ_L_D + "vftintrz_wu_s", // LoongArch_INS_VFTINTRZ_WU_S + "vftintrz_w_d", // LoongArch_INS_VFTINTRZ_W_D + "vftintrz_w_s", // LoongArch_INS_VFTINTRZ_W_S + "vftint_lu_d", // LoongArch_INS_VFTINT_LU_D + "vftint_l_d", // LoongArch_INS_VFTINT_L_D + "vftint_wu_s", // LoongArch_INS_VFTINT_WU_S + "vftint_w_d", // LoongArch_INS_VFTINT_W_D + "vftint_w_s", // LoongArch_INS_VFTINT_W_S + "vhaddw_du_wu", // LoongArch_INS_VHADDW_DU_WU + "vhaddw_d_w", // LoongArch_INS_VHADDW_D_W + "vhaddw_hu_bu", // LoongArch_INS_VHADDW_HU_BU + "vhaddw_h_b", // LoongArch_INS_VHADDW_H_B + "vhaddw_qu_du", // LoongArch_INS_VHADDW_QU_DU + "vhaddw_q_d", // LoongArch_INS_VHADDW_Q_D + "vhaddw_wu_hu", // LoongArch_INS_VHADDW_WU_HU + "vhaddw_w_h", // LoongArch_INS_VHADDW_W_H + "vhsubw_du_wu", // LoongArch_INS_VHSUBW_DU_WU + "vhsubw_d_w", // LoongArch_INS_VHSUBW_D_W + "vhsubw_hu_bu", // LoongArch_INS_VHSUBW_HU_BU + "vhsubw_h_b", // LoongArch_INS_VHSUBW_H_B + "vhsubw_qu_du", // LoongArch_INS_VHSUBW_QU_DU + "vhsubw_q_d", // LoongArch_INS_VHSUBW_Q_D + "vhsubw_wu_hu", // LoongArch_INS_VHSUBW_WU_HU + "vhsubw_w_h", // LoongArch_INS_VHSUBW_W_H + "vilvh_b", // LoongArch_INS_VILVH_B + "vilvh_d", // LoongArch_INS_VILVH_D + "vilvh_h", // LoongArch_INS_VILVH_H + "vilvh_w", // LoongArch_INS_VILVH_W + "vilvl_b", // LoongArch_INS_VILVL_B + "vilvl_d", // LoongArch_INS_VILVL_D + "vilvl_h", // LoongArch_INS_VILVL_H + "vilvl_w", // LoongArch_INS_VILVL_W + "vinsgr2vr_b", // LoongArch_INS_VINSGR2VR_B + "vinsgr2vr_d", // LoongArch_INS_VINSGR2VR_D + "vinsgr2vr_h", // LoongArch_INS_VINSGR2VR_H + "vinsgr2vr_w", // LoongArch_INS_VINSGR2VR_W + "vld", // LoongArch_INS_VLD + "vldi", // LoongArch_INS_VLDI + "vldrepl_b", // LoongArch_INS_VLDREPL_B + "vldrepl_d", // LoongArch_INS_VLDREPL_D + "vldrepl_h", // LoongArch_INS_VLDREPL_H + "vldrepl_w", // LoongArch_INS_VLDREPL_W + "vldx", // LoongArch_INS_VLDX + "vmaddwev_d_w", // LoongArch_INS_VMADDWEV_D_W + "vmaddwev_d_wu", // LoongArch_INS_VMADDWEV_D_WU + "vmaddwev_d_wu_w", // LoongArch_INS_VMADDWEV_D_WU_W + "vmaddwev_h_b", // LoongArch_INS_VMADDWEV_H_B + "vmaddwev_h_bu", // LoongArch_INS_VMADDWEV_H_BU + "vmaddwev_h_bu_b", // LoongArch_INS_VMADDWEV_H_BU_B + "vmaddwev_q_d", // LoongArch_INS_VMADDWEV_Q_D + "vmaddwev_q_du", // LoongArch_INS_VMADDWEV_Q_DU + "vmaddwev_q_du_d", // LoongArch_INS_VMADDWEV_Q_DU_D + "vmaddwev_w_h", // LoongArch_INS_VMADDWEV_W_H + "vmaddwev_w_hu", // LoongArch_INS_VMADDWEV_W_HU + "vmaddwev_w_hu_h", // LoongArch_INS_VMADDWEV_W_HU_H + "vmaddwod_d_w", // LoongArch_INS_VMADDWOD_D_W + "vmaddwod_d_wu", // LoongArch_INS_VMADDWOD_D_WU + "vmaddwod_d_wu_w", // LoongArch_INS_VMADDWOD_D_WU_W + "vmaddwod_h_b", // LoongArch_INS_VMADDWOD_H_B + "vmaddwod_h_bu", // LoongArch_INS_VMADDWOD_H_BU + "vmaddwod_h_bu_b", // LoongArch_INS_VMADDWOD_H_BU_B + "vmaddwod_q_d", // LoongArch_INS_VMADDWOD_Q_D + "vmaddwod_q_du", // LoongArch_INS_VMADDWOD_Q_DU + "vmaddwod_q_du_d", // LoongArch_INS_VMADDWOD_Q_DU_D + "vmaddwod_w_h", // LoongArch_INS_VMADDWOD_W_H + "vmaddwod_w_hu", // LoongArch_INS_VMADDWOD_W_HU + "vmaddwod_w_hu_h", // LoongArch_INS_VMADDWOD_W_HU_H + "vmadd_b", // LoongArch_INS_VMADD_B + "vmadd_d", // LoongArch_INS_VMADD_D + "vmadd_h", // LoongArch_INS_VMADD_H + "vmadd_w", // LoongArch_INS_VMADD_W + "vmaxi_b", // LoongArch_INS_VMAXI_B + "vmaxi_bu", // LoongArch_INS_VMAXI_BU + "vmaxi_d", // LoongArch_INS_VMAXI_D + "vmaxi_du", // LoongArch_INS_VMAXI_DU + "vmaxi_h", // LoongArch_INS_VMAXI_H + "vmaxi_hu", // LoongArch_INS_VMAXI_HU + "vmaxi_w", // LoongArch_INS_VMAXI_W + "vmaxi_wu", // LoongArch_INS_VMAXI_WU + "vmax_b", // LoongArch_INS_VMAX_B + "vmax_bu", // LoongArch_INS_VMAX_BU + "vmax_d", // LoongArch_INS_VMAX_D + "vmax_du", // LoongArch_INS_VMAX_DU + "vmax_h", // LoongArch_INS_VMAX_H + "vmax_hu", // LoongArch_INS_VMAX_HU + "vmax_w", // LoongArch_INS_VMAX_W + "vmax_wu", // LoongArch_INS_VMAX_WU + "vmini_b", // LoongArch_INS_VMINI_B + "vmini_bu", // LoongArch_INS_VMINI_BU + "vmini_d", // LoongArch_INS_VMINI_D + "vmini_du", // LoongArch_INS_VMINI_DU + "vmini_h", // LoongArch_INS_VMINI_H + "vmini_hu", // LoongArch_INS_VMINI_HU + "vmini_w", // LoongArch_INS_VMINI_W + "vmini_wu", // LoongArch_INS_VMINI_WU + "vmin_b", // LoongArch_INS_VMIN_B + "vmin_bu", // LoongArch_INS_VMIN_BU + "vmin_d", // LoongArch_INS_VMIN_D + "vmin_du", // LoongArch_INS_VMIN_DU + "vmin_h", // LoongArch_INS_VMIN_H + "vmin_hu", // LoongArch_INS_VMIN_HU + "vmin_w", // LoongArch_INS_VMIN_W + "vmin_wu", // LoongArch_INS_VMIN_WU + "vmod_b", // LoongArch_INS_VMOD_B + "vmod_bu", // LoongArch_INS_VMOD_BU + "vmod_d", // LoongArch_INS_VMOD_D + "vmod_du", // LoongArch_INS_VMOD_DU + "vmod_h", // LoongArch_INS_VMOD_H + "vmod_hu", // LoongArch_INS_VMOD_HU + "vmod_w", // LoongArch_INS_VMOD_W + "vmod_wu", // LoongArch_INS_VMOD_WU + "vmskgez_b", // LoongArch_INS_VMSKGEZ_B + "vmskltz_b", // LoongArch_INS_VMSKLTZ_B + "vmskltz_d", // LoongArch_INS_VMSKLTZ_D + "vmskltz_h", // LoongArch_INS_VMSKLTZ_H + "vmskltz_w", // LoongArch_INS_VMSKLTZ_W + "vmsknz_b", // LoongArch_INS_VMSKNZ_B + "vmsub_b", // LoongArch_INS_VMSUB_B + "vmsub_d", // LoongArch_INS_VMSUB_D + "vmsub_h", // LoongArch_INS_VMSUB_H + "vmsub_w", // LoongArch_INS_VMSUB_W + "vmuh_b", // LoongArch_INS_VMUH_B + "vmuh_bu", // LoongArch_INS_VMUH_BU + "vmuh_d", // LoongArch_INS_VMUH_D + "vmuh_du", // LoongArch_INS_VMUH_DU + "vmuh_h", // LoongArch_INS_VMUH_H + "vmuh_hu", // LoongArch_INS_VMUH_HU + "vmuh_w", // LoongArch_INS_VMUH_W + "vmuh_wu", // LoongArch_INS_VMUH_WU + "vmulwev_d_w", // LoongArch_INS_VMULWEV_D_W + "vmulwev_d_wu", // LoongArch_INS_VMULWEV_D_WU + "vmulwev_d_wu_w", // LoongArch_INS_VMULWEV_D_WU_W + "vmulwev_h_b", // LoongArch_INS_VMULWEV_H_B + "vmulwev_h_bu", // LoongArch_INS_VMULWEV_H_BU + "vmulwev_h_bu_b", // LoongArch_INS_VMULWEV_H_BU_B + "vmulwev_q_d", // LoongArch_INS_VMULWEV_Q_D + "vmulwev_q_du", // LoongArch_INS_VMULWEV_Q_DU + "vmulwev_q_du_d", // LoongArch_INS_VMULWEV_Q_DU_D + "vmulwev_w_h", // LoongArch_INS_VMULWEV_W_H + "vmulwev_w_hu", // LoongArch_INS_VMULWEV_W_HU + "vmulwev_w_hu_h", // LoongArch_INS_VMULWEV_W_HU_H + "vmulwod_d_w", // LoongArch_INS_VMULWOD_D_W + "vmulwod_d_wu", // LoongArch_INS_VMULWOD_D_WU + "vmulwod_d_wu_w", // LoongArch_INS_VMULWOD_D_WU_W + "vmulwod_h_b", // LoongArch_INS_VMULWOD_H_B + "vmulwod_h_bu", // LoongArch_INS_VMULWOD_H_BU + "vmulwod_h_bu_b", // LoongArch_INS_VMULWOD_H_BU_B + "vmulwod_q_d", // LoongArch_INS_VMULWOD_Q_D + "vmulwod_q_du", // LoongArch_INS_VMULWOD_Q_DU + "vmulwod_q_du_d", // LoongArch_INS_VMULWOD_Q_DU_D + "vmulwod_w_h", // LoongArch_INS_VMULWOD_W_H + "vmulwod_w_hu", // LoongArch_INS_VMULWOD_W_HU + "vmulwod_w_hu_h", // LoongArch_INS_VMULWOD_W_HU_H + "vmul_b", // LoongArch_INS_VMUL_B + "vmul_d", // LoongArch_INS_VMUL_D + "vmul_h", // LoongArch_INS_VMUL_H + "vmul_w", // LoongArch_INS_VMUL_W + "vneg_b", // LoongArch_INS_VNEG_B + "vneg_d", // LoongArch_INS_VNEG_D + "vneg_h", // LoongArch_INS_VNEG_H + "vneg_w", // LoongArch_INS_VNEG_W + "vnori_b", // LoongArch_INS_VNORI_B + "vnor_v", // LoongArch_INS_VNOR_V + "vori_b", // LoongArch_INS_VORI_B + "vorn_v", // LoongArch_INS_VORN_V + "vor_v", // LoongArch_INS_VOR_V + "vpackev_b", // LoongArch_INS_VPACKEV_B + "vpackev_d", // LoongArch_INS_VPACKEV_D + "vpackev_h", // LoongArch_INS_VPACKEV_H + "vpackev_w", // LoongArch_INS_VPACKEV_W + "vpackod_b", // LoongArch_INS_VPACKOD_B + "vpackod_d", // LoongArch_INS_VPACKOD_D + "vpackod_h", // LoongArch_INS_VPACKOD_H + "vpackod_w", // LoongArch_INS_VPACKOD_W + "vpcnt_b", // LoongArch_INS_VPCNT_B + "vpcnt_d", // LoongArch_INS_VPCNT_D + "vpcnt_h", // LoongArch_INS_VPCNT_H + "vpcnt_w", // LoongArch_INS_VPCNT_W + "vpermi_w", // LoongArch_INS_VPERMI_W + "vpickev_b", // LoongArch_INS_VPICKEV_B + "vpickev_d", // LoongArch_INS_VPICKEV_D + "vpickev_h", // LoongArch_INS_VPICKEV_H + "vpickev_w", // LoongArch_INS_VPICKEV_W + "vpickod_b", // LoongArch_INS_VPICKOD_B + "vpickod_d", // LoongArch_INS_VPICKOD_D + "vpickod_h", // LoongArch_INS_VPICKOD_H + "vpickod_w", // LoongArch_INS_VPICKOD_W + "vpickve2gr_b", // LoongArch_INS_VPICKVE2GR_B + "vpickve2gr_bu", // LoongArch_INS_VPICKVE2GR_BU + "vpickve2gr_d", // LoongArch_INS_VPICKVE2GR_D + "vpickve2gr_du", // LoongArch_INS_VPICKVE2GR_DU + "vpickve2gr_h", // LoongArch_INS_VPICKVE2GR_H + "vpickve2gr_hu", // LoongArch_INS_VPICKVE2GR_HU + "vpickve2gr_w", // LoongArch_INS_VPICKVE2GR_W + "vpickve2gr_wu", // LoongArch_INS_VPICKVE2GR_WU + "vreplgr2vr_b", // LoongArch_INS_VREPLGR2VR_B + "vreplgr2vr_d", // LoongArch_INS_VREPLGR2VR_D + "vreplgr2vr_h", // LoongArch_INS_VREPLGR2VR_H + "vreplgr2vr_w", // LoongArch_INS_VREPLGR2VR_W + "vreplvei_b", // LoongArch_INS_VREPLVEI_B + "vreplvei_d", // LoongArch_INS_VREPLVEI_D + "vreplvei_h", // LoongArch_INS_VREPLVEI_H + "vreplvei_w", // LoongArch_INS_VREPLVEI_W + "vreplve_b", // LoongArch_INS_VREPLVE_B + "vreplve_d", // LoongArch_INS_VREPLVE_D + "vreplve_h", // LoongArch_INS_VREPLVE_H + "vreplve_w", // LoongArch_INS_VREPLVE_W + "vrotri_b", // LoongArch_INS_VROTRI_B + "vrotri_d", // LoongArch_INS_VROTRI_D + "vrotri_h", // LoongArch_INS_VROTRI_H + "vrotri_w", // LoongArch_INS_VROTRI_W + "vrotr_b", // LoongArch_INS_VROTR_B + "vrotr_d", // LoongArch_INS_VROTR_D + "vrotr_h", // LoongArch_INS_VROTR_H + "vrotr_w", // LoongArch_INS_VROTR_W + "vsadd_b", // LoongArch_INS_VSADD_B + "vsadd_bu", // LoongArch_INS_VSADD_BU + "vsadd_d", // LoongArch_INS_VSADD_D + "vsadd_du", // LoongArch_INS_VSADD_DU + "vsadd_h", // LoongArch_INS_VSADD_H + "vsadd_hu", // LoongArch_INS_VSADD_HU + "vsadd_w", // LoongArch_INS_VSADD_W + "vsadd_wu", // LoongArch_INS_VSADD_WU + "vsat_b", // LoongArch_INS_VSAT_B + "vsat_bu", // LoongArch_INS_VSAT_BU + "vsat_d", // LoongArch_INS_VSAT_D + "vsat_du", // LoongArch_INS_VSAT_DU + "vsat_h", // LoongArch_INS_VSAT_H + "vsat_hu", // LoongArch_INS_VSAT_HU + "vsat_w", // LoongArch_INS_VSAT_W + "vsat_wu", // LoongArch_INS_VSAT_WU + "vseqi_b", // LoongArch_INS_VSEQI_B + "vseqi_d", // LoongArch_INS_VSEQI_D + "vseqi_h", // LoongArch_INS_VSEQI_H + "vseqi_w", // LoongArch_INS_VSEQI_W + "vseq_b", // LoongArch_INS_VSEQ_B + "vseq_d", // LoongArch_INS_VSEQ_D + "vseq_h", // LoongArch_INS_VSEQ_H + "vseq_w", // LoongArch_INS_VSEQ_W + "vsetallnez_b", // LoongArch_INS_VSETALLNEZ_B + "vsetallnez_d", // LoongArch_INS_VSETALLNEZ_D + "vsetallnez_h", // LoongArch_INS_VSETALLNEZ_H + "vsetallnez_w", // LoongArch_INS_VSETALLNEZ_W + "vsetanyeqz_b", // LoongArch_INS_VSETANYEQZ_B + "vsetanyeqz_d", // LoongArch_INS_VSETANYEQZ_D + "vsetanyeqz_h", // LoongArch_INS_VSETANYEQZ_H + "vsetanyeqz_w", // LoongArch_INS_VSETANYEQZ_W + "vseteqz_v", // LoongArch_INS_VSETEQZ_V + "vsetnez_v", // LoongArch_INS_VSETNEZ_V + "vshuf4i_b", // LoongArch_INS_VSHUF4I_B + "vshuf4i_d", // LoongArch_INS_VSHUF4I_D + "vshuf4i_h", // LoongArch_INS_VSHUF4I_H + "vshuf4i_w", // LoongArch_INS_VSHUF4I_W + "vshuf_b", // LoongArch_INS_VSHUF_B + "vshuf_d", // LoongArch_INS_VSHUF_D + "vshuf_h", // LoongArch_INS_VSHUF_H + "vshuf_w", // LoongArch_INS_VSHUF_W + "vsigncov_b", // LoongArch_INS_VSIGNCOV_B + "vsigncov_d", // LoongArch_INS_VSIGNCOV_D + "vsigncov_h", // LoongArch_INS_VSIGNCOV_H + "vsigncov_w", // LoongArch_INS_VSIGNCOV_W + "vslei_b", // LoongArch_INS_VSLEI_B + "vslei_bu", // LoongArch_INS_VSLEI_BU + "vslei_d", // LoongArch_INS_VSLEI_D + "vslei_du", // LoongArch_INS_VSLEI_DU + "vslei_h", // LoongArch_INS_VSLEI_H + "vslei_hu", // LoongArch_INS_VSLEI_HU + "vslei_w", // LoongArch_INS_VSLEI_W + "vslei_wu", // LoongArch_INS_VSLEI_WU + "vsle_b", // LoongArch_INS_VSLE_B + "vsle_bu", // LoongArch_INS_VSLE_BU + "vsle_d", // LoongArch_INS_VSLE_D + "vsle_du", // LoongArch_INS_VSLE_DU + "vsle_h", // LoongArch_INS_VSLE_H + "vsle_hu", // LoongArch_INS_VSLE_HU + "vsle_w", // LoongArch_INS_VSLE_W + "vsle_wu", // LoongArch_INS_VSLE_WU + "vslli_b", // LoongArch_INS_VSLLI_B + "vslli_d", // LoongArch_INS_VSLLI_D + "vslli_h", // LoongArch_INS_VSLLI_H + "vslli_w", // LoongArch_INS_VSLLI_W + "vsllwil_du_wu", // LoongArch_INS_VSLLWIL_DU_WU + "vsllwil_d_w", // LoongArch_INS_VSLLWIL_D_W + "vsllwil_hu_bu", // LoongArch_INS_VSLLWIL_HU_BU + "vsllwil_h_b", // LoongArch_INS_VSLLWIL_H_B + "vsllwil_wu_hu", // LoongArch_INS_VSLLWIL_WU_HU + "vsllwil_w_h", // LoongArch_INS_VSLLWIL_W_H + "vsll_b", // LoongArch_INS_VSLL_B + "vsll_d", // LoongArch_INS_VSLL_D + "vsll_h", // LoongArch_INS_VSLL_H + "vsll_w", // LoongArch_INS_VSLL_W + "vslti_b", // LoongArch_INS_VSLTI_B + "vslti_bu", // LoongArch_INS_VSLTI_BU + "vslti_d", // LoongArch_INS_VSLTI_D + "vslti_du", // LoongArch_INS_VSLTI_DU + "vslti_h", // LoongArch_INS_VSLTI_H + "vslti_hu", // LoongArch_INS_VSLTI_HU + "vslti_w", // LoongArch_INS_VSLTI_W + "vslti_wu", // LoongArch_INS_VSLTI_WU + "vslt_b", // LoongArch_INS_VSLT_B + "vslt_bu", // LoongArch_INS_VSLT_BU + "vslt_d", // LoongArch_INS_VSLT_D + "vslt_du", // LoongArch_INS_VSLT_DU + "vslt_h", // LoongArch_INS_VSLT_H + "vslt_hu", // LoongArch_INS_VSLT_HU + "vslt_w", // LoongArch_INS_VSLT_W + "vslt_wu", // LoongArch_INS_VSLT_WU + "vsrai_b", // LoongArch_INS_VSRAI_B + "vsrai_d", // LoongArch_INS_VSRAI_D + "vsrai_h", // LoongArch_INS_VSRAI_H + "vsrai_w", // LoongArch_INS_VSRAI_W + "vsrani_b_h", // LoongArch_INS_VSRANI_B_H + "vsrani_d_q", // LoongArch_INS_VSRANI_D_Q + "vsrani_h_w", // LoongArch_INS_VSRANI_H_W + "vsrani_w_d", // LoongArch_INS_VSRANI_W_D + "vsran_b_h", // LoongArch_INS_VSRAN_B_H + "vsran_h_w", // LoongArch_INS_VSRAN_H_W + "vsran_w_d", // LoongArch_INS_VSRAN_W_D + "vsrari_b", // LoongArch_INS_VSRARI_B + "vsrari_d", // LoongArch_INS_VSRARI_D + "vsrari_h", // LoongArch_INS_VSRARI_H + "vsrari_w", // LoongArch_INS_VSRARI_W + "vsrarni_b_h", // LoongArch_INS_VSRARNI_B_H + "vsrarni_d_q", // LoongArch_INS_VSRARNI_D_Q + "vsrarni_h_w", // LoongArch_INS_VSRARNI_H_W + "vsrarni_w_d", // LoongArch_INS_VSRARNI_W_D + "vsrarn_b_h", // LoongArch_INS_VSRARN_B_H + "vsrarn_h_w", // LoongArch_INS_VSRARN_H_W + "vsrarn_w_d", // LoongArch_INS_VSRARN_W_D + "vsrar_b", // LoongArch_INS_VSRAR_B + "vsrar_d", // LoongArch_INS_VSRAR_D + "vsrar_h", // LoongArch_INS_VSRAR_H + "vsrar_w", // LoongArch_INS_VSRAR_W + "vsra_b", // LoongArch_INS_VSRA_B + "vsra_d", // LoongArch_INS_VSRA_D + "vsra_h", // LoongArch_INS_VSRA_H + "vsra_w", // LoongArch_INS_VSRA_W + "vsrli_b", // LoongArch_INS_VSRLI_B + "vsrli_d", // LoongArch_INS_VSRLI_D + "vsrli_h", // LoongArch_INS_VSRLI_H + "vsrli_w", // LoongArch_INS_VSRLI_W + "vsrlni_b_h", // LoongArch_INS_VSRLNI_B_H + "vsrlni_d_q", // LoongArch_INS_VSRLNI_D_Q + "vsrlni_h_w", // LoongArch_INS_VSRLNI_H_W + "vsrlni_w_d", // LoongArch_INS_VSRLNI_W_D + "vsrln_b_h", // LoongArch_INS_VSRLN_B_H + "vsrln_h_w", // LoongArch_INS_VSRLN_H_W + "vsrln_w_d", // LoongArch_INS_VSRLN_W_D + "vsrlri_b", // LoongArch_INS_VSRLRI_B + "vsrlri_d", // LoongArch_INS_VSRLRI_D + "vsrlri_h", // LoongArch_INS_VSRLRI_H + "vsrlri_w", // LoongArch_INS_VSRLRI_W + "vsrlrni_b_h", // LoongArch_INS_VSRLRNI_B_H + "vsrlrni_d_q", // LoongArch_INS_VSRLRNI_D_Q + "vsrlrni_h_w", // LoongArch_INS_VSRLRNI_H_W + "vsrlrni_w_d", // LoongArch_INS_VSRLRNI_W_D + "vsrlrn_b_h", // LoongArch_INS_VSRLRN_B_H + "vsrlrn_h_w", // LoongArch_INS_VSRLRN_H_W + "vsrlrn_w_d", // LoongArch_INS_VSRLRN_W_D + "vsrlr_b", // LoongArch_INS_VSRLR_B + "vsrlr_d", // LoongArch_INS_VSRLR_D + "vsrlr_h", // LoongArch_INS_VSRLR_H + "vsrlr_w", // LoongArch_INS_VSRLR_W + "vsrl_b", // LoongArch_INS_VSRL_B + "vsrl_d", // LoongArch_INS_VSRL_D + "vsrl_h", // LoongArch_INS_VSRL_H + "vsrl_w", // LoongArch_INS_VSRL_W + "vssrani_bu_h", // LoongArch_INS_VSSRANI_BU_H + "vssrani_b_h", // LoongArch_INS_VSSRANI_B_H + "vssrani_du_q", // LoongArch_INS_VSSRANI_DU_Q + "vssrani_d_q", // LoongArch_INS_VSSRANI_D_Q + "vssrani_hu_w", // LoongArch_INS_VSSRANI_HU_W + "vssrani_h_w", // LoongArch_INS_VSSRANI_H_W + "vssrani_wu_d", // LoongArch_INS_VSSRANI_WU_D + "vssrani_w_d", // LoongArch_INS_VSSRANI_W_D + "vssran_bu_h", // LoongArch_INS_VSSRAN_BU_H + "vssran_b_h", // LoongArch_INS_VSSRAN_B_H + "vssran_hu_w", // LoongArch_INS_VSSRAN_HU_W + "vssran_h_w", // LoongArch_INS_VSSRAN_H_W + "vssran_wu_d", // LoongArch_INS_VSSRAN_WU_D + "vssran_w_d", // LoongArch_INS_VSSRAN_W_D + "vssrarni_bu_h", // LoongArch_INS_VSSRARNI_BU_H + "vssrarni_b_h", // LoongArch_INS_VSSRARNI_B_H + "vssrarni_du_q", // LoongArch_INS_VSSRARNI_DU_Q + "vssrarni_d_q", // LoongArch_INS_VSSRARNI_D_Q + "vssrarni_hu_w", // LoongArch_INS_VSSRARNI_HU_W + "vssrarni_h_w", // LoongArch_INS_VSSRARNI_H_W + "vssrarni_wu_d", // LoongArch_INS_VSSRARNI_WU_D + "vssrarni_w_d", // LoongArch_INS_VSSRARNI_W_D + "vssrarn_bu_h", // LoongArch_INS_VSSRARN_BU_H + "vssrarn_b_h", // LoongArch_INS_VSSRARN_B_H + "vssrarn_hu_w", // LoongArch_INS_VSSRARN_HU_W + "vssrarn_h_w", // LoongArch_INS_VSSRARN_H_W + "vssrarn_wu_d", // LoongArch_INS_VSSRARN_WU_D + "vssrarn_w_d", // LoongArch_INS_VSSRARN_W_D + "vssrlni_bu_h", // LoongArch_INS_VSSRLNI_BU_H + "vssrlni_b_h", // LoongArch_INS_VSSRLNI_B_H + "vssrlni_du_q", // LoongArch_INS_VSSRLNI_DU_Q + "vssrlni_d_q", // LoongArch_INS_VSSRLNI_D_Q + "vssrlni_hu_w", // LoongArch_INS_VSSRLNI_HU_W + "vssrlni_h_w", // LoongArch_INS_VSSRLNI_H_W + "vssrlni_wu_d", // LoongArch_INS_VSSRLNI_WU_D + "vssrlni_w_d", // LoongArch_INS_VSSRLNI_W_D + "vssrln_bu_h", // LoongArch_INS_VSSRLN_BU_H + "vssrln_b_h", // LoongArch_INS_VSSRLN_B_H + "vssrln_hu_w", // LoongArch_INS_VSSRLN_HU_W + "vssrln_h_w", // LoongArch_INS_VSSRLN_H_W + "vssrln_wu_d", // LoongArch_INS_VSSRLN_WU_D + "vssrln_w_d", // LoongArch_INS_VSSRLN_W_D + "vssrlrni_bu_h", // LoongArch_INS_VSSRLRNI_BU_H + "vssrlrni_b_h", // LoongArch_INS_VSSRLRNI_B_H + "vssrlrni_du_q", // LoongArch_INS_VSSRLRNI_DU_Q + "vssrlrni_d_q", // LoongArch_INS_VSSRLRNI_D_Q + "vssrlrni_hu_w", // LoongArch_INS_VSSRLRNI_HU_W + "vssrlrni_h_w", // LoongArch_INS_VSSRLRNI_H_W + "vssrlrni_wu_d", // LoongArch_INS_VSSRLRNI_WU_D + "vssrlrni_w_d", // LoongArch_INS_VSSRLRNI_W_D + "vssrlrn_bu_h", // LoongArch_INS_VSSRLRN_BU_H + "vssrlrn_b_h", // LoongArch_INS_VSSRLRN_B_H + "vssrlrn_hu_w", // LoongArch_INS_VSSRLRN_HU_W + "vssrlrn_h_w", // LoongArch_INS_VSSRLRN_H_W + "vssrlrn_wu_d", // LoongArch_INS_VSSRLRN_WU_D + "vssrlrn_w_d", // LoongArch_INS_VSSRLRN_W_D + "vssub_b", // LoongArch_INS_VSSUB_B + "vssub_bu", // LoongArch_INS_VSSUB_BU + "vssub_d", // LoongArch_INS_VSSUB_D + "vssub_du", // LoongArch_INS_VSSUB_DU + "vssub_h", // LoongArch_INS_VSSUB_H + "vssub_hu", // LoongArch_INS_VSSUB_HU + "vssub_w", // LoongArch_INS_VSSUB_W + "vssub_wu", // LoongArch_INS_VSSUB_WU + "vst", // LoongArch_INS_VST + "vstelm_b", // LoongArch_INS_VSTELM_B + "vstelm_d", // LoongArch_INS_VSTELM_D + "vstelm_h", // LoongArch_INS_VSTELM_H + "vstelm_w", // LoongArch_INS_VSTELM_W + "vstx", // LoongArch_INS_VSTX + "vsubi_bu", // LoongArch_INS_VSUBI_BU + "vsubi_du", // LoongArch_INS_VSUBI_DU + "vsubi_hu", // LoongArch_INS_VSUBI_HU + "vsubi_wu", // LoongArch_INS_VSUBI_WU + "vsubwev_d_w", // LoongArch_INS_VSUBWEV_D_W + "vsubwev_d_wu", // LoongArch_INS_VSUBWEV_D_WU + "vsubwev_h_b", // LoongArch_INS_VSUBWEV_H_B + "vsubwev_h_bu", // LoongArch_INS_VSUBWEV_H_BU + "vsubwev_q_d", // LoongArch_INS_VSUBWEV_Q_D + "vsubwev_q_du", // LoongArch_INS_VSUBWEV_Q_DU + "vsubwev_w_h", // LoongArch_INS_VSUBWEV_W_H + "vsubwev_w_hu", // LoongArch_INS_VSUBWEV_W_HU + "vsubwod_d_w", // LoongArch_INS_VSUBWOD_D_W + "vsubwod_d_wu", // LoongArch_INS_VSUBWOD_D_WU + "vsubwod_h_b", // LoongArch_INS_VSUBWOD_H_B + "vsubwod_h_bu", // LoongArch_INS_VSUBWOD_H_BU + "vsubwod_q_d", // LoongArch_INS_VSUBWOD_Q_D + "vsubwod_q_du", // LoongArch_INS_VSUBWOD_Q_DU + "vsubwod_w_h", // LoongArch_INS_VSUBWOD_W_H + "vsubwod_w_hu", // LoongArch_INS_VSUBWOD_W_HU + "vsub_b", // LoongArch_INS_VSUB_B + "vsub_d", // LoongArch_INS_VSUB_D + "vsub_h", // LoongArch_INS_VSUB_H + "vsub_q", // LoongArch_INS_VSUB_Q + "vsub_w", // LoongArch_INS_VSUB_W + "vxori_b", // LoongArch_INS_VXORI_B + "vxor_v", // LoongArch_INS_VXOR_V + "x86adc_b", // LoongArch_INS_X86ADC_B + "x86adc_d", // LoongArch_INS_X86ADC_D + "x86adc_h", // LoongArch_INS_X86ADC_H + "x86adc_w", // LoongArch_INS_X86ADC_W + "x86add_b", // LoongArch_INS_X86ADD_B + "x86add_d", // LoongArch_INS_X86ADD_D + "x86add_du", // LoongArch_INS_X86ADD_DU + "x86add_h", // LoongArch_INS_X86ADD_H + "x86add_w", // LoongArch_INS_X86ADD_W + "x86add_wu", // LoongArch_INS_X86ADD_WU + "x86and_b", // LoongArch_INS_X86AND_B + "x86and_d", // LoongArch_INS_X86AND_D + "x86and_h", // LoongArch_INS_X86AND_H + "x86and_w", // LoongArch_INS_X86AND_W + "x86clrtm", // LoongArch_INS_X86CLRTM + "x86dectop", // LoongArch_INS_X86DECTOP + "x86dec_b", // LoongArch_INS_X86DEC_B + "x86dec_d", // LoongArch_INS_X86DEC_D + "x86dec_h", // LoongArch_INS_X86DEC_H + "x86dec_w", // LoongArch_INS_X86DEC_W + "x86inctop", // LoongArch_INS_X86INCTOP + "x86inc_b", // LoongArch_INS_X86INC_B + "x86inc_d", // LoongArch_INS_X86INC_D + "x86inc_h", // LoongArch_INS_X86INC_H + "x86inc_w", // LoongArch_INS_X86INC_W + "x86mfflag", // LoongArch_INS_X86MFFLAG + "x86mftop", // LoongArch_INS_X86MFTOP + "x86mtflag", // LoongArch_INS_X86MTFLAG + "x86mttop", // LoongArch_INS_X86MTTOP + "x86mul_b", // LoongArch_INS_X86MUL_B + "x86mul_bu", // LoongArch_INS_X86MUL_BU + "x86mul_d", // LoongArch_INS_X86MUL_D + "x86mul_du", // LoongArch_INS_X86MUL_DU + "x86mul_h", // LoongArch_INS_X86MUL_H + "x86mul_hu", // LoongArch_INS_X86MUL_HU + "x86mul_w", // LoongArch_INS_X86MUL_W + "x86mul_wu", // LoongArch_INS_X86MUL_WU + "x86or_b", // LoongArch_INS_X86OR_B + "x86or_d", // LoongArch_INS_X86OR_D + "x86or_h", // LoongArch_INS_X86OR_H + "x86or_w", // LoongArch_INS_X86OR_W + "x86rcli_b", // LoongArch_INS_X86RCLI_B + "x86rcli_d", // LoongArch_INS_X86RCLI_D + "x86rcli_h", // LoongArch_INS_X86RCLI_H + "x86rcli_w", // LoongArch_INS_X86RCLI_W + "x86rcl_b", // LoongArch_INS_X86RCL_B + "x86rcl_d", // LoongArch_INS_X86RCL_D + "x86rcl_h", // LoongArch_INS_X86RCL_H + "x86rcl_w", // LoongArch_INS_X86RCL_W + "x86rcri_b", // LoongArch_INS_X86RCRI_B + "x86rcri_d", // LoongArch_INS_X86RCRI_D + "x86rcri_h", // LoongArch_INS_X86RCRI_H + "x86rcri_w", // LoongArch_INS_X86RCRI_W + "x86rcr_b", // LoongArch_INS_X86RCR_B + "x86rcr_d", // LoongArch_INS_X86RCR_D + "x86rcr_h", // LoongArch_INS_X86RCR_H + "x86rcr_w", // LoongArch_INS_X86RCR_W + "x86rotli_b", // LoongArch_INS_X86ROTLI_B + "x86rotli_d", // LoongArch_INS_X86ROTLI_D + "x86rotli_h", // LoongArch_INS_X86ROTLI_H + "x86rotli_w", // LoongArch_INS_X86ROTLI_W + "x86rotl_b", // LoongArch_INS_X86ROTL_B + "x86rotl_d", // LoongArch_INS_X86ROTL_D + "x86rotl_h", // LoongArch_INS_X86ROTL_H + "x86rotl_w", // LoongArch_INS_X86ROTL_W + "x86rotri_b", // LoongArch_INS_X86ROTRI_B + "x86rotri_d", // LoongArch_INS_X86ROTRI_D + "x86rotri_h", // LoongArch_INS_X86ROTRI_H + "x86rotri_w", // LoongArch_INS_X86ROTRI_W + "x86rotr_b", // LoongArch_INS_X86ROTR_B + "x86rotr_d", // LoongArch_INS_X86ROTR_D + "x86rotr_h", // LoongArch_INS_X86ROTR_H + "x86rotr_w", // LoongArch_INS_X86ROTR_W + "x86sbc_b", // LoongArch_INS_X86SBC_B + "x86sbc_d", // LoongArch_INS_X86SBC_D + "x86sbc_h", // LoongArch_INS_X86SBC_H + "x86sbc_w", // LoongArch_INS_X86SBC_W + "x86settag", // LoongArch_INS_X86SETTAG + "x86settm", // LoongArch_INS_X86SETTM + "x86slli_b", // LoongArch_INS_X86SLLI_B + "x86slli_d", // LoongArch_INS_X86SLLI_D + "x86slli_h", // LoongArch_INS_X86SLLI_H + "x86slli_w", // LoongArch_INS_X86SLLI_W + "x86sll_b", // LoongArch_INS_X86SLL_B + "x86sll_d", // LoongArch_INS_X86SLL_D + "x86sll_h", // LoongArch_INS_X86SLL_H + "x86sll_w", // LoongArch_INS_X86SLL_W + "x86srai_b", // LoongArch_INS_X86SRAI_B + "x86srai_d", // LoongArch_INS_X86SRAI_D + "x86srai_h", // LoongArch_INS_X86SRAI_H + "x86srai_w", // LoongArch_INS_X86SRAI_W + "x86sra_b", // LoongArch_INS_X86SRA_B + "x86sra_d", // LoongArch_INS_X86SRA_D + "x86sra_h", // LoongArch_INS_X86SRA_H + "x86sra_w", // LoongArch_INS_X86SRA_W + "x86srli_b", // LoongArch_INS_X86SRLI_B + "x86srli_d", // LoongArch_INS_X86SRLI_D + "x86srli_h", // LoongArch_INS_X86SRLI_H + "x86srli_w", // LoongArch_INS_X86SRLI_W + "x86srl_b", // LoongArch_INS_X86SRL_B + "x86srl_d", // LoongArch_INS_X86SRL_D + "x86srl_h", // LoongArch_INS_X86SRL_H + "x86srl_w", // LoongArch_INS_X86SRL_W + "x86sub_b", // LoongArch_INS_X86SUB_B + "x86sub_d", // LoongArch_INS_X86SUB_D + "x86sub_du", // LoongArch_INS_X86SUB_DU + "x86sub_h", // LoongArch_INS_X86SUB_H + "x86sub_w", // LoongArch_INS_X86SUB_W + "x86sub_wu", // LoongArch_INS_X86SUB_WU + "x86xor_b", // LoongArch_INS_X86XOR_B + "x86xor_d", // LoongArch_INS_X86XOR_D + "x86xor_h", // LoongArch_INS_X86XOR_H + "x86xor_w", // LoongArch_INS_X86XOR_W + "xor", // LoongArch_INS_XOR + "xori", // LoongArch_INS_XORI + "xvabsd_b", // LoongArch_INS_XVABSD_B + "xvabsd_bu", // LoongArch_INS_XVABSD_BU + "xvabsd_d", // LoongArch_INS_XVABSD_D + "xvabsd_du", // LoongArch_INS_XVABSD_DU + "xvabsd_h", // LoongArch_INS_XVABSD_H + "xvabsd_hu", // LoongArch_INS_XVABSD_HU + "xvabsd_w", // LoongArch_INS_XVABSD_W + "xvabsd_wu", // LoongArch_INS_XVABSD_WU + "xvadda_b", // LoongArch_INS_XVADDA_B + "xvadda_d", // LoongArch_INS_XVADDA_D + "xvadda_h", // LoongArch_INS_XVADDA_H + "xvadda_w", // LoongArch_INS_XVADDA_W + "xvaddi_bu", // LoongArch_INS_XVADDI_BU + "xvaddi_du", // LoongArch_INS_XVADDI_DU + "xvaddi_hu", // LoongArch_INS_XVADDI_HU + "xvaddi_wu", // LoongArch_INS_XVADDI_WU + "xvaddwev_d_w", // LoongArch_INS_XVADDWEV_D_W + "xvaddwev_d_wu", // LoongArch_INS_XVADDWEV_D_WU + "xvaddwev_d_wu_w", // LoongArch_INS_XVADDWEV_D_WU_W + "xvaddwev_h_b", // LoongArch_INS_XVADDWEV_H_B + "xvaddwev_h_bu", // LoongArch_INS_XVADDWEV_H_BU + "xvaddwev_h_bu_b", // LoongArch_INS_XVADDWEV_H_BU_B + "xvaddwev_q_d", // LoongArch_INS_XVADDWEV_Q_D + "xvaddwev_q_du", // LoongArch_INS_XVADDWEV_Q_DU + "xvaddwev_q_du_d", // LoongArch_INS_XVADDWEV_Q_DU_D + "xvaddwev_w_h", // LoongArch_INS_XVADDWEV_W_H + "xvaddwev_w_hu", // LoongArch_INS_XVADDWEV_W_HU + "xvaddwev_w_hu_h", // LoongArch_INS_XVADDWEV_W_HU_H + "xvaddwod_d_w", // LoongArch_INS_XVADDWOD_D_W + "xvaddwod_d_wu", // LoongArch_INS_XVADDWOD_D_WU + "xvaddwod_d_wu_w", // LoongArch_INS_XVADDWOD_D_WU_W + "xvaddwod_h_b", // LoongArch_INS_XVADDWOD_H_B + "xvaddwod_h_bu", // LoongArch_INS_XVADDWOD_H_BU + "xvaddwod_h_bu_b", // LoongArch_INS_XVADDWOD_H_BU_B + "xvaddwod_q_d", // LoongArch_INS_XVADDWOD_Q_D + "xvaddwod_q_du", // LoongArch_INS_XVADDWOD_Q_DU + "xvaddwod_q_du_d", // LoongArch_INS_XVADDWOD_Q_DU_D + "xvaddwod_w_h", // LoongArch_INS_XVADDWOD_W_H + "xvaddwod_w_hu", // LoongArch_INS_XVADDWOD_W_HU + "xvaddwod_w_hu_h", // LoongArch_INS_XVADDWOD_W_HU_H + "xvadd_b", // LoongArch_INS_XVADD_B + "xvadd_d", // LoongArch_INS_XVADD_D + "xvadd_h", // LoongArch_INS_XVADD_H + "xvadd_q", // LoongArch_INS_XVADD_Q + "xvadd_w", // LoongArch_INS_XVADD_W + "xvandi_b", // LoongArch_INS_XVANDI_B + "xvandn_v", // LoongArch_INS_XVANDN_V + "xvand_v", // LoongArch_INS_XVAND_V + "xvavgr_b", // LoongArch_INS_XVAVGR_B + "xvavgr_bu", // LoongArch_INS_XVAVGR_BU + "xvavgr_d", // LoongArch_INS_XVAVGR_D + "xvavgr_du", // LoongArch_INS_XVAVGR_DU + "xvavgr_h", // LoongArch_INS_XVAVGR_H + "xvavgr_hu", // LoongArch_INS_XVAVGR_HU + "xvavgr_w", // LoongArch_INS_XVAVGR_W + "xvavgr_wu", // LoongArch_INS_XVAVGR_WU + "xvavg_b", // LoongArch_INS_XVAVG_B + "xvavg_bu", // LoongArch_INS_XVAVG_BU + "xvavg_d", // LoongArch_INS_XVAVG_D + "xvavg_du", // LoongArch_INS_XVAVG_DU + "xvavg_h", // LoongArch_INS_XVAVG_H + "xvavg_hu", // LoongArch_INS_XVAVG_HU + "xvavg_w", // LoongArch_INS_XVAVG_W + "xvavg_wu", // LoongArch_INS_XVAVG_WU + "xvbitclri_b", // LoongArch_INS_XVBITCLRI_B + "xvbitclri_d", // LoongArch_INS_XVBITCLRI_D + "xvbitclri_h", // LoongArch_INS_XVBITCLRI_H + "xvbitclri_w", // LoongArch_INS_XVBITCLRI_W + "xvbitclr_b", // LoongArch_INS_XVBITCLR_B + "xvbitclr_d", // LoongArch_INS_XVBITCLR_D + "xvbitclr_h", // LoongArch_INS_XVBITCLR_H + "xvbitclr_w", // LoongArch_INS_XVBITCLR_W + "xvbitrevi_b", // LoongArch_INS_XVBITREVI_B + "xvbitrevi_d", // LoongArch_INS_XVBITREVI_D + "xvbitrevi_h", // LoongArch_INS_XVBITREVI_H + "xvbitrevi_w", // LoongArch_INS_XVBITREVI_W + "xvbitrev_b", // LoongArch_INS_XVBITREV_B + "xvbitrev_d", // LoongArch_INS_XVBITREV_D + "xvbitrev_h", // LoongArch_INS_XVBITREV_H + "xvbitrev_w", // LoongArch_INS_XVBITREV_W + "xvbitseli_b", // LoongArch_INS_XVBITSELI_B + "xvbitsel_v", // LoongArch_INS_XVBITSEL_V + "xvbitseti_b", // LoongArch_INS_XVBITSETI_B + "xvbitseti_d", // LoongArch_INS_XVBITSETI_D + "xvbitseti_h", // LoongArch_INS_XVBITSETI_H + "xvbitseti_w", // LoongArch_INS_XVBITSETI_W + "xvbitset_b", // LoongArch_INS_XVBITSET_B + "xvbitset_d", // LoongArch_INS_XVBITSET_D + "xvbitset_h", // LoongArch_INS_XVBITSET_H + "xvbitset_w", // LoongArch_INS_XVBITSET_W + "xvbsll_v", // LoongArch_INS_XVBSLL_V + "xvbsrl_v", // LoongArch_INS_XVBSRL_V + "xvclo_b", // LoongArch_INS_XVCLO_B + "xvclo_d", // LoongArch_INS_XVCLO_D + "xvclo_h", // LoongArch_INS_XVCLO_H + "xvclo_w", // LoongArch_INS_XVCLO_W + "xvclz_b", // LoongArch_INS_XVCLZ_B + "xvclz_d", // LoongArch_INS_XVCLZ_D + "xvclz_h", // LoongArch_INS_XVCLZ_H + "xvclz_w", // LoongArch_INS_XVCLZ_W + "xvdiv_b", // LoongArch_INS_XVDIV_B + "xvdiv_bu", // LoongArch_INS_XVDIV_BU + "xvdiv_d", // LoongArch_INS_XVDIV_D + "xvdiv_du", // LoongArch_INS_XVDIV_DU + "xvdiv_h", // LoongArch_INS_XVDIV_H + "xvdiv_hu", // LoongArch_INS_XVDIV_HU + "xvdiv_w", // LoongArch_INS_XVDIV_W + "xvdiv_wu", // LoongArch_INS_XVDIV_WU + "xvexth_du_wu", // LoongArch_INS_XVEXTH_DU_WU + "xvexth_d_w", // LoongArch_INS_XVEXTH_D_W + "xvexth_hu_bu", // LoongArch_INS_XVEXTH_HU_BU + "xvexth_h_b", // LoongArch_INS_XVEXTH_H_B + "xvexth_qu_du", // LoongArch_INS_XVEXTH_QU_DU + "xvexth_q_d", // LoongArch_INS_XVEXTH_Q_D + "xvexth_wu_hu", // LoongArch_INS_XVEXTH_WU_HU + "xvexth_w_h", // LoongArch_INS_XVEXTH_W_H + "xvextl_qu_du", // LoongArch_INS_XVEXTL_QU_DU + "xvextl_q_d", // LoongArch_INS_XVEXTL_Q_D + "xvextrins_b", // LoongArch_INS_XVEXTRINS_B + "xvextrins_d", // LoongArch_INS_XVEXTRINS_D + "xvextrins_h", // LoongArch_INS_XVEXTRINS_H + "xvextrins_w", // LoongArch_INS_XVEXTRINS_W + "xvfadd_d", // LoongArch_INS_XVFADD_D + "xvfadd_s", // LoongArch_INS_XVFADD_S + "xvfclass_d", // LoongArch_INS_XVFCLASS_D + "xvfclass_s", // LoongArch_INS_XVFCLASS_S + "xvfcmp_caf_d", // LoongArch_INS_XVFCMP_CAF_D + "xvfcmp_caf_s", // LoongArch_INS_XVFCMP_CAF_S + "xvfcmp_ceq_d", // LoongArch_INS_XVFCMP_CEQ_D + "xvfcmp_ceq_s", // LoongArch_INS_XVFCMP_CEQ_S + "xvfcmp_cle_d", // LoongArch_INS_XVFCMP_CLE_D + "xvfcmp_cle_s", // LoongArch_INS_XVFCMP_CLE_S + "xvfcmp_clt_d", // LoongArch_INS_XVFCMP_CLT_D + "xvfcmp_clt_s", // LoongArch_INS_XVFCMP_CLT_S + "xvfcmp_cne_d", // LoongArch_INS_XVFCMP_CNE_D + "xvfcmp_cne_s", // LoongArch_INS_XVFCMP_CNE_S + "xvfcmp_cor_d", // LoongArch_INS_XVFCMP_COR_D + "xvfcmp_cor_s", // LoongArch_INS_XVFCMP_COR_S + "xvfcmp_cueq_d", // LoongArch_INS_XVFCMP_CUEQ_D + "xvfcmp_cueq_s", // LoongArch_INS_XVFCMP_CUEQ_S + "xvfcmp_cule_d", // LoongArch_INS_XVFCMP_CULE_D + "xvfcmp_cule_s", // LoongArch_INS_XVFCMP_CULE_S + "xvfcmp_cult_d", // LoongArch_INS_XVFCMP_CULT_D + "xvfcmp_cult_s", // LoongArch_INS_XVFCMP_CULT_S + "xvfcmp_cune_d", // LoongArch_INS_XVFCMP_CUNE_D + "xvfcmp_cune_s", // LoongArch_INS_XVFCMP_CUNE_S + "xvfcmp_cun_d", // LoongArch_INS_XVFCMP_CUN_D + "xvfcmp_cun_s", // LoongArch_INS_XVFCMP_CUN_S + "xvfcmp_saf_d", // LoongArch_INS_XVFCMP_SAF_D + "xvfcmp_saf_s", // LoongArch_INS_XVFCMP_SAF_S + "xvfcmp_seq_d", // LoongArch_INS_XVFCMP_SEQ_D + "xvfcmp_seq_s", // LoongArch_INS_XVFCMP_SEQ_S + "xvfcmp_sle_d", // LoongArch_INS_XVFCMP_SLE_D + "xvfcmp_sle_s", // LoongArch_INS_XVFCMP_SLE_S + "xvfcmp_slt_d", // LoongArch_INS_XVFCMP_SLT_D + "xvfcmp_slt_s", // LoongArch_INS_XVFCMP_SLT_S + "xvfcmp_sne_d", // LoongArch_INS_XVFCMP_SNE_D + "xvfcmp_sne_s", // LoongArch_INS_XVFCMP_SNE_S + "xvfcmp_sor_d", // LoongArch_INS_XVFCMP_SOR_D + "xvfcmp_sor_s", // LoongArch_INS_XVFCMP_SOR_S + "xvfcmp_sueq_d", // LoongArch_INS_XVFCMP_SUEQ_D + "xvfcmp_sueq_s", // LoongArch_INS_XVFCMP_SUEQ_S + "xvfcmp_sule_d", // LoongArch_INS_XVFCMP_SULE_D + "xvfcmp_sule_s", // LoongArch_INS_XVFCMP_SULE_S + "xvfcmp_sult_d", // LoongArch_INS_XVFCMP_SULT_D + "xvfcmp_sult_s", // LoongArch_INS_XVFCMP_SULT_S + "xvfcmp_sune_d", // LoongArch_INS_XVFCMP_SUNE_D + "xvfcmp_sune_s", // LoongArch_INS_XVFCMP_SUNE_S + "xvfcmp_sun_d", // LoongArch_INS_XVFCMP_SUN_D + "xvfcmp_sun_s", // LoongArch_INS_XVFCMP_SUN_S + "xvfcvth_d_s", // LoongArch_INS_XVFCVTH_D_S + "xvfcvth_s_h", // LoongArch_INS_XVFCVTH_S_H + "xvfcvtl_d_s", // LoongArch_INS_XVFCVTL_D_S + "xvfcvtl_s_h", // LoongArch_INS_XVFCVTL_S_H + "xvfcvt_h_s", // LoongArch_INS_XVFCVT_H_S + "xvfcvt_s_d", // LoongArch_INS_XVFCVT_S_D + "xvfdiv_d", // LoongArch_INS_XVFDIV_D + "xvfdiv_s", // LoongArch_INS_XVFDIV_S + "xvffinth_d_w", // LoongArch_INS_XVFFINTH_D_W + "xvffintl_d_w", // LoongArch_INS_XVFFINTL_D_W + "xvffint_d_l", // LoongArch_INS_XVFFINT_D_L + "xvffint_d_lu", // LoongArch_INS_XVFFINT_D_LU + "xvffint_s_l", // LoongArch_INS_XVFFINT_S_L + "xvffint_s_w", // LoongArch_INS_XVFFINT_S_W + "xvffint_s_wu", // LoongArch_INS_XVFFINT_S_WU + "xvflogb_d", // LoongArch_INS_XVFLOGB_D + "xvflogb_s", // LoongArch_INS_XVFLOGB_S + "xvfmadd_d", // LoongArch_INS_XVFMADD_D + "xvfmadd_s", // LoongArch_INS_XVFMADD_S + "xvfmaxa_d", // LoongArch_INS_XVFMAXA_D + "xvfmaxa_s", // LoongArch_INS_XVFMAXA_S + "xvfmax_d", // LoongArch_INS_XVFMAX_D + "xvfmax_s", // LoongArch_INS_XVFMAX_S + "xvfmina_d", // LoongArch_INS_XVFMINA_D + "xvfmina_s", // LoongArch_INS_XVFMINA_S + "xvfmin_d", // LoongArch_INS_XVFMIN_D + "xvfmin_s", // LoongArch_INS_XVFMIN_S + "xvfmsub_d", // LoongArch_INS_XVFMSUB_D + "xvfmsub_s", // LoongArch_INS_XVFMSUB_S + "xvfmul_d", // LoongArch_INS_XVFMUL_D + "xvfmul_s", // LoongArch_INS_XVFMUL_S + "xvfnmadd_d", // LoongArch_INS_XVFNMADD_D + "xvfnmadd_s", // LoongArch_INS_XVFNMADD_S + "xvfnmsub_d", // LoongArch_INS_XVFNMSUB_D + "xvfnmsub_s", // LoongArch_INS_XVFNMSUB_S + "xvfrecipe_d", // LoongArch_INS_XVFRECIPE_D + "xvfrecipe_s", // LoongArch_INS_XVFRECIPE_S + "xvfrecip_d", // LoongArch_INS_XVFRECIP_D + "xvfrecip_s", // LoongArch_INS_XVFRECIP_S + "xvfrintrm_d", // LoongArch_INS_XVFRINTRM_D + "xvfrintrm_s", // LoongArch_INS_XVFRINTRM_S + "xvfrintrne_d", // LoongArch_INS_XVFRINTRNE_D + "xvfrintrne_s", // LoongArch_INS_XVFRINTRNE_S + "xvfrintrp_d", // LoongArch_INS_XVFRINTRP_D + "xvfrintrp_s", // LoongArch_INS_XVFRINTRP_S + "xvfrintrz_d", // LoongArch_INS_XVFRINTRZ_D + "xvfrintrz_s", // LoongArch_INS_XVFRINTRZ_S + "xvfrint_d", // LoongArch_INS_XVFRINT_D + "xvfrint_s", // LoongArch_INS_XVFRINT_S + "xvfrsqrte_d", // LoongArch_INS_XVFRSQRTE_D + "xvfrsqrte_s", // LoongArch_INS_XVFRSQRTE_S + "xvfrsqrt_d", // LoongArch_INS_XVFRSQRT_D + "xvfrsqrt_s", // LoongArch_INS_XVFRSQRT_S + "xvfrstpi_b", // LoongArch_INS_XVFRSTPI_B + "xvfrstpi_h", // LoongArch_INS_XVFRSTPI_H + "xvfrstp_b", // LoongArch_INS_XVFRSTP_B + "xvfrstp_h", // LoongArch_INS_XVFRSTP_H + "xvfsqrt_d", // LoongArch_INS_XVFSQRT_D + "xvfsqrt_s", // LoongArch_INS_XVFSQRT_S + "xvfsub_d", // LoongArch_INS_XVFSUB_D + "xvfsub_s", // LoongArch_INS_XVFSUB_S + "xvftinth_l_s", // LoongArch_INS_XVFTINTH_L_S + "xvftintl_l_s", // LoongArch_INS_XVFTINTL_L_S + "xvftintrmh_l_s", // LoongArch_INS_XVFTINTRMH_L_S + "xvftintrml_l_s", // LoongArch_INS_XVFTINTRML_L_S + "xvftintrm_l_d", // LoongArch_INS_XVFTINTRM_L_D + "xvftintrm_w_d", // LoongArch_INS_XVFTINTRM_W_D + "xvftintrm_w_s", // LoongArch_INS_XVFTINTRM_W_S + "xvftintrneh_l_s", // LoongArch_INS_XVFTINTRNEH_L_S + "xvftintrnel_l_s", // LoongArch_INS_XVFTINTRNEL_L_S + "xvftintrne_l_d", // LoongArch_INS_XVFTINTRNE_L_D + "xvftintrne_w_d", // LoongArch_INS_XVFTINTRNE_W_D + "xvftintrne_w_s", // LoongArch_INS_XVFTINTRNE_W_S + "xvftintrph_l_s", // LoongArch_INS_XVFTINTRPH_L_S + "xvftintrpl_l_s", // LoongArch_INS_XVFTINTRPL_L_S + "xvftintrp_l_d", // LoongArch_INS_XVFTINTRP_L_D + "xvftintrp_w_d", // LoongArch_INS_XVFTINTRP_W_D + "xvftintrp_w_s", // LoongArch_INS_XVFTINTRP_W_S + "xvftintrzh_l_s", // LoongArch_INS_XVFTINTRZH_L_S + "xvftintrzl_l_s", // LoongArch_INS_XVFTINTRZL_L_S + "xvftintrz_lu_d", // LoongArch_INS_XVFTINTRZ_LU_D + "xvftintrz_l_d", // LoongArch_INS_XVFTINTRZ_L_D + "xvftintrz_wu_s", // LoongArch_INS_XVFTINTRZ_WU_S + "xvftintrz_w_d", // LoongArch_INS_XVFTINTRZ_W_D + "xvftintrz_w_s", // LoongArch_INS_XVFTINTRZ_W_S + "xvftint_lu_d", // LoongArch_INS_XVFTINT_LU_D + "xvftint_l_d", // LoongArch_INS_XVFTINT_L_D + "xvftint_wu_s", // LoongArch_INS_XVFTINT_WU_S + "xvftint_w_d", // LoongArch_INS_XVFTINT_W_D + "xvftint_w_s", // LoongArch_INS_XVFTINT_W_S + "xvhaddw_du_wu", // LoongArch_INS_XVHADDW_DU_WU + "xvhaddw_d_w", // LoongArch_INS_XVHADDW_D_W + "xvhaddw_hu_bu", // LoongArch_INS_XVHADDW_HU_BU + "xvhaddw_h_b", // LoongArch_INS_XVHADDW_H_B + "xvhaddw_qu_du", // LoongArch_INS_XVHADDW_QU_DU + "xvhaddw_q_d", // LoongArch_INS_XVHADDW_Q_D + "xvhaddw_wu_hu", // LoongArch_INS_XVHADDW_WU_HU + "xvhaddw_w_h", // LoongArch_INS_XVHADDW_W_H + "xvhseli_d", // LoongArch_INS_XVHSELI_D + "xvhsubw_du_wu", // LoongArch_INS_XVHSUBW_DU_WU + "xvhsubw_d_w", // LoongArch_INS_XVHSUBW_D_W + "xvhsubw_hu_bu", // LoongArch_INS_XVHSUBW_HU_BU + "xvhsubw_h_b", // LoongArch_INS_XVHSUBW_H_B + "xvhsubw_qu_du", // LoongArch_INS_XVHSUBW_QU_DU + "xvhsubw_q_d", // LoongArch_INS_XVHSUBW_Q_D + "xvhsubw_wu_hu", // LoongArch_INS_XVHSUBW_WU_HU + "xvhsubw_w_h", // LoongArch_INS_XVHSUBW_W_H + "xvilvh_b", // LoongArch_INS_XVILVH_B + "xvilvh_d", // LoongArch_INS_XVILVH_D + "xvilvh_h", // LoongArch_INS_XVILVH_H + "xvilvh_w", // LoongArch_INS_XVILVH_W + "xvilvl_b", // LoongArch_INS_XVILVL_B + "xvilvl_d", // LoongArch_INS_XVILVL_D + "xvilvl_h", // LoongArch_INS_XVILVL_H + "xvilvl_w", // LoongArch_INS_XVILVL_W + "xvinsgr2vr_d", // LoongArch_INS_XVINSGR2VR_D + "xvinsgr2vr_w", // LoongArch_INS_XVINSGR2VR_W + "xvinsve0_d", // LoongArch_INS_XVINSVE0_D + "xvinsve0_w", // LoongArch_INS_XVINSVE0_W + "xvld", // LoongArch_INS_XVLD + "xvldi", // LoongArch_INS_XVLDI + "xvldrepl_b", // LoongArch_INS_XVLDREPL_B + "xvldrepl_d", // LoongArch_INS_XVLDREPL_D + "xvldrepl_h", // LoongArch_INS_XVLDREPL_H + "xvldrepl_w", // LoongArch_INS_XVLDREPL_W + "xvldx", // LoongArch_INS_XVLDX + "xvmaddwev_d_w", // LoongArch_INS_XVMADDWEV_D_W + "xvmaddwev_d_wu", // LoongArch_INS_XVMADDWEV_D_WU + "xvmaddwev_d_wu_w", // LoongArch_INS_XVMADDWEV_D_WU_W + "xvmaddwev_h_b", // LoongArch_INS_XVMADDWEV_H_B + "xvmaddwev_h_bu", // LoongArch_INS_XVMADDWEV_H_BU + "xvmaddwev_h_bu_b", // LoongArch_INS_XVMADDWEV_H_BU_B + "xvmaddwev_q_d", // LoongArch_INS_XVMADDWEV_Q_D + "xvmaddwev_q_du", // LoongArch_INS_XVMADDWEV_Q_DU + "xvmaddwev_q_du_d", // LoongArch_INS_XVMADDWEV_Q_DU_D + "xvmaddwev_w_h", // LoongArch_INS_XVMADDWEV_W_H + "xvmaddwev_w_hu", // LoongArch_INS_XVMADDWEV_W_HU + "xvmaddwev_w_hu_h", // LoongArch_INS_XVMADDWEV_W_HU_H + "xvmaddwod_d_w", // LoongArch_INS_XVMADDWOD_D_W + "xvmaddwod_d_wu", // LoongArch_INS_XVMADDWOD_D_WU + "xvmaddwod_d_wu_w", // LoongArch_INS_XVMADDWOD_D_WU_W + "xvmaddwod_h_b", // LoongArch_INS_XVMADDWOD_H_B + "xvmaddwod_h_bu", // LoongArch_INS_XVMADDWOD_H_BU + "xvmaddwod_h_bu_b", // LoongArch_INS_XVMADDWOD_H_BU_B + "xvmaddwod_q_d", // LoongArch_INS_XVMADDWOD_Q_D + "xvmaddwod_q_du", // LoongArch_INS_XVMADDWOD_Q_DU + "xvmaddwod_q_du_d", // LoongArch_INS_XVMADDWOD_Q_DU_D + "xvmaddwod_w_h", // LoongArch_INS_XVMADDWOD_W_H + "xvmaddwod_w_hu", // LoongArch_INS_XVMADDWOD_W_HU + "xvmaddwod_w_hu_h", // LoongArch_INS_XVMADDWOD_W_HU_H + "xvmadd_b", // LoongArch_INS_XVMADD_B + "xvmadd_d", // LoongArch_INS_XVMADD_D + "xvmadd_h", // LoongArch_INS_XVMADD_H + "xvmadd_w", // LoongArch_INS_XVMADD_W + "xvmaxi_b", // LoongArch_INS_XVMAXI_B + "xvmaxi_bu", // LoongArch_INS_XVMAXI_BU + "xvmaxi_d", // LoongArch_INS_XVMAXI_D + "xvmaxi_du", // LoongArch_INS_XVMAXI_DU + "xvmaxi_h", // LoongArch_INS_XVMAXI_H + "xvmaxi_hu", // LoongArch_INS_XVMAXI_HU + "xvmaxi_w", // LoongArch_INS_XVMAXI_W + "xvmaxi_wu", // LoongArch_INS_XVMAXI_WU + "xvmax_b", // LoongArch_INS_XVMAX_B + "xvmax_bu", // LoongArch_INS_XVMAX_BU + "xvmax_d", // LoongArch_INS_XVMAX_D + "xvmax_du", // LoongArch_INS_XVMAX_DU + "xvmax_h", // LoongArch_INS_XVMAX_H + "xvmax_hu", // LoongArch_INS_XVMAX_HU + "xvmax_w", // LoongArch_INS_XVMAX_W + "xvmax_wu", // LoongArch_INS_XVMAX_WU + "xvmini_b", // LoongArch_INS_XVMINI_B + "xvmini_bu", // LoongArch_INS_XVMINI_BU + "xvmini_d", // LoongArch_INS_XVMINI_D + "xvmini_du", // LoongArch_INS_XVMINI_DU + "xvmini_h", // LoongArch_INS_XVMINI_H + "xvmini_hu", // LoongArch_INS_XVMINI_HU + "xvmini_w", // LoongArch_INS_XVMINI_W + "xvmini_wu", // LoongArch_INS_XVMINI_WU + "xvmin_b", // LoongArch_INS_XVMIN_B + "xvmin_bu", // LoongArch_INS_XVMIN_BU + "xvmin_d", // LoongArch_INS_XVMIN_D + "xvmin_du", // LoongArch_INS_XVMIN_DU + "xvmin_h", // LoongArch_INS_XVMIN_H + "xvmin_hu", // LoongArch_INS_XVMIN_HU + "xvmin_w", // LoongArch_INS_XVMIN_W + "xvmin_wu", // LoongArch_INS_XVMIN_WU + "xvmod_b", // LoongArch_INS_XVMOD_B + "xvmod_bu", // LoongArch_INS_XVMOD_BU + "xvmod_d", // LoongArch_INS_XVMOD_D + "xvmod_du", // LoongArch_INS_XVMOD_DU + "xvmod_h", // LoongArch_INS_XVMOD_H + "xvmod_hu", // LoongArch_INS_XVMOD_HU + "xvmod_w", // LoongArch_INS_XVMOD_W + "xvmod_wu", // LoongArch_INS_XVMOD_WU + "xvmskgez_b", // LoongArch_INS_XVMSKGEZ_B + "xvmskltz_b", // LoongArch_INS_XVMSKLTZ_B + "xvmskltz_d", // LoongArch_INS_XVMSKLTZ_D + "xvmskltz_h", // LoongArch_INS_XVMSKLTZ_H + "xvmskltz_w", // LoongArch_INS_XVMSKLTZ_W + "xvmsknz_b", // LoongArch_INS_XVMSKNZ_B + "xvmsub_b", // LoongArch_INS_XVMSUB_B + "xvmsub_d", // LoongArch_INS_XVMSUB_D + "xvmsub_h", // LoongArch_INS_XVMSUB_H + "xvmsub_w", // LoongArch_INS_XVMSUB_W + "xvmuh_b", // LoongArch_INS_XVMUH_B + "xvmuh_bu", // LoongArch_INS_XVMUH_BU + "xvmuh_d", // LoongArch_INS_XVMUH_D + "xvmuh_du", // LoongArch_INS_XVMUH_DU + "xvmuh_h", // LoongArch_INS_XVMUH_H + "xvmuh_hu", // LoongArch_INS_XVMUH_HU + "xvmuh_w", // LoongArch_INS_XVMUH_W + "xvmuh_wu", // LoongArch_INS_XVMUH_WU + "xvmulwev_d_w", // LoongArch_INS_XVMULWEV_D_W + "xvmulwev_d_wu", // LoongArch_INS_XVMULWEV_D_WU + "xvmulwev_d_wu_w", // LoongArch_INS_XVMULWEV_D_WU_W + "xvmulwev_h_b", // LoongArch_INS_XVMULWEV_H_B + "xvmulwev_h_bu", // LoongArch_INS_XVMULWEV_H_BU + "xvmulwev_h_bu_b", // LoongArch_INS_XVMULWEV_H_BU_B + "xvmulwev_q_d", // LoongArch_INS_XVMULWEV_Q_D + "xvmulwev_q_du", // LoongArch_INS_XVMULWEV_Q_DU + "xvmulwev_q_du_d", // LoongArch_INS_XVMULWEV_Q_DU_D + "xvmulwev_w_h", // LoongArch_INS_XVMULWEV_W_H + "xvmulwev_w_hu", // LoongArch_INS_XVMULWEV_W_HU + "xvmulwev_w_hu_h", // LoongArch_INS_XVMULWEV_W_HU_H + "xvmulwod_d_w", // LoongArch_INS_XVMULWOD_D_W + "xvmulwod_d_wu", // LoongArch_INS_XVMULWOD_D_WU + "xvmulwod_d_wu_w", // LoongArch_INS_XVMULWOD_D_WU_W + "xvmulwod_h_b", // LoongArch_INS_XVMULWOD_H_B + "xvmulwod_h_bu", // LoongArch_INS_XVMULWOD_H_BU + "xvmulwod_h_bu_b", // LoongArch_INS_XVMULWOD_H_BU_B + "xvmulwod_q_d", // LoongArch_INS_XVMULWOD_Q_D + "xvmulwod_q_du", // LoongArch_INS_XVMULWOD_Q_DU + "xvmulwod_q_du_d", // LoongArch_INS_XVMULWOD_Q_DU_D + "xvmulwod_w_h", // LoongArch_INS_XVMULWOD_W_H + "xvmulwod_w_hu", // LoongArch_INS_XVMULWOD_W_HU + "xvmulwod_w_hu_h", // LoongArch_INS_XVMULWOD_W_HU_H + "xvmul_b", // LoongArch_INS_XVMUL_B + "xvmul_d", // LoongArch_INS_XVMUL_D + "xvmul_h", // LoongArch_INS_XVMUL_H + "xvmul_w", // LoongArch_INS_XVMUL_W + "xvneg_b", // LoongArch_INS_XVNEG_B + "xvneg_d", // LoongArch_INS_XVNEG_D + "xvneg_h", // LoongArch_INS_XVNEG_H + "xvneg_w", // LoongArch_INS_XVNEG_W + "xvnori_b", // LoongArch_INS_XVNORI_B + "xvnor_v", // LoongArch_INS_XVNOR_V + "xvori_b", // LoongArch_INS_XVORI_B + "xvorn_v", // LoongArch_INS_XVORN_V + "xvor_v", // LoongArch_INS_XVOR_V + "xvpackev_b", // LoongArch_INS_XVPACKEV_B + "xvpackev_d", // LoongArch_INS_XVPACKEV_D + "xvpackev_h", // LoongArch_INS_XVPACKEV_H + "xvpackev_w", // LoongArch_INS_XVPACKEV_W + "xvpackod_b", // LoongArch_INS_XVPACKOD_B + "xvpackod_d", // LoongArch_INS_XVPACKOD_D + "xvpackod_h", // LoongArch_INS_XVPACKOD_H + "xvpackod_w", // LoongArch_INS_XVPACKOD_W + "xvpcnt_b", // LoongArch_INS_XVPCNT_B + "xvpcnt_d", // LoongArch_INS_XVPCNT_D + "xvpcnt_h", // LoongArch_INS_XVPCNT_H + "xvpcnt_w", // LoongArch_INS_XVPCNT_W + "xvpermi_d", // LoongArch_INS_XVPERMI_D + "xvpermi_q", // LoongArch_INS_XVPERMI_Q + "xvpermi_w", // LoongArch_INS_XVPERMI_W + "xvperm_w", // LoongArch_INS_XVPERM_W + "xvpickev_b", // LoongArch_INS_XVPICKEV_B + "xvpickev_d", // LoongArch_INS_XVPICKEV_D + "xvpickev_h", // LoongArch_INS_XVPICKEV_H + "xvpickev_w", // LoongArch_INS_XVPICKEV_W + "xvpickod_b", // LoongArch_INS_XVPICKOD_B + "xvpickod_d", // LoongArch_INS_XVPICKOD_D + "xvpickod_h", // LoongArch_INS_XVPICKOD_H + "xvpickod_w", // LoongArch_INS_XVPICKOD_W + "xvpickve2gr_d", // LoongArch_INS_XVPICKVE2GR_D + "xvpickve2gr_du", // LoongArch_INS_XVPICKVE2GR_DU + "xvpickve2gr_w", // LoongArch_INS_XVPICKVE2GR_W + "xvpickve2gr_wu", // LoongArch_INS_XVPICKVE2GR_WU + "xvpickve_d", // LoongArch_INS_XVPICKVE_D + "xvpickve_w", // LoongArch_INS_XVPICKVE_W + "xvrepl128vei_b", // LoongArch_INS_XVREPL128VEI_B + "xvrepl128vei_d", // LoongArch_INS_XVREPL128VEI_D + "xvrepl128vei_h", // LoongArch_INS_XVREPL128VEI_H + "xvrepl128vei_w", // LoongArch_INS_XVREPL128VEI_W + "xvreplgr2vr_b", // LoongArch_INS_XVREPLGR2VR_B + "xvreplgr2vr_d", // LoongArch_INS_XVREPLGR2VR_D + "xvreplgr2vr_h", // LoongArch_INS_XVREPLGR2VR_H + "xvreplgr2vr_w", // LoongArch_INS_XVREPLGR2VR_W + "xvreplve0_b", // LoongArch_INS_XVREPLVE0_B + "xvreplve0_d", // LoongArch_INS_XVREPLVE0_D + "xvreplve0_h", // LoongArch_INS_XVREPLVE0_H + "xvreplve0_q", // LoongArch_INS_XVREPLVE0_Q + "xvreplve0_w", // LoongArch_INS_XVREPLVE0_W + "xvreplve_b", // LoongArch_INS_XVREPLVE_B + "xvreplve_d", // LoongArch_INS_XVREPLVE_D + "xvreplve_h", // LoongArch_INS_XVREPLVE_H + "xvreplve_w", // LoongArch_INS_XVREPLVE_W + "xvrotri_b", // LoongArch_INS_XVROTRI_B + "xvrotri_d", // LoongArch_INS_XVROTRI_D + "xvrotri_h", // LoongArch_INS_XVROTRI_H + "xvrotri_w", // LoongArch_INS_XVROTRI_W + "xvrotr_b", // LoongArch_INS_XVROTR_B + "xvrotr_d", // LoongArch_INS_XVROTR_D + "xvrotr_h", // LoongArch_INS_XVROTR_H + "xvrotr_w", // LoongArch_INS_XVROTR_W + "xvsadd_b", // LoongArch_INS_XVSADD_B + "xvsadd_bu", // LoongArch_INS_XVSADD_BU + "xvsadd_d", // LoongArch_INS_XVSADD_D + "xvsadd_du", // LoongArch_INS_XVSADD_DU + "xvsadd_h", // LoongArch_INS_XVSADD_H + "xvsadd_hu", // LoongArch_INS_XVSADD_HU + "xvsadd_w", // LoongArch_INS_XVSADD_W + "xvsadd_wu", // LoongArch_INS_XVSADD_WU + "xvsat_b", // LoongArch_INS_XVSAT_B + "xvsat_bu", // LoongArch_INS_XVSAT_BU + "xvsat_d", // LoongArch_INS_XVSAT_D + "xvsat_du", // LoongArch_INS_XVSAT_DU + "xvsat_h", // LoongArch_INS_XVSAT_H + "xvsat_hu", // LoongArch_INS_XVSAT_HU + "xvsat_w", // LoongArch_INS_XVSAT_W + "xvsat_wu", // LoongArch_INS_XVSAT_WU + "xvseqi_b", // LoongArch_INS_XVSEQI_B + "xvseqi_d", // LoongArch_INS_XVSEQI_D + "xvseqi_h", // LoongArch_INS_XVSEQI_H + "xvseqi_w", // LoongArch_INS_XVSEQI_W + "xvseq_b", // LoongArch_INS_XVSEQ_B + "xvseq_d", // LoongArch_INS_XVSEQ_D + "xvseq_h", // LoongArch_INS_XVSEQ_H + "xvseq_w", // LoongArch_INS_XVSEQ_W + "xvsetallnez_b", // LoongArch_INS_XVSETALLNEZ_B + "xvsetallnez_d", // LoongArch_INS_XVSETALLNEZ_D + "xvsetallnez_h", // LoongArch_INS_XVSETALLNEZ_H + "xvsetallnez_w", // LoongArch_INS_XVSETALLNEZ_W + "xvsetanyeqz_b", // LoongArch_INS_XVSETANYEQZ_B + "xvsetanyeqz_d", // LoongArch_INS_XVSETANYEQZ_D + "xvsetanyeqz_h", // LoongArch_INS_XVSETANYEQZ_H + "xvsetanyeqz_w", // LoongArch_INS_XVSETANYEQZ_W + "xvseteqz_v", // LoongArch_INS_XVSETEQZ_V + "xvsetnez_v", // LoongArch_INS_XVSETNEZ_V + "xvshuf4i_b", // LoongArch_INS_XVSHUF4I_B + "xvshuf4i_d", // LoongArch_INS_XVSHUF4I_D + "xvshuf4i_h", // LoongArch_INS_XVSHUF4I_H + "xvshuf4i_w", // LoongArch_INS_XVSHUF4I_W + "xvshuf_b", // LoongArch_INS_XVSHUF_B + "xvshuf_d", // LoongArch_INS_XVSHUF_D + "xvshuf_h", // LoongArch_INS_XVSHUF_H + "xvshuf_w", // LoongArch_INS_XVSHUF_W + "xvsigncov_b", // LoongArch_INS_XVSIGNCOV_B + "xvsigncov_d", // LoongArch_INS_XVSIGNCOV_D + "xvsigncov_h", // LoongArch_INS_XVSIGNCOV_H + "xvsigncov_w", // LoongArch_INS_XVSIGNCOV_W + "xvslei_b", // LoongArch_INS_XVSLEI_B + "xvslei_bu", // LoongArch_INS_XVSLEI_BU + "xvslei_d", // LoongArch_INS_XVSLEI_D + "xvslei_du", // LoongArch_INS_XVSLEI_DU + "xvslei_h", // LoongArch_INS_XVSLEI_H + "xvslei_hu", // LoongArch_INS_XVSLEI_HU + "xvslei_w", // LoongArch_INS_XVSLEI_W + "xvslei_wu", // LoongArch_INS_XVSLEI_WU + "xvsle_b", // LoongArch_INS_XVSLE_B + "xvsle_bu", // LoongArch_INS_XVSLE_BU + "xvsle_d", // LoongArch_INS_XVSLE_D + "xvsle_du", // LoongArch_INS_XVSLE_DU + "xvsle_h", // LoongArch_INS_XVSLE_H + "xvsle_hu", // LoongArch_INS_XVSLE_HU + "xvsle_w", // LoongArch_INS_XVSLE_W + "xvsle_wu", // LoongArch_INS_XVSLE_WU + "xvslli_b", // LoongArch_INS_XVSLLI_B + "xvslli_d", // LoongArch_INS_XVSLLI_D + "xvslli_h", // LoongArch_INS_XVSLLI_H + "xvslli_w", // LoongArch_INS_XVSLLI_W + "xvsllwil_du_wu", // LoongArch_INS_XVSLLWIL_DU_WU + "xvsllwil_d_w", // LoongArch_INS_XVSLLWIL_D_W + "xvsllwil_hu_bu", // LoongArch_INS_XVSLLWIL_HU_BU + "xvsllwil_h_b", // LoongArch_INS_XVSLLWIL_H_B + "xvsllwil_wu_hu", // LoongArch_INS_XVSLLWIL_WU_HU + "xvsllwil_w_h", // LoongArch_INS_XVSLLWIL_W_H + "xvsll_b", // LoongArch_INS_XVSLL_B + "xvsll_d", // LoongArch_INS_XVSLL_D + "xvsll_h", // LoongArch_INS_XVSLL_H + "xvsll_w", // LoongArch_INS_XVSLL_W + "xvslti_b", // LoongArch_INS_XVSLTI_B + "xvslti_bu", // LoongArch_INS_XVSLTI_BU + "xvslti_d", // LoongArch_INS_XVSLTI_D + "xvslti_du", // LoongArch_INS_XVSLTI_DU + "xvslti_h", // LoongArch_INS_XVSLTI_H + "xvslti_hu", // LoongArch_INS_XVSLTI_HU + "xvslti_w", // LoongArch_INS_XVSLTI_W + "xvslti_wu", // LoongArch_INS_XVSLTI_WU + "xvslt_b", // LoongArch_INS_XVSLT_B + "xvslt_bu", // LoongArch_INS_XVSLT_BU + "xvslt_d", // LoongArch_INS_XVSLT_D + "xvslt_du", // LoongArch_INS_XVSLT_DU + "xvslt_h", // LoongArch_INS_XVSLT_H + "xvslt_hu", // LoongArch_INS_XVSLT_HU + "xvslt_w", // LoongArch_INS_XVSLT_W + "xvslt_wu", // LoongArch_INS_XVSLT_WU + "xvsrai_b", // LoongArch_INS_XVSRAI_B + "xvsrai_d", // LoongArch_INS_XVSRAI_D + "xvsrai_h", // LoongArch_INS_XVSRAI_H + "xvsrai_w", // LoongArch_INS_XVSRAI_W + "xvsrani_b_h", // LoongArch_INS_XVSRANI_B_H + "xvsrani_d_q", // LoongArch_INS_XVSRANI_D_Q + "xvsrani_h_w", // LoongArch_INS_XVSRANI_H_W + "xvsrani_w_d", // LoongArch_INS_XVSRANI_W_D + "xvsran_b_h", // LoongArch_INS_XVSRAN_B_H + "xvsran_h_w", // LoongArch_INS_XVSRAN_H_W + "xvsran_w_d", // LoongArch_INS_XVSRAN_W_D + "xvsrari_b", // LoongArch_INS_XVSRARI_B + "xvsrari_d", // LoongArch_INS_XVSRARI_D + "xvsrari_h", // LoongArch_INS_XVSRARI_H + "xvsrari_w", // LoongArch_INS_XVSRARI_W + "xvsrarni_b_h", // LoongArch_INS_XVSRARNI_B_H + "xvsrarni_d_q", // LoongArch_INS_XVSRARNI_D_Q + "xvsrarni_h_w", // LoongArch_INS_XVSRARNI_H_W + "xvsrarni_w_d", // LoongArch_INS_XVSRARNI_W_D + "xvsrarn_b_h", // LoongArch_INS_XVSRARN_B_H + "xvsrarn_h_w", // LoongArch_INS_XVSRARN_H_W + "xvsrarn_w_d", // LoongArch_INS_XVSRARN_W_D + "xvsrar_b", // LoongArch_INS_XVSRAR_B + "xvsrar_d", // LoongArch_INS_XVSRAR_D + "xvsrar_h", // LoongArch_INS_XVSRAR_H + "xvsrar_w", // LoongArch_INS_XVSRAR_W + "xvsra_b", // LoongArch_INS_XVSRA_B + "xvsra_d", // LoongArch_INS_XVSRA_D + "xvsra_h", // LoongArch_INS_XVSRA_H + "xvsra_w", // LoongArch_INS_XVSRA_W + "xvsrli_b", // LoongArch_INS_XVSRLI_B + "xvsrli_d", // LoongArch_INS_XVSRLI_D + "xvsrli_h", // LoongArch_INS_XVSRLI_H + "xvsrli_w", // LoongArch_INS_XVSRLI_W + "xvsrlni_b_h", // LoongArch_INS_XVSRLNI_B_H + "xvsrlni_d_q", // LoongArch_INS_XVSRLNI_D_Q + "xvsrlni_h_w", // LoongArch_INS_XVSRLNI_H_W + "xvsrlni_w_d", // LoongArch_INS_XVSRLNI_W_D + "xvsrln_b_h", // LoongArch_INS_XVSRLN_B_H + "xvsrln_h_w", // LoongArch_INS_XVSRLN_H_W + "xvsrln_w_d", // LoongArch_INS_XVSRLN_W_D + "xvsrlri_b", // LoongArch_INS_XVSRLRI_B + "xvsrlri_d", // LoongArch_INS_XVSRLRI_D + "xvsrlri_h", // LoongArch_INS_XVSRLRI_H + "xvsrlri_w", // LoongArch_INS_XVSRLRI_W + "xvsrlrni_b_h", // LoongArch_INS_XVSRLRNI_B_H + "xvsrlrni_d_q", // LoongArch_INS_XVSRLRNI_D_Q + "xvsrlrni_h_w", // LoongArch_INS_XVSRLRNI_H_W + "xvsrlrni_w_d", // LoongArch_INS_XVSRLRNI_W_D + "xvsrlrn_b_h", // LoongArch_INS_XVSRLRN_B_H + "xvsrlrn_h_w", // LoongArch_INS_XVSRLRN_H_W + "xvsrlrn_w_d", // LoongArch_INS_XVSRLRN_W_D + "xvsrlr_b", // LoongArch_INS_XVSRLR_B + "xvsrlr_d", // LoongArch_INS_XVSRLR_D + "xvsrlr_h", // LoongArch_INS_XVSRLR_H + "xvsrlr_w", // LoongArch_INS_XVSRLR_W + "xvsrl_b", // LoongArch_INS_XVSRL_B + "xvsrl_d", // LoongArch_INS_XVSRL_D + "xvsrl_h", // LoongArch_INS_XVSRL_H + "xvsrl_w", // LoongArch_INS_XVSRL_W + "xvssrani_bu_h", // LoongArch_INS_XVSSRANI_BU_H + "xvssrani_b_h", // LoongArch_INS_XVSSRANI_B_H + "xvssrani_du_q", // LoongArch_INS_XVSSRANI_DU_Q + "xvssrani_d_q", // LoongArch_INS_XVSSRANI_D_Q + "xvssrani_hu_w", // LoongArch_INS_XVSSRANI_HU_W + "xvssrani_h_w", // LoongArch_INS_XVSSRANI_H_W + "xvssrani_wu_d", // LoongArch_INS_XVSSRANI_WU_D + "xvssrani_w_d", // LoongArch_INS_XVSSRANI_W_D + "xvssran_bu_h", // LoongArch_INS_XVSSRAN_BU_H + "xvssran_b_h", // LoongArch_INS_XVSSRAN_B_H + "xvssran_hu_w", // LoongArch_INS_XVSSRAN_HU_W + "xvssran_h_w", // LoongArch_INS_XVSSRAN_H_W + "xvssran_wu_d", // LoongArch_INS_XVSSRAN_WU_D + "xvssran_w_d", // LoongArch_INS_XVSSRAN_W_D + "xvssrarni_bu_h", // LoongArch_INS_XVSSRARNI_BU_H + "xvssrarni_b_h", // LoongArch_INS_XVSSRARNI_B_H + "xvssrarni_du_q", // LoongArch_INS_XVSSRARNI_DU_Q + "xvssrarni_d_q", // LoongArch_INS_XVSSRARNI_D_Q + "xvssrarni_hu_w", // LoongArch_INS_XVSSRARNI_HU_W + "xvssrarni_h_w", // LoongArch_INS_XVSSRARNI_H_W + "xvssrarni_wu_d", // LoongArch_INS_XVSSRARNI_WU_D + "xvssrarni_w_d", // LoongArch_INS_XVSSRARNI_W_D + "xvssrarn_bu_h", // LoongArch_INS_XVSSRARN_BU_H + "xvssrarn_b_h", // LoongArch_INS_XVSSRARN_B_H + "xvssrarn_hu_w", // LoongArch_INS_XVSSRARN_HU_W + "xvssrarn_h_w", // LoongArch_INS_XVSSRARN_H_W + "xvssrarn_wu_d", // LoongArch_INS_XVSSRARN_WU_D + "xvssrarn_w_d", // LoongArch_INS_XVSSRARN_W_D + "xvssrlni_bu_h", // LoongArch_INS_XVSSRLNI_BU_H + "xvssrlni_b_h", // LoongArch_INS_XVSSRLNI_B_H + "xvssrlni_du_q", // LoongArch_INS_XVSSRLNI_DU_Q + "xvssrlni_d_q", // LoongArch_INS_XVSSRLNI_D_Q + "xvssrlni_hu_w", // LoongArch_INS_XVSSRLNI_HU_W + "xvssrlni_h_w", // LoongArch_INS_XVSSRLNI_H_W + "xvssrlni_wu_d", // LoongArch_INS_XVSSRLNI_WU_D + "xvssrlni_w_d", // LoongArch_INS_XVSSRLNI_W_D + "xvssrln_bu_h", // LoongArch_INS_XVSSRLN_BU_H + "xvssrln_b_h", // LoongArch_INS_XVSSRLN_B_H + "xvssrln_hu_w", // LoongArch_INS_XVSSRLN_HU_W + "xvssrln_h_w", // LoongArch_INS_XVSSRLN_H_W + "xvssrln_wu_d", // LoongArch_INS_XVSSRLN_WU_D + "xvssrln_w_d", // LoongArch_INS_XVSSRLN_W_D + "xvssrlrni_bu_h", // LoongArch_INS_XVSSRLRNI_BU_H + "xvssrlrni_b_h", // LoongArch_INS_XVSSRLRNI_B_H + "xvssrlrni_du_q", // LoongArch_INS_XVSSRLRNI_DU_Q + "xvssrlrni_d_q", // LoongArch_INS_XVSSRLRNI_D_Q + "xvssrlrni_hu_w", // LoongArch_INS_XVSSRLRNI_HU_W + "xvssrlrni_h_w", // LoongArch_INS_XVSSRLRNI_H_W + "xvssrlrni_wu_d", // LoongArch_INS_XVSSRLRNI_WU_D + "xvssrlrni_w_d", // LoongArch_INS_XVSSRLRNI_W_D + "xvssrlrn_bu_h", // LoongArch_INS_XVSSRLRN_BU_H + "xvssrlrn_b_h", // LoongArch_INS_XVSSRLRN_B_H + "xvssrlrn_hu_w", // LoongArch_INS_XVSSRLRN_HU_W + "xvssrlrn_h_w", // LoongArch_INS_XVSSRLRN_H_W + "xvssrlrn_wu_d", // LoongArch_INS_XVSSRLRN_WU_D + "xvssrlrn_w_d", // LoongArch_INS_XVSSRLRN_W_D + "xvssub_b", // LoongArch_INS_XVSSUB_B + "xvssub_bu", // LoongArch_INS_XVSSUB_BU + "xvssub_d", // LoongArch_INS_XVSSUB_D + "xvssub_du", // LoongArch_INS_XVSSUB_DU + "xvssub_h", // LoongArch_INS_XVSSUB_H + "xvssub_hu", // LoongArch_INS_XVSSUB_HU + "xvssub_w", // LoongArch_INS_XVSSUB_W + "xvssub_wu", // LoongArch_INS_XVSSUB_WU + "xvst", // LoongArch_INS_XVST + "xvstelm_b", // LoongArch_INS_XVSTELM_B + "xvstelm_d", // LoongArch_INS_XVSTELM_D + "xvstelm_h", // LoongArch_INS_XVSTELM_H + "xvstelm_w", // LoongArch_INS_XVSTELM_W + "xvstx", // LoongArch_INS_XVSTX + "xvsubi_bu", // LoongArch_INS_XVSUBI_BU + "xvsubi_du", // LoongArch_INS_XVSUBI_DU + "xvsubi_hu", // LoongArch_INS_XVSUBI_HU + "xvsubi_wu", // LoongArch_INS_XVSUBI_WU + "xvsubwev_d_w", // LoongArch_INS_XVSUBWEV_D_W + "xvsubwev_d_wu", // LoongArch_INS_XVSUBWEV_D_WU + "xvsubwev_h_b", // LoongArch_INS_XVSUBWEV_H_B + "xvsubwev_h_bu", // LoongArch_INS_XVSUBWEV_H_BU + "xvsubwev_q_d", // LoongArch_INS_XVSUBWEV_Q_D + "xvsubwev_q_du", // LoongArch_INS_XVSUBWEV_Q_DU + "xvsubwev_w_h", // LoongArch_INS_XVSUBWEV_W_H + "xvsubwev_w_hu", // LoongArch_INS_XVSUBWEV_W_HU + "xvsubwod_d_w", // LoongArch_INS_XVSUBWOD_D_W + "xvsubwod_d_wu", // LoongArch_INS_XVSUBWOD_D_WU + "xvsubwod_h_b", // LoongArch_INS_XVSUBWOD_H_B + "xvsubwod_h_bu", // LoongArch_INS_XVSUBWOD_H_BU + "xvsubwod_q_d", // LoongArch_INS_XVSUBWOD_Q_D + "xvsubwod_q_du", // LoongArch_INS_XVSUBWOD_Q_DU + "xvsubwod_w_h", // LoongArch_INS_XVSUBWOD_W_H + "xvsubwod_w_hu", // LoongArch_INS_XVSUBWOD_W_HU + "xvsub_b", // LoongArch_INS_XVSUB_B + "xvsub_d", // LoongArch_INS_XVSUB_D + "xvsub_h", // LoongArch_INS_XVSUB_H + "xvsub_q", // LoongArch_INS_XVSUB_Q + "xvsub_w", // LoongArch_INS_XVSUB_W + "xvxori_b", // LoongArch_INS_XVXORI_B + "xvxor_v", // LoongArch_INS_XVXOR_V diff --git a/arch/LoongArch/LoongArchGenCSMappingInsnOp.inc b/arch/LoongArch/LoongArchGenCSMappingInsnOp.inc new file mode 100644 index 0000000000..380196e6cd --- /dev/null +++ b/arch/LoongArch/LoongArchGenCSMappingInsnOp.inc @@ -0,0 +1,15134 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + +{{{ /* LoongArch_PHI (0) - LoongArch_INS_INVALID - PHINODE */ + 0 +}}}, +{{{ /* LoongArch_INLINEASM (1) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_INLINEASM_BR (2) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_CFI_INSTRUCTION (3) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_EH_LABEL (4) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_GC_LABEL (5) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_ANNOTATION_LABEL (6) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_KILL (7) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_EXTRACT_SUBREG (8) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_INSERT_SUBREG (9) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_IMPLICIT_DEF (10) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_SUBREG_TO_REG (11) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_COPY_TO_REGCLASS (12) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_DBG_VALUE (13) - LoongArch_INS_INVALID - DBG_VALUE */ + 0 +}}}, +{{{ /* LoongArch_DBG_VALUE_LIST (14) - LoongArch_INS_INVALID - DBG_VALUE_LIST */ + 0 +}}}, +{{{ /* LoongArch_DBG_INSTR_REF (15) - LoongArch_INS_INVALID - DBG_INSTR_REF */ + 0 +}}}, +{{{ /* LoongArch_DBG_PHI (16) - LoongArch_INS_INVALID - DBG_PHI */ + 0 +}}}, +{{{ /* LoongArch_DBG_LABEL (17) - LoongArch_INS_INVALID - DBG_LABEL */ + 0 +}}}, +{{{ /* LoongArch_REG_SEQUENCE (18) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_COPY (19) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_BUNDLE (20) - LoongArch_INS_INVALID - BUNDLE */ + 0 +}}}, +{{{ /* LoongArch_LIFETIME_START (21) - LoongArch_INS_INVALID - LIFETIME_START */ + 0 +}}}, +{{{ /* LoongArch_LIFETIME_END (22) - LoongArch_INS_INVALID - LIFETIME_END */ + 0 +}}}, +{{{ /* LoongArch_PSEUDO_PROBE (23) - LoongArch_INS_INVALID - PSEUDO_PROBE */ + 0 +}}}, +{{{ /* LoongArch_ARITH_FENCE (24) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_STACKMAP (25) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_FENTRY_CALL (26) - LoongArch_INS_INVALID - # FEntry call */ + 0 +}}}, +{{{ /* LoongArch_PATCHPOINT (27) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_LOAD_STACK_GUARD (28) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PREALLOCATED_SETUP (29) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PREALLOCATED_ARG (30) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_STATEPOINT (31) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_LOCAL_ESCAPE (32) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_FAULTING_OP (33) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PATCHABLE_OP (34) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PATCHABLE_FUNCTION_ENTER (35) - LoongArch_INS_INVALID - # XRay Function Enter. */ + 0 +}}}, +{{{ /* LoongArch_PATCHABLE_RET (36) - LoongArch_INS_INVALID - # XRay Function Patchable RET. */ + 0 +}}}, +{{{ /* LoongArch_PATCHABLE_FUNCTION_EXIT (37) - LoongArch_INS_INVALID - # XRay Function Exit. */ + 0 +}}}, +{{{ /* LoongArch_PATCHABLE_TAIL_CALL (38) - LoongArch_INS_INVALID - # XRay Tail Call Exit. */ + 0 +}}}, +{{{ /* LoongArch_PATCHABLE_EVENT_CALL (39) - LoongArch_INS_INVALID - # XRay Custom Event Log. */ + 0 +}}}, +{{{ /* LoongArch_PATCHABLE_TYPED_EVENT_CALL (40) - LoongArch_INS_INVALID - # XRay Typed Event Log. */ + 0 +}}}, +{{{ /* LoongArch_ICALL_BRANCH_FUNNEL (41) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_MEMBARRIER (42) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_JUMP_TABLE_DEBUG_INFO (43) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ASSERT_SEXT (44) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ASSERT_ZEXT (45) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ASSERT_ALIGN (46) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ADD (47) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SUB (48) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_MUL (49) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SDIV (50) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UDIV (51) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SREM (52) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UREM (53) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SDIVREM (54) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UDIVREM (55) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_AND (56) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_OR (57) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_XOR (58) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_IMPLICIT_DEF (59) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_PHI (60) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FRAME_INDEX (61) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_GLOBAL_VALUE (62) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CONSTANT_POOL (63) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_EXTRACT (64) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UNMERGE_VALUES (65) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INSERT (66) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_MERGE_VALUES (67) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BUILD_VECTOR (68) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BUILD_VECTOR_TRUNC (69) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CONCAT_VECTORS (70) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_PTRTOINT (71) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTTOPTR (72) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BITCAST (73) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FREEZE (74) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CONSTANT_FOLD_BARRIER (75) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC_FPTRUNC_ROUND (76) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC_TRUNC (77) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC_ROUND (78) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC_LRINT (79) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC_ROUNDEVEN (80) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_READCYCLECOUNTER (81) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_LOAD (82) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SEXTLOAD (83) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ZEXTLOAD (84) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INDEXED_LOAD (85) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INDEXED_SEXTLOAD (86) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INDEXED_ZEXTLOAD (87) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STORE (88) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INDEXED_STORE (89) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMIC_CMPXCHG_WITH_SUCCESS (90) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMIC_CMPXCHG (91) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_XCHG (92) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_ADD (93) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_SUB (94) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_AND (95) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_NAND (96) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_OR (97) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_XOR (98) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_MAX (99) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_MIN (100) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_UMAX (101) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_UMIN (102) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_FADD (103) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_FSUB (104) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_FMAX (105) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_FMIN (106) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_UINC_WRAP (107) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ATOMICRMW_UDEC_WRAP (108) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FENCE (109) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_PREFETCH (110) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BRCOND (111) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BRINDIRECT (112) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INVOKE_REGION_START (113) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC (114) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC_W_SIDE_EFFECTS (115) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC_CONVERGENT (116) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INTRINSIC_CONVERGENT_W_SIDE_EFFECTS (117) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ANYEXT (118) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_TRUNC (119) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CONSTANT (120) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FCONSTANT (121) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VASTART (122) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VAARG (123) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SEXT (124) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SEXT_INREG (125) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ZEXT (126) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SHL (127) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_LSHR (128) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ASHR (129) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FSHL (130) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FSHR (131) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ROTR (132) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ROTL (133) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ICMP (134) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FCMP (135) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SELECT (136) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UADDO (137) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UADDE (138) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_USUBO (139) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_USUBE (140) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SADDO (141) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SADDE (142) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SSUBO (143) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SSUBE (144) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UMULO (145) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SMULO (146) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UMULH (147) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SMULH (148) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UADDSAT (149) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SADDSAT (150) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_USUBSAT (151) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SSUBSAT (152) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_USHLSAT (153) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SSHLSAT (154) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SMULFIX (155) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UMULFIX (156) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SMULFIXSAT (157) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UMULFIXSAT (158) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SDIVFIX (159) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UDIVFIX (160) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SDIVFIXSAT (161) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UDIVFIXSAT (162) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FADD (163) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FSUB (164) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMUL (165) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMA (166) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMAD (167) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FDIV (168) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FREM (169) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FPOW (170) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FPOWI (171) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FEXP (172) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FEXP2 (173) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FEXP10 (174) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FLOG (175) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FLOG2 (176) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FLOG10 (177) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FLDEXP (178) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FFREXP (179) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FNEG (180) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FPEXT (181) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FPTRUNC (182) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FPTOSI (183) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FPTOUI (184) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SITOFP (185) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UITOFP (186) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FABS (187) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FCOPYSIGN (188) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_IS_FPCLASS (189) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FCANONICALIZE (190) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMINNUM (191) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMAXNUM (192) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMINNUM_IEEE (193) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMAXNUM_IEEE (194) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMINIMUM (195) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FMAXIMUM (196) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_GET_FPENV (197) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SET_FPENV (198) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_RESET_FPENV (199) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_GET_FPMODE (200) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SET_FPMODE (201) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_RESET_FPMODE (202) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_PTR_ADD (203) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_PTRMASK (204) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SMIN (205) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SMAX (206) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UMIN (207) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UMAX (208) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ABS (209) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_LROUND (210) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_LLROUND (211) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BR (212) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BRJT (213) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_INSERT_VECTOR_ELT (214) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_EXTRACT_VECTOR_ELT (215) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SHUFFLE_VECTOR (216) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CTTZ (217) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CTTZ_ZERO_UNDEF (218) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CTLZ (219) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CTLZ_ZERO_UNDEF (220) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_CTPOP (221) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BSWAP (222) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BITREVERSE (223) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FCEIL (224) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FCOS (225) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FSIN (226) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FSQRT (227) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FFLOOR (228) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FRINT (229) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_FNEARBYINT (230) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_ADDRSPACE_CAST (231) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BLOCK_ADDR (232) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_JUMP_TABLE (233) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_DYN_STACKALLOC (234) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STACKSAVE (235) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STACKRESTORE (236) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STRICT_FADD (237) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STRICT_FSUB (238) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STRICT_FMUL (239) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STRICT_FDIV (240) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STRICT_FREM (241) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STRICT_FMA (242) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STRICT_FSQRT (243) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_STRICT_FLDEXP (244) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_READ_REGISTER (245) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_WRITE_REGISTER (246) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_MEMCPY (247) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_MEMCPY_INLINE (248) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_MEMMOVE (249) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_MEMSET (250) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_BZERO (251) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_SEQ_FADD (252) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_SEQ_FMUL (253) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_FADD (254) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_FMUL (255) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_FMAX (256) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_FMIN (257) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_FMAXIMUM (258) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_FMINIMUM (259) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_ADD (260) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_MUL (261) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_AND (262) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_OR (263) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_XOR (264) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_SMAX (265) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_SMIN (266) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_UMAX (267) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_VECREDUCE_UMIN (268) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_SBFX (269) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_G_UBFX (270) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_ADJCALLSTACKDOWN (271) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_ADJCALLSTACKUP (272) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicLoadAdd32 (273) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicLoadAnd32 (274) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicLoadNand32 (275) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicLoadNand64 (276) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicLoadOr32 (277) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicLoadSub32 (278) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicLoadXor32 (279) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicStoreD (280) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicStoreW (281) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoAtomicSwap32 (282) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoBR (283) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoBRIND (284) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoB_TAIL (285) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoCALL (286) - LoongArch_INS_INVALID - */ + 0 +}}}, +{ /* LoongArch_PseudoCALL36 (287) - LoongArch_INS_CALL36 - call36 $dst */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { 0 } +}}, +{{{ /* LoongArch_PseudoCALLIndirect (288) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoCALL_LARGE (289) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoCALL_MEDIUM (290) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoCmpXchg32 (291) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoCmpXchg64 (292) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoCopyCFR (293) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoJIRL_CALL (294) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoJIRL_TAIL (295) - LoongArch_INS_INVALID - */ + 0 +}}}, +{ /* LoongArch_PseudoLA_ABS (296) - LoongArch_INS_LA_ABS - la.abs $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_ABS_LARGE (297) - LoongArch_INS_LA_ABS - la.abs $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* tmp */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_GOT (298) - LoongArch_INS_LA_GOT - la.got $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_GOT_LARGE (299) - LoongArch_INS_LA_GOT - la.got $dst, $tmp, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* tmp */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_PCREL (300) - LoongArch_INS_LA_PCREL - la.pcrel $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_PCREL_LARGE (301) - LoongArch_INS_LA_PCREL - la.pcrel $dst, $tmp, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* tmp */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_TLS_GD (302) - LoongArch_INS_LA_TLS_GD - la.tls.gd $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_TLS_GD_LARGE (303) - LoongArch_INS_LA_TLS_GD - la.tls.gd $dst, $tmp, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* tmp */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_TLS_IE (304) - LoongArch_INS_LA_TLS_IE - la.tls.ie $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_TLS_IE_LARGE (305) - LoongArch_INS_LA_TLS_IE - la.tls.ie $dst, $tmp, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* tmp */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_TLS_LD (306) - LoongArch_INS_LA_TLS_LD - la.tls.ld $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_TLS_LD_LARGE (307) - LoongArch_INS_LA_TLS_LD - la.tls.ld $dst, $tmp, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* tmp */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_PseudoLA_TLS_LE (308) - LoongArch_INS_LA_TLS_LE - la.tls.le $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{{{ /* LoongArch_PseudoLD_CFR (309) - LoongArch_INS_INVALID - */ + 0 +}}}, +{ /* LoongArch_PseudoLI_D (310) - LoongArch_INS_LI_D - li.d $rd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_INVALID, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{ /* LoongArch_PseudoLI_W (311) - LoongArch_INS_LI_W - li.w $rd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{{{ /* LoongArch_PseudoMaskedAtomicLoadAdd32 (312) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoMaskedAtomicLoadMax32 (313) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoMaskedAtomicLoadMin32 (314) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoMaskedAtomicLoadNand32 (315) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoMaskedAtomicLoadSub32 (316) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoMaskedAtomicLoadUMax32 (317) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoMaskedAtomicLoadUMin32 (318) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoMaskedAtomicSwap32 (319) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoMaskedCmpXchg32 (320) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoRET (321) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoST_CFR (322) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoTAIL (323) - LoongArch_INS_INVALID - */ + 0 +}}}, +{ /* LoongArch_PseudoTAIL36 (324) - LoongArch_INS_TAIL36 - tail36 $tmp, $dst */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* tmp */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { 0 } +}}, +{{{ /* LoongArch_PseudoTAILIndirect (325) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoTAIL_LARGE (326) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoTAIL_MEDIUM (327) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoUNIMP (328) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBNZ (329) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBNZ_B (330) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBNZ_D (331) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBNZ_H (332) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBNZ_W (333) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBZ (334) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBZ_B (335) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBZ_D (336) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBZ_H (337) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoVBZ_W (338) - LoongArch_INS_INVALID - */ + 0 +}}}, +{ /* LoongArch_PseudoVREPLI_B (339) - LoongArch_INS_VREPLI_B - vrepli.b $vd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{ /* LoongArch_PseudoVREPLI_D (340) - LoongArch_INS_VREPLI_D - vrepli.d $vd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{ /* LoongArch_PseudoVREPLI_H (341) - LoongArch_INS_VREPLI_H - vrepli.h $vd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{ /* LoongArch_PseudoVREPLI_W (342) - LoongArch_INS_VREPLI_W - vrepli.w $vd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{{{ /* LoongArch_PseudoXVBNZ (343) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBNZ_B (344) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBNZ_D (345) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBNZ_H (346) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBNZ_W (347) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBZ (348) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBZ_B (349) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBZ_D (350) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBZ_H (351) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVBZ_W (352) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVINSGR2VR_B (353) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_PseudoXVINSGR2VR_H (354) - LoongArch_INS_INVALID - */ + 0 +}}}, +{ /* LoongArch_PseudoXVREPLI_B (355) - LoongArch_INS_XVREPLI_B - xvrepli.b $xd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{ /* LoongArch_PseudoXVREPLI_D (356) - LoongArch_INS_XVREPLI_D - xvrepli.d $xd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{ /* LoongArch_PseudoXVREPLI_H (357) - LoongArch_INS_XVREPLI_H - xvrepli.h $xd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{ /* LoongArch_PseudoXVREPLI_W (358) - LoongArch_INS_XVREPLI_W - xvrepli.w $xd, $imm */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm */ + { 0 } +}}, +{{{ /* LoongArch_RDFCSR (359) - LoongArch_INS_INVALID - */ + 0 +}}}, +{{{ /* LoongArch_WRFCSR (360) - LoongArch_INS_INVALID - */ + 0 +}}}, +{ /* LoongArch_ADC_B (361) - LoongArch_INS_ADC_B - adc.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ADC_D (362) - LoongArch_INS_ADC_D - adc.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ADC_H (363) - LoongArch_INS_ADC_H - adc.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ADC_W (364) - LoongArch_INS_ADC_W - adc.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ADDI_D (365) - LoongArch_INS_ADDI_D - addi.d $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_ADDI_W (366) - LoongArch_INS_ADDI_W - addi.w $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_ADDU12I_D (367) - LoongArch_INS_ADDU12I_D - addu12i.d $rd, $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_ADDU12I_W (368) - LoongArch_INS_ADDU12I_W - addu12i.w $rd, $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_ADDU16I_D (369) - LoongArch_INS_ADDU16I_D - addu16i.d $rd, $rj, $imm16 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm16 */ + { 0 } +}}, +{ /* LoongArch_ADD_D (370) - LoongArch_INS_ADD_D - add.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ADD_W (371) - LoongArch_INS_ADD_W - add.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ALSL_D (372) - LoongArch_INS_ALSL_D - alsl.d $rd, $rj, $rk, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_ALSL_W (373) - LoongArch_INS_ALSL_W - alsl.w $rd, $rj, $rk, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_ALSL_WU (374) - LoongArch_INS_ALSL_WU - alsl.wu $rd, $rj, $rk, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_AMADD_B (375) - LoongArch_INS_AMADD_B - amadd.b $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMADD_D (376) - LoongArch_INS_AMADD_D - amadd.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMADD_H (377) - LoongArch_INS_AMADD_H - amadd.h $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMADD_W (378) - LoongArch_INS_AMADD_W - amadd.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMADD__DB_B (379) - LoongArch_INS_AMADD_DB_B - amadd_db.b $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMADD__DB_D (380) - LoongArch_INS_AMADD_DB_D - amadd_db.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMADD__DB_H (381) - LoongArch_INS_AMADD_DB_H - amadd_db.h $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMADD__DB_W (382) - LoongArch_INS_AMADD_DB_W - amadd_db.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMAND_D (383) - LoongArch_INS_AMAND_D - amand.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMAND_W (384) - LoongArch_INS_AMAND_W - amand.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMAND__DB_D (385) - LoongArch_INS_AMAND_DB_D - amand_db.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMAND__DB_W (386) - LoongArch_INS_AMAND_DB_W - amand_db.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMCAS_B (387) - LoongArch_INS_AMCAS_B - amcas.b $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMCAS_D (388) - LoongArch_INS_AMCAS_D - amcas.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMCAS_H (389) - LoongArch_INS_AMCAS_H - amcas.h $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMCAS_W (390) - LoongArch_INS_AMCAS_W - amcas.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMCAS__DB_B (391) - LoongArch_INS_AMCAS_DB_B - amcas_db.b $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMCAS__DB_D (392) - LoongArch_INS_AMCAS_DB_D - amcas_db.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMCAS__DB_H (393) - LoongArch_INS_AMCAS_DB_H - amcas_db.h $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMCAS__DB_W (394) - LoongArch_INS_AMCAS_DB_W - amcas_db.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMAX_D (395) - LoongArch_INS_AMMAX_D - ammax.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMAX_DU (396) - LoongArch_INS_AMMAX_DU - ammax.du $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMAX_W (397) - LoongArch_INS_AMMAX_W - ammax.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMAX_WU (398) - LoongArch_INS_AMMAX_WU - ammax.wu $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMAX__DB_D (399) - LoongArch_INS_AMMAX_DB_D - ammax_db.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMAX__DB_DU (400) - LoongArch_INS_AMMAX_DB_DU - ammax_db.du $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMAX__DB_W (401) - LoongArch_INS_AMMAX_DB_W - ammax_db.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMAX__DB_WU (402) - LoongArch_INS_AMMAX_DB_WU - ammax_db.wu $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMIN_D (403) - LoongArch_INS_AMMIN_D - ammin.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMIN_DU (404) - LoongArch_INS_AMMIN_DU - ammin.du $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMIN_W (405) - LoongArch_INS_AMMIN_W - ammin.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMIN_WU (406) - LoongArch_INS_AMMIN_WU - ammin.wu $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMIN__DB_D (407) - LoongArch_INS_AMMIN_DB_D - ammin_db.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMIN__DB_DU (408) - LoongArch_INS_AMMIN_DB_DU - ammin_db.du $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMIN__DB_W (409) - LoongArch_INS_AMMIN_DB_W - ammin_db.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMMIN__DB_WU (410) - LoongArch_INS_AMMIN_DB_WU - ammin_db.wu $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMOR_D (411) - LoongArch_INS_AMOR_D - amor.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMOR_W (412) - LoongArch_INS_AMOR_W - amor.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMOR__DB_D (413) - LoongArch_INS_AMOR_DB_D - amor_db.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMOR__DB_W (414) - LoongArch_INS_AMOR_DB_W - amor_db.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMSWAP_B (415) - LoongArch_INS_AMSWAP_B - amswap.b $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMSWAP_D (416) - LoongArch_INS_AMSWAP_D - amswap.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMSWAP_H (417) - LoongArch_INS_AMSWAP_H - amswap.h $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMSWAP_W (418) - LoongArch_INS_AMSWAP_W - amswap.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMSWAP__DB_B (419) - LoongArch_INS_AMSWAP_DB_B - amswap_db.b $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMSWAP__DB_D (420) - LoongArch_INS_AMSWAP_DB_D - amswap_db.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMSWAP__DB_H (421) - LoongArch_INS_AMSWAP_DB_H - amswap_db.h $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMSWAP__DB_W (422) - LoongArch_INS_AMSWAP_DB_W - amswap_db.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMXOR_D (423) - LoongArch_INS_AMXOR_D - amxor.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMXOR_W (424) - LoongArch_INS_AMXOR_W - amxor.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMXOR__DB_D (425) - LoongArch_INS_AMXOR_DB_D - amxor_db.d $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AMXOR__DB_W (426) - LoongArch_INS_AMXOR_DB_W - amxor_db.w $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_AND (427) - LoongArch_INS_AND - and $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ANDI (428) - LoongArch_INS_ANDI - andi $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_ANDN (429) - LoongArch_INS_ANDN - andn $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ARMADC_W (430) - LoongArch_INS_ARMADC_W - armadc.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMADD_W (431) - LoongArch_INS_ARMADD_W - armadd.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMAND_W (432) - LoongArch_INS_ARMAND_W - armand.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMMFFLAG (433) - LoongArch_INS_ARMMFFLAG - armmfflag $rd, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_ARMMOVE (434) - LoongArch_INS_ARMMOVE - armmove $rd, $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMMOV_D (435) - LoongArch_INS_ARMMOV_D - armmov.d $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMMOV_W (436) - LoongArch_INS_ARMMOV_W - armmov.w $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMMTFLAG (437) - LoongArch_INS_ARMMTFLAG - armmtflag $rd, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_ARMNOT_W (438) - LoongArch_INS_ARMNOT_W - armnot.w $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMOR_W (439) - LoongArch_INS_ARMOR_W - armor.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMROTRI_W (440) - LoongArch_INS_ARMROTRI_W - armrotri.w $rj, $imm5, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMROTR_W (441) - LoongArch_INS_ARMROTR_W - armrotr.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMRRX_W (442) - LoongArch_INS_ARMRRX_W - armrrx.w $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMSBC_W (443) - LoongArch_INS_ARMSBC_W - armsbc.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMSLLI_W (444) - LoongArch_INS_ARMSLLI_W - armslli.w $rj, $imm5, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMSLL_W (445) - LoongArch_INS_ARMSLL_W - armsll.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMSRAI_W (446) - LoongArch_INS_ARMSRAI_W - armsrai.w $rj, $imm5, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMSRA_W (447) - LoongArch_INS_ARMSRA_W - armsra.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMSRLI_W (448) - LoongArch_INS_ARMSRLI_W - armsrli.w $rj, $imm5, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMSRL_W (449) - LoongArch_INS_ARMSRL_W - armsrl.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMSUB_W (450) - LoongArch_INS_ARMSUB_W - armsub.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ARMXOR_W (451) - LoongArch_INS_ARMXOR_W - armxor.w $rj, $rk, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ASRTGT_D (452) - LoongArch_INS_ASRTGT_D - asrtgt.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ASRTLE_D (453) - LoongArch_INS_ASRTLE_D - asrtle.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_B (454) - LoongArch_INS_B - b $imm26 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm26 */ + { 0 } +}}, +{ /* LoongArch_BCEQZ (455) - LoongArch_INS_BCEQZ - bceqz $cj, $imm21 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm21 */ + { 0 } +}}, +{ /* LoongArch_BCNEZ (456) - LoongArch_INS_BCNEZ - bcnez $cj, $imm21 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm21 */ + { 0 } +}}, +{ /* LoongArch_BEQ (457) - LoongArch_INS_BEQ - beq $rj, $rd, $imm16 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm16 */ + { 0 } +}}, +{ /* LoongArch_BEQZ (458) - LoongArch_INS_BEQZ - beqz $rj, $imm21 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm21 */ + { 0 } +}}, +{ /* LoongArch_BGE (459) - LoongArch_INS_BGE - bge $rj, $rd, $imm16 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm16 */ + { 0 } +}}, +{ /* LoongArch_BGEU (460) - LoongArch_INS_BGEU - bgeu $rj, $rd, $imm16 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm16 */ + { 0 } +}}, +{ /* LoongArch_BITREV_4B (461) - LoongArch_INS_BITREV_4B - bitrev.4b $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_BITREV_8B (462) - LoongArch_INS_BITREV_8B - bitrev.8b $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_BITREV_D (463) - LoongArch_INS_BITREV_D - bitrev.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_BITREV_W (464) - LoongArch_INS_BITREV_W - bitrev.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_BL (465) - LoongArch_INS_BL - bl $imm26 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm26 */ + { 0 } +}}, +{ /* LoongArch_BLT (466) - LoongArch_INS_BLT - blt $rj, $rd, $imm16 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm16 */ + { 0 } +}}, +{ /* LoongArch_BLTU (467) - LoongArch_INS_BLTU - bltu $rj, $rd, $imm16 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm16 */ + { 0 } +}}, +{ /* LoongArch_BNE (468) - LoongArch_INS_BNE - bne $rj, $rd, $imm16 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm16 */ + { 0 } +}}, +{ /* LoongArch_BNEZ (469) - LoongArch_INS_BNEZ - bnez $rj, $imm21 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm21 */ + { 0 } +}}, +{ /* LoongArch_BREAK (470) - LoongArch_INS_BREAK - break $imm15 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm15 */ + { 0 } +}}, +{ /* LoongArch_BSTRINS_D (471) - LoongArch_INS_BSTRINS_D - bstrins.d $rd, $rj, $msbd, $lsbd */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* msbd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* lsbd */ + { 0 } +}}, +{ /* LoongArch_BSTRINS_W (472) - LoongArch_INS_BSTRINS_W - bstrins.w $rd, $rj, $msbw, $lsbw */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* msbw */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* lsbw */ + { 0 } +}}, +{ /* LoongArch_BSTRPICK_D (473) - LoongArch_INS_BSTRPICK_D - bstrpick.d $rd, $rj, $msbd, $lsbd */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* msbd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* lsbd */ + { 0 } +}}, +{ /* LoongArch_BSTRPICK_W (474) - LoongArch_INS_BSTRPICK_W - bstrpick.w $rd, $rj, $msbw, $lsbw */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* msbw */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* lsbw */ + { 0 } +}}, +{ /* LoongArch_BYTEPICK_D (475) - LoongArch_INS_BYTEPICK_D - bytepick.d $rd, $rj, $rk, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_BYTEPICK_W (476) - LoongArch_INS_BYTEPICK_W - bytepick.w $rd, $rj, $rk, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_CACOP (477) - LoongArch_INS_CACOP - cacop $op, $rj, $imm12 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* op */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_CLO_D (478) - LoongArch_INS_CLO_D - clo.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_CLO_W (479) - LoongArch_INS_CLO_W - clo.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_CLZ_D (480) - LoongArch_INS_CLZ_D - clz.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_CLZ_W (481) - LoongArch_INS_CLZ_W - clz.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_CPUCFG (482) - LoongArch_INS_CPUCFG - cpucfg $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_CRCC_W_B_W (483) - LoongArch_INS_CRCC_W_B_W - crcc.w.b.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_CRCC_W_D_W (484) - LoongArch_INS_CRCC_W_D_W - crcc.w.d.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_CRCC_W_H_W (485) - LoongArch_INS_CRCC_W_H_W - crcc.w.h.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_CRCC_W_W_W (486) - LoongArch_INS_CRCC_W_W_W - crcc.w.w.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_CRC_W_B_W (487) - LoongArch_INS_CRC_W_B_W - crc.w.b.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_CRC_W_D_W (488) - LoongArch_INS_CRC_W_D_W - crc.w.d.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_CRC_W_H_W (489) - LoongArch_INS_CRC_W_H_W - crc.w.h.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_CRC_W_W_W (490) - LoongArch_INS_CRC_W_W_W - crc.w.w.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_CSRRD (491) - LoongArch_INS_CSRRD - csrrd $rd, $csr_num */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* csr_num */ + { 0 } +}}, +{ /* LoongArch_CSRWR (492) - LoongArch_INS_CSRWR - csrwr $rd, $csr_num */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* csr_num */ + { 0 } +}}, +{ /* LoongArch_CSRXCHG (493) - LoongArch_INS_CSRXCHG - csrxchg $rd, $rj, $csr_num */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* csr_num */ + { 0 } +}}, +{ /* LoongArch_CTO_D (494) - LoongArch_INS_CTO_D - cto.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_CTO_W (495) - LoongArch_INS_CTO_W - cto.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_CTZ_D (496) - LoongArch_INS_CTZ_D - ctz.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_CTZ_W (497) - LoongArch_INS_CTZ_W - ctz.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_DBAR (498) - LoongArch_INS_DBAR - dbar $imm15 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm15 */ + { 0 } +}}, +{ /* LoongArch_DBCL (499) - LoongArch_INS_DBCL - dbcl $imm15 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm15 */ + { 0 } +}}, +{ /* LoongArch_DIV_D (500) - LoongArch_INS_DIV_D - div.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_DIV_DU (501) - LoongArch_INS_DIV_DU - div.du $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_DIV_W (502) - LoongArch_INS_DIV_W - div.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_DIV_WU (503) - LoongArch_INS_DIV_WU - div.wu $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ERTN (504) - LoongArch_INS_ERTN - ertn */ +{ + { 0 } +}}, +{ /* LoongArch_EXT_W_B (505) - LoongArch_INS_EXT_W_B - ext.w.b $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_EXT_W_H (506) - LoongArch_INS_EXT_W_H - ext.w.h $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_FABS_D (507) - LoongArch_INS_FABS_D - fabs.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FABS_S (508) - LoongArch_INS_FABS_S - fabs.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FADD_D (509) - LoongArch_INS_FADD_D - fadd.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FADD_S (510) - LoongArch_INS_FADD_S - fadd.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCLASS_D (511) - LoongArch_INS_FCLASS_D - fclass.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FCLASS_S (512) - LoongArch_INS_FCLASS_S - fclass.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FCMP_CAF_D (513) - LoongArch_INS_FCMP_CAF_D - fcmp.caf.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CAF_S (514) - LoongArch_INS_FCMP_CAF_S - fcmp.caf.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CEQ_D (515) - LoongArch_INS_FCMP_CEQ_D - fcmp.ceq.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CEQ_S (516) - LoongArch_INS_FCMP_CEQ_S - fcmp.ceq.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CLE_D (517) - LoongArch_INS_FCMP_CLE_D - fcmp.cle.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CLE_S (518) - LoongArch_INS_FCMP_CLE_S - fcmp.cle.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CLT_D (519) - LoongArch_INS_FCMP_CLT_D - fcmp.clt.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CLT_S (520) - LoongArch_INS_FCMP_CLT_S - fcmp.clt.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CNE_D (521) - LoongArch_INS_FCMP_CNE_D - fcmp.cne.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CNE_S (522) - LoongArch_INS_FCMP_CNE_S - fcmp.cne.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_COR_D (523) - LoongArch_INS_FCMP_COR_D - fcmp.cor.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_COR_S (524) - LoongArch_INS_FCMP_COR_S - fcmp.cor.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CUEQ_D (525) - LoongArch_INS_FCMP_CUEQ_D - fcmp.cueq.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CUEQ_S (526) - LoongArch_INS_FCMP_CUEQ_S - fcmp.cueq.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CULE_D (527) - LoongArch_INS_FCMP_CULE_D - fcmp.cule.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CULE_S (528) - LoongArch_INS_FCMP_CULE_S - fcmp.cule.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CULT_D (529) - LoongArch_INS_FCMP_CULT_D - fcmp.cult.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CULT_S (530) - LoongArch_INS_FCMP_CULT_S - fcmp.cult.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CUNE_D (531) - LoongArch_INS_FCMP_CUNE_D - fcmp.cune.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CUNE_S (532) - LoongArch_INS_FCMP_CUNE_S - fcmp.cune.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CUN_D (533) - LoongArch_INS_FCMP_CUN_D - fcmp.cun.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_CUN_S (534) - LoongArch_INS_FCMP_CUN_S - fcmp.cun.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SAF_D (535) - LoongArch_INS_FCMP_SAF_D - fcmp.saf.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SAF_S (536) - LoongArch_INS_FCMP_SAF_S - fcmp.saf.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SEQ_D (537) - LoongArch_INS_FCMP_SEQ_D - fcmp.seq.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SEQ_S (538) - LoongArch_INS_FCMP_SEQ_S - fcmp.seq.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SLE_D (539) - LoongArch_INS_FCMP_SLE_D - fcmp.sle.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SLE_S (540) - LoongArch_INS_FCMP_SLE_S - fcmp.sle.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SLT_D (541) - LoongArch_INS_FCMP_SLT_D - fcmp.slt.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SLT_S (542) - LoongArch_INS_FCMP_SLT_S - fcmp.slt.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SNE_D (543) - LoongArch_INS_FCMP_SNE_D - fcmp.sne.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SNE_S (544) - LoongArch_INS_FCMP_SNE_S - fcmp.sne.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SOR_D (545) - LoongArch_INS_FCMP_SOR_D - fcmp.sor.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SOR_S (546) - LoongArch_INS_FCMP_SOR_S - fcmp.sor.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SUEQ_D (547) - LoongArch_INS_FCMP_SUEQ_D - fcmp.sueq.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SUEQ_S (548) - LoongArch_INS_FCMP_SUEQ_S - fcmp.sueq.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SULE_D (549) - LoongArch_INS_FCMP_SULE_D - fcmp.sule.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SULE_S (550) - LoongArch_INS_FCMP_SULE_S - fcmp.sule.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SULT_D (551) - LoongArch_INS_FCMP_SULT_D - fcmp.sult.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SULT_S (552) - LoongArch_INS_FCMP_SULT_S - fcmp.sult.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SUNE_D (553) - LoongArch_INS_FCMP_SUNE_D - fcmp.sune.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SUNE_S (554) - LoongArch_INS_FCMP_SUNE_S - fcmp.sune.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SUN_D (555) - LoongArch_INS_FCMP_SUN_D - fcmp.sun.d $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCMP_SUN_S (556) - LoongArch_INS_FCMP_SUN_S - fcmp.sun.s $cd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCOPYSIGN_D (557) - LoongArch_INS_FCOPYSIGN_D - fcopysign.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCOPYSIGN_S (558) - LoongArch_INS_FCOPYSIGN_S - fcopysign.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCVT_D_LD (559) - LoongArch_INS_FCVT_D_LD - fcvt.d.ld $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FCVT_D_S (560) - LoongArch_INS_FCVT_D_S - fcvt.d.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FCVT_LD_D (561) - LoongArch_INS_FCVT_LD_D - fcvt.ld.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FCVT_S_D (562) - LoongArch_INS_FCVT_S_D - fcvt.s.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FCVT_UD_D (563) - LoongArch_INS_FCVT_UD_D - fcvt.ud.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FDIV_D (564) - LoongArch_INS_FDIV_D - fdiv.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FDIV_S (565) - LoongArch_INS_FDIV_S - fdiv.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FFINT_D_L (566) - LoongArch_INS_FFINT_D_L - ffint.d.l $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FFINT_D_W (567) - LoongArch_INS_FFINT_D_W - ffint.d.w $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FFINT_S_L (568) - LoongArch_INS_FFINT_S_L - ffint.s.l $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FFINT_S_W (569) - LoongArch_INS_FFINT_S_W - ffint.s.w $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FLDGT_D (570) - LoongArch_INS_FLDGT_D - fldgt.d $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FLDGT_S (571) - LoongArch_INS_FLDGT_S - fldgt.s $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FLDLE_D (572) - LoongArch_INS_FLDLE_D - fldle.d $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FLDLE_S (573) - LoongArch_INS_FLDLE_S - fldle.s $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FLDX_D (574) - LoongArch_INS_FLDX_D - fldx.d $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FLDX_S (575) - LoongArch_INS_FLDX_S - fldx.s $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FLD_D (576) - LoongArch_INS_FLD_D - fld.d $fd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_FLD_S (577) - LoongArch_INS_FLD_S - fld.s $fd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_FLOGB_D (578) - LoongArch_INS_FLOGB_D - flogb.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FLOGB_S (579) - LoongArch_INS_FLOGB_S - flogb.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FMADD_D (580) - LoongArch_INS_FMADD_D - fmadd.d $fd, $fj, $fk, $fa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fa */ + { 0 } +}}, +{ /* LoongArch_FMADD_S (581) - LoongArch_INS_FMADD_S - fmadd.s $fd, $fj, $fk, $fa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fa */ + { 0 } +}}, +{ /* LoongArch_FMAXA_D (582) - LoongArch_INS_FMAXA_D - fmaxa.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMAXA_S (583) - LoongArch_INS_FMAXA_S - fmaxa.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMAX_D (584) - LoongArch_INS_FMAX_D - fmax.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMAX_S (585) - LoongArch_INS_FMAX_S - fmax.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMINA_D (586) - LoongArch_INS_FMINA_D - fmina.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMINA_S (587) - LoongArch_INS_FMINA_S - fmina.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMIN_D (588) - LoongArch_INS_FMIN_D - fmin.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMIN_S (589) - LoongArch_INS_FMIN_S - fmin.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMOV_D (590) - LoongArch_INS_FMOV_D - fmov.d $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_FMOV_S (591) - LoongArch_INS_FMOV_S - fmov.s $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_FMSUB_D (592) - LoongArch_INS_FMSUB_D - fmsub.d $fd, $fj, $fk, $fa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fa */ + { 0 } +}}, +{ /* LoongArch_FMSUB_S (593) - LoongArch_INS_FMSUB_S - fmsub.s $fd, $fj, $fk, $fa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fa */ + { 0 } +}}, +{ /* LoongArch_FMUL_D (594) - LoongArch_INS_FMUL_D - fmul.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FMUL_S (595) - LoongArch_INS_FMUL_S - fmul.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FNEG_D (596) - LoongArch_INS_FNEG_D - fneg.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FNEG_S (597) - LoongArch_INS_FNEG_S - fneg.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FNMADD_D (598) - LoongArch_INS_FNMADD_D - fnmadd.d $fd, $fj, $fk, $fa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fa */ + { 0 } +}}, +{ /* LoongArch_FNMADD_S (599) - LoongArch_INS_FNMADD_S - fnmadd.s $fd, $fj, $fk, $fa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fa */ + { 0 } +}}, +{ /* LoongArch_FNMSUB_D (600) - LoongArch_INS_FNMSUB_D - fnmsub.d $fd, $fj, $fk, $fa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fa */ + { 0 } +}}, +{ /* LoongArch_FNMSUB_S (601) - LoongArch_INS_FNMSUB_S - fnmsub.s $fd, $fj, $fk, $fa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fa */ + { 0 } +}}, +{ /* LoongArch_FRECIPE_D (602) - LoongArch_INS_FRECIPE_D - frecipe.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRECIPE_S (603) - LoongArch_INS_FRECIPE_S - frecipe.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRECIP_D (604) - LoongArch_INS_FRECIP_D - frecip.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRECIP_S (605) - LoongArch_INS_FRECIP_S - frecip.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRINT_D (606) - LoongArch_INS_FRINT_D - frint.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRINT_S (607) - LoongArch_INS_FRINT_S - frint.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRSQRTE_D (608) - LoongArch_INS_FRSQRTE_D - frsqrte.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRSQRTE_S (609) - LoongArch_INS_FRSQRTE_S - frsqrte.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRSQRT_D (610) - LoongArch_INS_FRSQRT_D - frsqrt.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FRSQRT_S (611) - LoongArch_INS_FRSQRT_S - frsqrt.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FSCALEB_D (612) - LoongArch_INS_FSCALEB_D - fscaleb.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FSCALEB_S (613) - LoongArch_INS_FSCALEB_S - fscaleb.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{{{ /* LoongArch_FSEL_xD (614) - LoongArch_INS_INVALID - fsel $fd, $fj, $fk, $ca */ + 0 +}}}, +{ /* LoongArch_FSEL_xS (615) - LoongArch_INS_FSEL - fsel $fd, $fj, $fk, $ca */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* ca */ + { 0 } +}}, +{ /* LoongArch_FSQRT_D (616) - LoongArch_INS_FSQRT_D - fsqrt.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FSQRT_S (617) - LoongArch_INS_FSQRT_S - fsqrt.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FSTGT_D (618) - LoongArch_INS_FSTGT_D - fstgt.d $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FSTGT_S (619) - LoongArch_INS_FSTGT_S - fstgt.s $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FSTLE_D (620) - LoongArch_INS_FSTLE_D - fstle.d $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FSTLE_S (621) - LoongArch_INS_FSTLE_S - fstle.s $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FSTX_D (622) - LoongArch_INS_FSTX_D - fstx.d $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FSTX_S (623) - LoongArch_INS_FSTX_S - fstx.s $fd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_FST_D (624) - LoongArch_INS_FST_D - fst.d $fd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_FST_S (625) - LoongArch_INS_FST_S - fst.s $fd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_FSUB_D (626) - LoongArch_INS_FSUB_D - fsub.d $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FSUB_S (627) - LoongArch_INS_FSUB_S - fsub.s $fd, $fj, $fk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fk */ + { 0 } +}}, +{ /* LoongArch_FTINTRM_L_D (628) - LoongArch_INS_FTINTRM_L_D - ftintrm.l.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRM_L_S (629) - LoongArch_INS_FTINTRM_L_S - ftintrm.l.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRM_W_D (630) - LoongArch_INS_FTINTRM_W_D - ftintrm.w.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRM_W_S (631) - LoongArch_INS_FTINTRM_W_S - ftintrm.w.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRNE_L_D (632) - LoongArch_INS_FTINTRNE_L_D - ftintrne.l.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRNE_L_S (633) - LoongArch_INS_FTINTRNE_L_S - ftintrne.l.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRNE_W_D (634) - LoongArch_INS_FTINTRNE_W_D - ftintrne.w.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRNE_W_S (635) - LoongArch_INS_FTINTRNE_W_S - ftintrne.w.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRP_L_D (636) - LoongArch_INS_FTINTRP_L_D - ftintrp.l.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRP_L_S (637) - LoongArch_INS_FTINTRP_L_S - ftintrp.l.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRP_W_D (638) - LoongArch_INS_FTINTRP_W_D - ftintrp.w.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRP_W_S (639) - LoongArch_INS_FTINTRP_W_S - ftintrp.w.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRZ_L_D (640) - LoongArch_INS_FTINTRZ_L_D - ftintrz.l.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRZ_L_S (641) - LoongArch_INS_FTINTRZ_L_S - ftintrz.l.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRZ_W_D (642) - LoongArch_INS_FTINTRZ_W_D - ftintrz.w.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINTRZ_W_S (643) - LoongArch_INS_FTINTRZ_W_S - ftintrz.w.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINT_L_D (644) - LoongArch_INS_FTINT_L_D - ftint.l.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINT_L_S (645) - LoongArch_INS_FTINT_L_S - ftint.l.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINT_W_D (646) - LoongArch_INS_FTINT_W_D - ftint.w.d $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_FTINT_W_S (647) - LoongArch_INS_FTINT_W_S - ftint.w.s $fd, $fj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* fj */ + { 0 } +}}, +{ /* LoongArch_GCSRRD (648) - LoongArch_INS_GCSRRD - gcsrrd $rd, $csr_num */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* csr_num */ + { 0 } +}}, +{ /* LoongArch_GCSRWR (649) - LoongArch_INS_GCSRWR - gcsrwr $rd, $csr_num */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* csr_num */ + { 0 } +}}, +{ /* LoongArch_GCSRXCHG (650) - LoongArch_INS_GCSRXCHG - gcsrxchg $rd, $rj, $csr_num */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* csr_num */ + { 0 } +}}, +{ /* LoongArch_GTLBFLUSH (651) - LoongArch_INS_GTLBFLUSH - gtlbflush */ +{ + { 0 } +}}, +{ /* LoongArch_HVCL (652) - LoongArch_INS_HVCL - hvcl $imm15 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm15 */ + { 0 } +}}, +{ /* LoongArch_IBAR (653) - LoongArch_INS_IBAR - ibar $imm15 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm15 */ + { 0 } +}}, +{ /* LoongArch_IDLE (654) - LoongArch_INS_IDLE - idle $imm15 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm15 */ + { 0 } +}}, +{ /* LoongArch_INVTLB (655) - LoongArch_INS_INVTLB - invtlb $op, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* op */ + { 0 } +}}, +{ /* LoongArch_IOCSRRD_B (656) - LoongArch_INS_IOCSRRD_B - iocsrrd.b $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_IOCSRRD_D (657) - LoongArch_INS_IOCSRRD_D - iocsrrd.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_IOCSRRD_H (658) - LoongArch_INS_IOCSRRD_H - iocsrrd.h $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_IOCSRRD_W (659) - LoongArch_INS_IOCSRRD_W - iocsrrd.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_IOCSRWR_B (660) - LoongArch_INS_IOCSRWR_B - iocsrwr.b $rd, $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_IOCSRWR_D (661) - LoongArch_INS_IOCSRWR_D - iocsrwr.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_IOCSRWR_H (662) - LoongArch_INS_IOCSRWR_H - iocsrwr.h $rd, $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_IOCSRWR_W (663) - LoongArch_INS_IOCSRWR_W - iocsrwr.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_JIRL (664) - LoongArch_INS_JIRL - jirl $rd, $rj, $imm16 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm16 */ + { 0 } +}}, +{ /* LoongArch_JISCR0 (665) - LoongArch_INS_JISCR0 - jiscr0 $imm21 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm21 */ + { 0 } +}}, +{ /* LoongArch_JISCR1 (666) - LoongArch_INS_JISCR1 - jiscr1 $imm21 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_Other, CS_DATA_TYPE_LAST } }, /* imm21 */ + { 0 } +}}, +{ /* LoongArch_LDDIR (667) - LoongArch_INS_LDDIR - lddir $rd, $rj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_LDGT_B (668) - LoongArch_INS_LDGT_B - ldgt.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDGT_D (669) - LoongArch_INS_LDGT_D - ldgt.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDGT_H (670) - LoongArch_INS_LDGT_H - ldgt.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDGT_W (671) - LoongArch_INS_LDGT_W - ldgt.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDLE_B (672) - LoongArch_INS_LDLE_B - ldle.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDLE_D (673) - LoongArch_INS_LDLE_D - ldle.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDLE_H (674) - LoongArch_INS_LDLE_H - ldle.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDLE_W (675) - LoongArch_INS_LDLE_W - ldle.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDL_D (676) - LoongArch_INS_LDL_D - ldl.d $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LDL_W (677) - LoongArch_INS_LDL_W - ldl.w $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LDPTE (678) - LoongArch_INS_LDPTE - ldpte $rj, $seq */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* seq */ + { 0 } +}}, +{ /* LoongArch_LDPTR_D (679) - LoongArch_INS_LDPTR_D - ldptr.d $rd, $rj, $imm14 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm14 */ + { 0 } +}}, +{ /* LoongArch_LDPTR_W (680) - LoongArch_INS_LDPTR_W - ldptr.w $rd, $rj, $imm14 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm14 */ + { 0 } +}}, +{ /* LoongArch_LDR_D (681) - LoongArch_INS_LDR_D - ldr.d $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LDR_W (682) - LoongArch_INS_LDR_W - ldr.w $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LDX_B (683) - LoongArch_INS_LDX_B - ldx.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDX_BU (684) - LoongArch_INS_LDX_BU - ldx.bu $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDX_D (685) - LoongArch_INS_LDX_D - ldx.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDX_H (686) - LoongArch_INS_LDX_H - ldx.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDX_HU (687) - LoongArch_INS_LDX_HU - ldx.hu $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDX_W (688) - LoongArch_INS_LDX_W - ldx.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LDX_WU (689) - LoongArch_INS_LDX_WU - ldx.wu $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_LD_B (690) - LoongArch_INS_LD_B - ld.b $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LD_BU (691) - LoongArch_INS_LD_BU - ld.bu $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LD_D (692) - LoongArch_INS_LD_D - ld.d $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LD_H (693) - LoongArch_INS_LD_H - ld.h $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LD_HU (694) - LoongArch_INS_LD_HU - ld.hu $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LD_W (695) - LoongArch_INS_LD_W - ld.w $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LD_WU (696) - LoongArch_INS_LD_WU - ld.wu $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_LLACQ_D (697) - LoongArch_INS_LLACQ_D - llacq.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_LLACQ_W (698) - LoongArch_INS_LLACQ_W - llacq.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_LL_D (699) - LoongArch_INS_LL_D - ll.d $rd, $rj, $imm14 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm14 */ + { 0 } +}}, +{ /* LoongArch_LL_W (700) - LoongArch_INS_LL_W - ll.w $rd, $rj, $imm14 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm14 */ + { 0 } +}}, +{ /* LoongArch_LU12I_W (701) - LoongArch_INS_LU12I_W - lu12i.w $rd, $imm20 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm20 */ + { 0 } +}}, +{ /* LoongArch_LU32I_D (702) - LoongArch_INS_LU32I_D - lu32i.d $rd, $imm20 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm20 */ + { 0 } +}}, +{ /* LoongArch_LU52I_D (703) - LoongArch_INS_LU52I_D - lu52i.d $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_MASKEQZ (704) - LoongArch_INS_MASKEQZ - maskeqz $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MASKNEZ (705) - LoongArch_INS_MASKNEZ - masknez $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MOD_D (706) - LoongArch_INS_MOD_D - mod.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MOD_DU (707) - LoongArch_INS_MOD_DU - mod.du $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MOD_W (708) - LoongArch_INS_MOD_W - mod.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MOD_WU (709) - LoongArch_INS_MOD_WU - mod.wu $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MOVCF2FR_xS (710) - LoongArch_INS_MOVCF2FR - movcf2fr $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVCF2GR (711) - LoongArch_INS_MOVCF2GR - movcf2gr $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVFCSR2GR (712) - LoongArch_INS_MOVFCSR2GR - movfcsr2gr $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_i32, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVFR2CF_xS (713) - LoongArch_INS_MOVFR2CF - movfr2cf $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVFR2GR_D (714) - LoongArch_INS_MOVFR2GR_D - movfr2gr.d $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVFR2GR_S (715) - LoongArch_INS_MOVFR2GR_S - movfr2gr.s $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{{{ /* LoongArch_MOVFR2GR_S_64 (716) - LoongArch_INS_INVALID - movfr2gr.s $dst, $src */ + 0 +}}}, +{ /* LoongArch_MOVFRH2GR_S (717) - LoongArch_INS_MOVFRH2GR_S - movfrh2gr.s $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVGR2CF (718) - LoongArch_INS_MOVGR2CF - movgr2cf $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVGR2FCSR (719) - LoongArch_INS_MOVGR2FCSR - movgr2fcsr $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_i32, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVGR2FRH_W (720) - LoongArch_INS_MOVGR2FRH_W - movgr2frh.w $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* out */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVGR2FR_D (721) - LoongArch_INS_MOVGR2FR_D - movgr2fr.d $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{ /* LoongArch_MOVGR2FR_W (722) - LoongArch_INS_MOVGR2FR_W - movgr2fr.w $dst, $src */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_f32, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* src */ + { 0 } +}}, +{{{ /* LoongArch_MOVGR2FR_W_64 (723) - LoongArch_INS_INVALID - movgr2fr.w $dst, $src */ + 0 +}}}, +{ /* LoongArch_MOVGR2SCR (724) - LoongArch_INS_MOVGR2SCR - movgr2scr $sd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* sd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_MOVSCR2GR (725) - LoongArch_INS_MOVSCR2GR - movscr2gr $rd, $sj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* sj */ + { 0 } +}}, +{ /* LoongArch_MULH_D (726) - LoongArch_INS_MULH_D - mulh.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MULH_DU (727) - LoongArch_INS_MULH_DU - mulh.du $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MULH_W (728) - LoongArch_INS_MULH_W - mulh.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MULH_WU (729) - LoongArch_INS_MULH_WU - mulh.wu $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MULW_D_W (730) - LoongArch_INS_MULW_D_W - mulw.d.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MULW_D_WU (731) - LoongArch_INS_MULW_D_WU - mulw.d.wu $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MUL_D (732) - LoongArch_INS_MUL_D - mul.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_MUL_W (733) - LoongArch_INS_MUL_W - mul.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_NOR (734) - LoongArch_INS_NOR - nor $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_OR (735) - LoongArch_INS_OR - or $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ORI (736) - LoongArch_INS_ORI - ori $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_ORN (737) - LoongArch_INS_ORN - orn $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_PCADDI (738) - LoongArch_INS_PCADDI - pcaddi $rd, $imm20 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm20 */ + { 0 } +}}, +{ /* LoongArch_PCADDU12I (739) - LoongArch_INS_PCADDU12I - pcaddu12i $rd, $imm20 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm20 */ + { 0 } +}}, +{ /* LoongArch_PCADDU18I (740) - LoongArch_INS_PCADDU18I - pcaddu18i $rd, $imm20 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm20 */ + { 0 } +}}, +{ /* LoongArch_PCALAU12I (741) - LoongArch_INS_PCALAU12I - pcalau12i $rd, $imm20 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm20 */ + { 0 } +}}, +{ /* LoongArch_PRELD (742) - LoongArch_INS_PRELD - preld $imm5, $rj, $imm12 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_PRELDX (743) - LoongArch_INS_PRELDX - preldx $imm5, $rj, $rk */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_RCRI_B (744) - LoongArch_INS_RCRI_B - rcri.b $rd, $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_RCRI_D (745) - LoongArch_INS_RCRI_D - rcri.d $rd, $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_RCRI_H (746) - LoongArch_INS_RCRI_H - rcri.h $rd, $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_RCRI_W (747) - LoongArch_INS_RCRI_W - rcri.w $rd, $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_RCR_B (748) - LoongArch_INS_RCR_B - rcr.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_RCR_D (749) - LoongArch_INS_RCR_D - rcr.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_RCR_H (750) - LoongArch_INS_RCR_H - rcr.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_RCR_W (751) - LoongArch_INS_RCR_W - rcr.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_RDTIMEH_W (752) - LoongArch_INS_RDTIMEH_W - rdtimeh.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_RDTIMEL_W (753) - LoongArch_INS_RDTIMEL_W - rdtimel.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_RDTIME_D (754) - LoongArch_INS_RDTIME_D - rdtime.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_REVB_2H (755) - LoongArch_INS_REVB_2H - revb.2h $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_REVB_2W (756) - LoongArch_INS_REVB_2W - revb.2w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_REVB_4H (757) - LoongArch_INS_REVB_4H - revb.4h $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_REVB_D (758) - LoongArch_INS_REVB_D - revb.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_REVH_2W (759) - LoongArch_INS_REVH_2W - revh.2w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_REVH_D (760) - LoongArch_INS_REVH_D - revh.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_ROTRI_B (761) - LoongArch_INS_ROTRI_B - rotri.b $rd, $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_ROTRI_D (762) - LoongArch_INS_ROTRI_D - rotri.d $rd, $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_ROTRI_H (763) - LoongArch_INS_ROTRI_H - rotri.h $rd, $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_ROTRI_W (764) - LoongArch_INS_ROTRI_W - rotri.w $rd, $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_ROTR_B (765) - LoongArch_INS_ROTR_B - rotr.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ROTR_D (766) - LoongArch_INS_ROTR_D - rotr.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ROTR_H (767) - LoongArch_INS_ROTR_H - rotr.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ROTR_W (768) - LoongArch_INS_ROTR_W - rotr.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SBC_B (769) - LoongArch_INS_SBC_B - sbc.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SBC_D (770) - LoongArch_INS_SBC_D - sbc.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SBC_H (771) - LoongArch_INS_SBC_H - sbc.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SBC_W (772) - LoongArch_INS_SBC_W - sbc.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SCREL_D (773) - LoongArch_INS_SCREL_D - screl.d $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_SCREL_W (774) - LoongArch_INS_SCREL_W - screl.w $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_SC_D (775) - LoongArch_INS_SC_D - sc.d $rd, $rj, $imm14 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm14 */ + { 0 } +}}, +{ /* LoongArch_SC_Q (776) - LoongArch_INS_SC_Q - sc.q $rd, $rk, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_SC_W (777) - LoongArch_INS_SC_W - sc.w $rd, $rj, $imm14 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm14 */ + { 0 } +}}, +{ /* LoongArch_SETARMJ (778) - LoongArch_INS_SETARMJ - setarmj $rd, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_SETX86J (779) - LoongArch_INS_SETX86J - setx86j $rd, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_SETX86LOOPE (780) - LoongArch_INS_SETX86LOOPE - setx86loope $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_SETX86LOOPNE (781) - LoongArch_INS_SETX86LOOPNE - setx86loopne $rd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{{{ /* LoongArch_SET_CFR_FALSE (782) - LoongArch_INS_INVALID - fcmp.caf.s $cd, $$fa0, $$fa0 */ + 0 +}}}, +{{{ /* LoongArch_SET_CFR_TRUE (783) - LoongArch_INS_INVALID - fcmp.cueq.s $cd, $$fa0, $$fa0 */ + 0 +}}}, +{ /* LoongArch_SLLI_D (784) - LoongArch_INS_SLLI_D - slli.d $rd, $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_SLLI_W (785) - LoongArch_INS_SLLI_W - slli.w $rd, $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_SLL_D (786) - LoongArch_INS_SLL_D - sll.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SLL_W (787) - LoongArch_INS_SLL_W - sll.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SLT (788) - LoongArch_INS_SLT - slt $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SLTI (789) - LoongArch_INS_SLTI - slti $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_SLTU (790) - LoongArch_INS_SLTU - sltu $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SLTUI (791) - LoongArch_INS_SLTUI - sltui $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_SRAI_D (792) - LoongArch_INS_SRAI_D - srai.d $rd, $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_SRAI_W (793) - LoongArch_INS_SRAI_W - srai.w $rd, $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_SRA_D (794) - LoongArch_INS_SRA_D - sra.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SRA_W (795) - LoongArch_INS_SRA_W - sra.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SRLI_D (796) - LoongArch_INS_SRLI_D - srli.d $rd, $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_SRLI_W (797) - LoongArch_INS_SRLI_W - srli.w $rd, $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_SRL_D (798) - LoongArch_INS_SRL_D - srl.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SRL_W (799) - LoongArch_INS_SRL_W - srl.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STGT_B (800) - LoongArch_INS_STGT_B - stgt.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STGT_D (801) - LoongArch_INS_STGT_D - stgt.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STGT_H (802) - LoongArch_INS_STGT_H - stgt.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STGT_W (803) - LoongArch_INS_STGT_W - stgt.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STLE_B (804) - LoongArch_INS_STLE_B - stle.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STLE_D (805) - LoongArch_INS_STLE_D - stle.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STLE_H (806) - LoongArch_INS_STLE_H - stle.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STLE_W (807) - LoongArch_INS_STLE_W - stle.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STL_D (808) - LoongArch_INS_STL_D - stl.d $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_STL_W (809) - LoongArch_INS_STL_W - stl.w $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_STPTR_D (810) - LoongArch_INS_STPTR_D - stptr.d $rd, $rj, $imm14 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm14 */ + { 0 } +}}, +{ /* LoongArch_STPTR_W (811) - LoongArch_INS_STPTR_W - stptr.w $rd, $rj, $imm14 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm14 */ + { 0 } +}}, +{ /* LoongArch_STR_D (812) - LoongArch_INS_STR_D - str.d $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_STR_W (813) - LoongArch_INS_STR_W - str.w $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_STX_B (814) - LoongArch_INS_STX_B - stx.b $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STX_D (815) - LoongArch_INS_STX_D - stx.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STX_H (816) - LoongArch_INS_STX_H - stx.h $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_STX_W (817) - LoongArch_INS_STX_W - stx.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_ST_B (818) - LoongArch_INS_ST_B - st.b $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_ST_D (819) - LoongArch_INS_ST_D - st.d $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_ST_H (820) - LoongArch_INS_ST_H - st.h $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_ST_W (821) - LoongArch_INS_ST_W - st.w $rd, $rj, $imm12 */ +{ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_SUB_D (822) - LoongArch_INS_SUB_D - sub.d $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SUB_W (823) - LoongArch_INS_SUB_W - sub.w $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_SYSCALL (824) - LoongArch_INS_SYSCALL - syscall $imm15 */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm15 */ + { 0 } +}}, +{ /* LoongArch_TLBCLR (825) - LoongArch_INS_TLBCLR - tlbclr */ +{ + { 0 } +}}, +{ /* LoongArch_TLBFILL (826) - LoongArch_INS_TLBFILL - tlbfill */ +{ + { 0 } +}}, +{ /* LoongArch_TLBFLUSH (827) - LoongArch_INS_TLBFLUSH - tlbflush */ +{ + { 0 } +}}, +{ /* LoongArch_TLBRD (828) - LoongArch_INS_TLBRD - tlbrd */ +{ + { 0 } +}}, +{ /* LoongArch_TLBSRCH (829) - LoongArch_INS_TLBSRCH - tlbsrch */ +{ + { 0 } +}}, +{ /* LoongArch_TLBWR (830) - LoongArch_INS_TLBWR - tlbwr */ +{ + { 0 } +}}, +{ /* LoongArch_VABSD_B (831) - LoongArch_INS_VABSD_B - vabsd.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VABSD_BU (832) - LoongArch_INS_VABSD_BU - vabsd.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VABSD_D (833) - LoongArch_INS_VABSD_D - vabsd.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VABSD_DU (834) - LoongArch_INS_VABSD_DU - vabsd.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VABSD_H (835) - LoongArch_INS_VABSD_H - vabsd.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VABSD_HU (836) - LoongArch_INS_VABSD_HU - vabsd.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VABSD_W (837) - LoongArch_INS_VABSD_W - vabsd.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VABSD_WU (838) - LoongArch_INS_VABSD_WU - vabsd.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDA_B (839) - LoongArch_INS_VADDA_B - vadda.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDA_D (840) - LoongArch_INS_VADDA_D - vadda.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDA_H (841) - LoongArch_INS_VADDA_H - vadda.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDA_W (842) - LoongArch_INS_VADDA_W - vadda.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDI_BU (843) - LoongArch_INS_VADDI_BU - vaddi.bu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VADDI_DU (844) - LoongArch_INS_VADDI_DU - vaddi.du $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VADDI_HU (845) - LoongArch_INS_VADDI_HU - vaddi.hu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VADDI_WU (846) - LoongArch_INS_VADDI_WU - vaddi.wu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_D_W (847) - LoongArch_INS_VADDWEV_D_W - vaddwev.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_D_WU (848) - LoongArch_INS_VADDWEV_D_WU - vaddwev.d.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_D_WU_W (849) - LoongArch_INS_VADDWEV_D_WU_W - vaddwev.d.wu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_H_B (850) - LoongArch_INS_VADDWEV_H_B - vaddwev.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_H_BU (851) - LoongArch_INS_VADDWEV_H_BU - vaddwev.h.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_H_BU_B (852) - LoongArch_INS_VADDWEV_H_BU_B - vaddwev.h.bu.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_Q_D (853) - LoongArch_INS_VADDWEV_Q_D - vaddwev.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_Q_DU (854) - LoongArch_INS_VADDWEV_Q_DU - vaddwev.q.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_Q_DU_D (855) - LoongArch_INS_VADDWEV_Q_DU_D - vaddwev.q.du.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_W_H (856) - LoongArch_INS_VADDWEV_W_H - vaddwev.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_W_HU (857) - LoongArch_INS_VADDWEV_W_HU - vaddwev.w.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWEV_W_HU_H (858) - LoongArch_INS_VADDWEV_W_HU_H - vaddwev.w.hu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_D_W (859) - LoongArch_INS_VADDWOD_D_W - vaddwod.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_D_WU (860) - LoongArch_INS_VADDWOD_D_WU - vaddwod.d.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_D_WU_W (861) - LoongArch_INS_VADDWOD_D_WU_W - vaddwod.d.wu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_H_B (862) - LoongArch_INS_VADDWOD_H_B - vaddwod.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_H_BU (863) - LoongArch_INS_VADDWOD_H_BU - vaddwod.h.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_H_BU_B (864) - LoongArch_INS_VADDWOD_H_BU_B - vaddwod.h.bu.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_Q_D (865) - LoongArch_INS_VADDWOD_Q_D - vaddwod.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_Q_DU (866) - LoongArch_INS_VADDWOD_Q_DU - vaddwod.q.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_Q_DU_D (867) - LoongArch_INS_VADDWOD_Q_DU_D - vaddwod.q.du.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_W_H (868) - LoongArch_INS_VADDWOD_W_H - vaddwod.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_W_HU (869) - LoongArch_INS_VADDWOD_W_HU - vaddwod.w.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADDWOD_W_HU_H (870) - LoongArch_INS_VADDWOD_W_HU_H - vaddwod.w.hu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADD_B (871) - LoongArch_INS_VADD_B - vadd.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADD_D (872) - LoongArch_INS_VADD_D - vadd.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADD_H (873) - LoongArch_INS_VADD_H - vadd.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADD_Q (874) - LoongArch_INS_VADD_Q - vadd.q $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VADD_W (875) - LoongArch_INS_VADD_W - vadd.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VANDI_B (876) - LoongArch_INS_VANDI_B - vandi.b $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VANDN_V (877) - LoongArch_INS_VANDN_V - vandn.v $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAND_V (878) - LoongArch_INS_VAND_V - vand.v $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVGR_B (879) - LoongArch_INS_VAVGR_B - vavgr.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVGR_BU (880) - LoongArch_INS_VAVGR_BU - vavgr.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVGR_D (881) - LoongArch_INS_VAVGR_D - vavgr.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVGR_DU (882) - LoongArch_INS_VAVGR_DU - vavgr.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVGR_H (883) - LoongArch_INS_VAVGR_H - vavgr.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVGR_HU (884) - LoongArch_INS_VAVGR_HU - vavgr.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVGR_W (885) - LoongArch_INS_VAVGR_W - vavgr.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVGR_WU (886) - LoongArch_INS_VAVGR_WU - vavgr.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVG_B (887) - LoongArch_INS_VAVG_B - vavg.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVG_BU (888) - LoongArch_INS_VAVG_BU - vavg.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVG_D (889) - LoongArch_INS_VAVG_D - vavg.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVG_DU (890) - LoongArch_INS_VAVG_DU - vavg.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVG_H (891) - LoongArch_INS_VAVG_H - vavg.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVG_HU (892) - LoongArch_INS_VAVG_HU - vavg.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVG_W (893) - LoongArch_INS_VAVG_W - vavg.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VAVG_WU (894) - LoongArch_INS_VAVG_WU - vavg.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITCLRI_B (895) - LoongArch_INS_VBITCLRI_B - vbitclri.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VBITCLRI_D (896) - LoongArch_INS_VBITCLRI_D - vbitclri.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VBITCLRI_H (897) - LoongArch_INS_VBITCLRI_H - vbitclri.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VBITCLRI_W (898) - LoongArch_INS_VBITCLRI_W - vbitclri.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VBITCLR_B (899) - LoongArch_INS_VBITCLR_B - vbitclr.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITCLR_D (900) - LoongArch_INS_VBITCLR_D - vbitclr.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITCLR_H (901) - LoongArch_INS_VBITCLR_H - vbitclr.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITCLR_W (902) - LoongArch_INS_VBITCLR_W - vbitclr.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITREVI_B (903) - LoongArch_INS_VBITREVI_B - vbitrevi.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VBITREVI_D (904) - LoongArch_INS_VBITREVI_D - vbitrevi.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VBITREVI_H (905) - LoongArch_INS_VBITREVI_H - vbitrevi.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VBITREVI_W (906) - LoongArch_INS_VBITREVI_W - vbitrevi.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VBITREV_B (907) - LoongArch_INS_VBITREV_B - vbitrev.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITREV_D (908) - LoongArch_INS_VBITREV_D - vbitrev.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITREV_H (909) - LoongArch_INS_VBITREV_H - vbitrev.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITREV_W (910) - LoongArch_INS_VBITREV_W - vbitrev.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITSELI_B (911) - LoongArch_INS_VBITSELI_B - vbitseli.b $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VBITSEL_V (912) - LoongArch_INS_VBITSEL_V - vbitsel.v $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VBITSETI_B (913) - LoongArch_INS_VBITSETI_B - vbitseti.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VBITSETI_D (914) - LoongArch_INS_VBITSETI_D - vbitseti.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VBITSETI_H (915) - LoongArch_INS_VBITSETI_H - vbitseti.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VBITSETI_W (916) - LoongArch_INS_VBITSETI_W - vbitseti.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VBITSET_B (917) - LoongArch_INS_VBITSET_B - vbitset.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITSET_D (918) - LoongArch_INS_VBITSET_D - vbitset.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITSET_H (919) - LoongArch_INS_VBITSET_H - vbitset.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBITSET_W (920) - LoongArch_INS_VBITSET_W - vbitset.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VBSLL_V (921) - LoongArch_INS_VBSLL_V - vbsll.v $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VBSRL_V (922) - LoongArch_INS_VBSRL_V - vbsrl.v $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VCLO_B (923) - LoongArch_INS_VCLO_B - vclo.b $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VCLO_D (924) - LoongArch_INS_VCLO_D - vclo.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VCLO_H (925) - LoongArch_INS_VCLO_H - vclo.h $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VCLO_W (926) - LoongArch_INS_VCLO_W - vclo.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VCLZ_B (927) - LoongArch_INS_VCLZ_B - vclz.b $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VCLZ_D (928) - LoongArch_INS_VCLZ_D - vclz.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VCLZ_H (929) - LoongArch_INS_VCLZ_H - vclz.h $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VCLZ_W (930) - LoongArch_INS_VCLZ_W - vclz.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VDIV_B (931) - LoongArch_INS_VDIV_B - vdiv.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VDIV_BU (932) - LoongArch_INS_VDIV_BU - vdiv.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VDIV_D (933) - LoongArch_INS_VDIV_D - vdiv.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VDIV_DU (934) - LoongArch_INS_VDIV_DU - vdiv.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VDIV_H (935) - LoongArch_INS_VDIV_H - vdiv.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VDIV_HU (936) - LoongArch_INS_VDIV_HU - vdiv.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VDIV_W (937) - LoongArch_INS_VDIV_W - vdiv.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VDIV_WU (938) - LoongArch_INS_VDIV_WU - vdiv.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_DU_BU (939) - LoongArch_INS_VEXT2XV_DU_BU - vext2xv.du.bu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_DU_HU (940) - LoongArch_INS_VEXT2XV_DU_HU - vext2xv.du.hu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_DU_WU (941) - LoongArch_INS_VEXT2XV_DU_WU - vext2xv.du.wu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_D_B (942) - LoongArch_INS_VEXT2XV_D_B - vext2xv.d.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_D_H (943) - LoongArch_INS_VEXT2XV_D_H - vext2xv.d.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_D_W (944) - LoongArch_INS_VEXT2XV_D_W - vext2xv.d.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_HU_BU (945) - LoongArch_INS_VEXT2XV_HU_BU - vext2xv.hu.bu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_H_B (946) - LoongArch_INS_VEXT2XV_H_B - vext2xv.h.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_WU_BU (947) - LoongArch_INS_VEXT2XV_WU_BU - vext2xv.wu.bu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_WU_HU (948) - LoongArch_INS_VEXT2XV_WU_HU - vext2xv.wu.hu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_W_B (949) - LoongArch_INS_VEXT2XV_W_B - vext2xv.w.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXT2XV_W_H (950) - LoongArch_INS_VEXT2XV_W_H - vext2xv.w.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_VEXTH_DU_WU (951) - LoongArch_INS_VEXTH_DU_WU - vexth.du.wu $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTH_D_W (952) - LoongArch_INS_VEXTH_D_W - vexth.d.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTH_HU_BU (953) - LoongArch_INS_VEXTH_HU_BU - vexth.hu.bu $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTH_H_B (954) - LoongArch_INS_VEXTH_H_B - vexth.h.b $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTH_QU_DU (955) - LoongArch_INS_VEXTH_QU_DU - vexth.qu.du $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTH_Q_D (956) - LoongArch_INS_VEXTH_Q_D - vexth.q.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTH_WU_HU (957) - LoongArch_INS_VEXTH_WU_HU - vexth.wu.hu $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTH_W_H (958) - LoongArch_INS_VEXTH_W_H - vexth.w.h $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTL_QU_DU (959) - LoongArch_INS_VEXTL_QU_DU - vextl.qu.du $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTL_Q_D (960) - LoongArch_INS_VEXTL_Q_D - vextl.q.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VEXTRINS_B (961) - LoongArch_INS_VEXTRINS_B - vextrins.b $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VEXTRINS_D (962) - LoongArch_INS_VEXTRINS_D - vextrins.d $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VEXTRINS_H (963) - LoongArch_INS_VEXTRINS_H - vextrins.h $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VEXTRINS_W (964) - LoongArch_INS_VEXTRINS_W - vextrins.w $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VFADD_D (965) - LoongArch_INS_VFADD_D - vfadd.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFADD_S (966) - LoongArch_INS_VFADD_S - vfadd.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCLASS_D (967) - LoongArch_INS_VFCLASS_D - vfclass.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFCLASS_S (968) - LoongArch_INS_VFCLASS_S - vfclass.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CAF_D (969) - LoongArch_INS_VFCMP_CAF_D - vfcmp.caf.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CAF_S (970) - LoongArch_INS_VFCMP_CAF_S - vfcmp.caf.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CEQ_D (971) - LoongArch_INS_VFCMP_CEQ_D - vfcmp.ceq.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CEQ_S (972) - LoongArch_INS_VFCMP_CEQ_S - vfcmp.ceq.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CLE_D (973) - LoongArch_INS_VFCMP_CLE_D - vfcmp.cle.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CLE_S (974) - LoongArch_INS_VFCMP_CLE_S - vfcmp.cle.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CLT_D (975) - LoongArch_INS_VFCMP_CLT_D - vfcmp.clt.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CLT_S (976) - LoongArch_INS_VFCMP_CLT_S - vfcmp.clt.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CNE_D (977) - LoongArch_INS_VFCMP_CNE_D - vfcmp.cne.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CNE_S (978) - LoongArch_INS_VFCMP_CNE_S - vfcmp.cne.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_COR_D (979) - LoongArch_INS_VFCMP_COR_D - vfcmp.cor.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_COR_S (980) - LoongArch_INS_VFCMP_COR_S - vfcmp.cor.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CUEQ_D (981) - LoongArch_INS_VFCMP_CUEQ_D - vfcmp.cueq.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CUEQ_S (982) - LoongArch_INS_VFCMP_CUEQ_S - vfcmp.cueq.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CULE_D (983) - LoongArch_INS_VFCMP_CULE_D - vfcmp.cule.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CULE_S (984) - LoongArch_INS_VFCMP_CULE_S - vfcmp.cule.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CULT_D (985) - LoongArch_INS_VFCMP_CULT_D - vfcmp.cult.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CULT_S (986) - LoongArch_INS_VFCMP_CULT_S - vfcmp.cult.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CUNE_D (987) - LoongArch_INS_VFCMP_CUNE_D - vfcmp.cune.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CUNE_S (988) - LoongArch_INS_VFCMP_CUNE_S - vfcmp.cune.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CUN_D (989) - LoongArch_INS_VFCMP_CUN_D - vfcmp.cun.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_CUN_S (990) - LoongArch_INS_VFCMP_CUN_S - vfcmp.cun.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SAF_D (991) - LoongArch_INS_VFCMP_SAF_D - vfcmp.saf.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SAF_S (992) - LoongArch_INS_VFCMP_SAF_S - vfcmp.saf.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SEQ_D (993) - LoongArch_INS_VFCMP_SEQ_D - vfcmp.seq.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SEQ_S (994) - LoongArch_INS_VFCMP_SEQ_S - vfcmp.seq.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SLE_D (995) - LoongArch_INS_VFCMP_SLE_D - vfcmp.sle.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SLE_S (996) - LoongArch_INS_VFCMP_SLE_S - vfcmp.sle.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SLT_D (997) - LoongArch_INS_VFCMP_SLT_D - vfcmp.slt.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SLT_S (998) - LoongArch_INS_VFCMP_SLT_S - vfcmp.slt.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SNE_D (999) - LoongArch_INS_VFCMP_SNE_D - vfcmp.sne.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SNE_S (1000) - LoongArch_INS_VFCMP_SNE_S - vfcmp.sne.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SOR_D (1001) - LoongArch_INS_VFCMP_SOR_D - vfcmp.sor.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SOR_S (1002) - LoongArch_INS_VFCMP_SOR_S - vfcmp.sor.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SUEQ_D (1003) - LoongArch_INS_VFCMP_SUEQ_D - vfcmp.sueq.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SUEQ_S (1004) - LoongArch_INS_VFCMP_SUEQ_S - vfcmp.sueq.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SULE_D (1005) - LoongArch_INS_VFCMP_SULE_D - vfcmp.sule.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SULE_S (1006) - LoongArch_INS_VFCMP_SULE_S - vfcmp.sule.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SULT_D (1007) - LoongArch_INS_VFCMP_SULT_D - vfcmp.sult.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SULT_S (1008) - LoongArch_INS_VFCMP_SULT_S - vfcmp.sult.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SUNE_D (1009) - LoongArch_INS_VFCMP_SUNE_D - vfcmp.sune.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SUNE_S (1010) - LoongArch_INS_VFCMP_SUNE_S - vfcmp.sune.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SUN_D (1011) - LoongArch_INS_VFCMP_SUN_D - vfcmp.sun.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCMP_SUN_S (1012) - LoongArch_INS_VFCMP_SUN_S - vfcmp.sun.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCVTH_D_S (1013) - LoongArch_INS_VFCVTH_D_S - vfcvth.d.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFCVTH_S_H (1014) - LoongArch_INS_VFCVTH_S_H - vfcvth.s.h $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFCVTL_D_S (1015) - LoongArch_INS_VFCVTL_D_S - vfcvtl.d.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFCVTL_S_H (1016) - LoongArch_INS_VFCVTL_S_H - vfcvtl.s.h $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFCVT_H_S (1017) - LoongArch_INS_VFCVT_H_S - vfcvt.h.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFCVT_S_D (1018) - LoongArch_INS_VFCVT_S_D - vfcvt.s.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFDIV_D (1019) - LoongArch_INS_VFDIV_D - vfdiv.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFDIV_S (1020) - LoongArch_INS_VFDIV_S - vfdiv.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFFINTH_D_W (1021) - LoongArch_INS_VFFINTH_D_W - vffinth.d.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFFINTL_D_W (1022) - LoongArch_INS_VFFINTL_D_W - vffintl.d.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFFINT_D_L (1023) - LoongArch_INS_VFFINT_D_L - vffint.d.l $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFFINT_D_LU (1024) - LoongArch_INS_VFFINT_D_LU - vffint.d.lu $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFFINT_S_L (1025) - LoongArch_INS_VFFINT_S_L - vffint.s.l $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFFINT_S_W (1026) - LoongArch_INS_VFFINT_S_W - vffint.s.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFFINT_S_WU (1027) - LoongArch_INS_VFFINT_S_WU - vffint.s.wu $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFLOGB_D (1028) - LoongArch_INS_VFLOGB_D - vflogb.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFLOGB_S (1029) - LoongArch_INS_VFLOGB_S - vflogb.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFMADD_D (1030) - LoongArch_INS_VFMADD_D - vfmadd.d $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VFMADD_S (1031) - LoongArch_INS_VFMADD_S - vfmadd.s $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VFMAXA_D (1032) - LoongArch_INS_VFMAXA_D - vfmaxa.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMAXA_S (1033) - LoongArch_INS_VFMAXA_S - vfmaxa.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMAX_D (1034) - LoongArch_INS_VFMAX_D - vfmax.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMAX_S (1035) - LoongArch_INS_VFMAX_S - vfmax.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMINA_D (1036) - LoongArch_INS_VFMINA_D - vfmina.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMINA_S (1037) - LoongArch_INS_VFMINA_S - vfmina.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMIN_D (1038) - LoongArch_INS_VFMIN_D - vfmin.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMIN_S (1039) - LoongArch_INS_VFMIN_S - vfmin.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMSUB_D (1040) - LoongArch_INS_VFMSUB_D - vfmsub.d $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VFMSUB_S (1041) - LoongArch_INS_VFMSUB_S - vfmsub.s $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VFMUL_D (1042) - LoongArch_INS_VFMUL_D - vfmul.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFMUL_S (1043) - LoongArch_INS_VFMUL_S - vfmul.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFNMADD_D (1044) - LoongArch_INS_VFNMADD_D - vfnmadd.d $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VFNMADD_S (1045) - LoongArch_INS_VFNMADD_S - vfnmadd.s $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VFNMSUB_D (1046) - LoongArch_INS_VFNMSUB_D - vfnmsub.d $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VFNMSUB_S (1047) - LoongArch_INS_VFNMSUB_S - vfnmsub.s $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VFRECIPE_D (1048) - LoongArch_INS_VFRECIPE_D - vfrecipe.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRECIPE_S (1049) - LoongArch_INS_VFRECIPE_S - vfrecipe.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRECIP_D (1050) - LoongArch_INS_VFRECIP_D - vfrecip.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRECIP_S (1051) - LoongArch_INS_VFRECIP_S - vfrecip.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINTRM_D (1052) - LoongArch_INS_VFRINTRM_D - vfrintrm.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINTRM_S (1053) - LoongArch_INS_VFRINTRM_S - vfrintrm.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINTRNE_D (1054) - LoongArch_INS_VFRINTRNE_D - vfrintrne.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINTRNE_S (1055) - LoongArch_INS_VFRINTRNE_S - vfrintrne.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINTRP_D (1056) - LoongArch_INS_VFRINTRP_D - vfrintrp.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINTRP_S (1057) - LoongArch_INS_VFRINTRP_S - vfrintrp.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINTRZ_D (1058) - LoongArch_INS_VFRINTRZ_D - vfrintrz.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINTRZ_S (1059) - LoongArch_INS_VFRINTRZ_S - vfrintrz.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINT_D (1060) - LoongArch_INS_VFRINT_D - vfrint.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRINT_S (1061) - LoongArch_INS_VFRINT_S - vfrint.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRSQRTE_D (1062) - LoongArch_INS_VFRSQRTE_D - vfrsqrte.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRSQRTE_S (1063) - LoongArch_INS_VFRSQRTE_S - vfrsqrte.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRSQRT_D (1064) - LoongArch_INS_VFRSQRT_D - vfrsqrt.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRSQRT_S (1065) - LoongArch_INS_VFRSQRT_S - vfrsqrt.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFRSTPI_B (1066) - LoongArch_INS_VFRSTPI_B - vfrstpi.b $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VFRSTPI_H (1067) - LoongArch_INS_VFRSTPI_H - vfrstpi.h $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VFRSTP_B (1068) - LoongArch_INS_VFRSTP_B - vfrstp.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFRSTP_H (1069) - LoongArch_INS_VFRSTP_H - vfrstp.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFSQRT_D (1070) - LoongArch_INS_VFSQRT_D - vfsqrt.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFSQRT_S (1071) - LoongArch_INS_VFSQRT_S - vfsqrt.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFSUB_D (1072) - LoongArch_INS_VFSUB_D - vfsub.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFSUB_S (1073) - LoongArch_INS_VFSUB_S - vfsub.s $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFTINTH_L_S (1074) - LoongArch_INS_VFTINTH_L_S - vftinth.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTL_L_S (1075) - LoongArch_INS_VFTINTL_L_S - vftintl.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRMH_L_S (1076) - LoongArch_INS_VFTINTRMH_L_S - vftintrmh.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRML_L_S (1077) - LoongArch_INS_VFTINTRML_L_S - vftintrml.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRM_L_D (1078) - LoongArch_INS_VFTINTRM_L_D - vftintrm.l.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRM_W_D (1079) - LoongArch_INS_VFTINTRM_W_D - vftintrm.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFTINTRM_W_S (1080) - LoongArch_INS_VFTINTRM_W_S - vftintrm.w.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRNEH_L_S (1081) - LoongArch_INS_VFTINTRNEH_L_S - vftintrneh.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRNEL_L_S (1082) - LoongArch_INS_VFTINTRNEL_L_S - vftintrnel.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRNE_L_D (1083) - LoongArch_INS_VFTINTRNE_L_D - vftintrne.l.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRNE_W_D (1084) - LoongArch_INS_VFTINTRNE_W_D - vftintrne.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFTINTRNE_W_S (1085) - LoongArch_INS_VFTINTRNE_W_S - vftintrne.w.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRPH_L_S (1086) - LoongArch_INS_VFTINTRPH_L_S - vftintrph.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRPL_L_S (1087) - LoongArch_INS_VFTINTRPL_L_S - vftintrpl.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRP_L_D (1088) - LoongArch_INS_VFTINTRP_L_D - vftintrp.l.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRP_W_D (1089) - LoongArch_INS_VFTINTRP_W_D - vftintrp.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFTINTRP_W_S (1090) - LoongArch_INS_VFTINTRP_W_S - vftintrp.w.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRZH_L_S (1091) - LoongArch_INS_VFTINTRZH_L_S - vftintrzh.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRZL_L_S (1092) - LoongArch_INS_VFTINTRZL_L_S - vftintrzl.l.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRZ_LU_D (1093) - LoongArch_INS_VFTINTRZ_LU_D - vftintrz.lu.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRZ_L_D (1094) - LoongArch_INS_VFTINTRZ_L_D - vftintrz.l.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRZ_WU_S (1095) - LoongArch_INS_VFTINTRZ_WU_S - vftintrz.wu.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINTRZ_W_D (1096) - LoongArch_INS_VFTINTRZ_W_D - vftintrz.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFTINTRZ_W_S (1097) - LoongArch_INS_VFTINTRZ_W_S - vftintrz.w.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINT_LU_D (1098) - LoongArch_INS_VFTINT_LU_D - vftint.lu.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINT_L_D (1099) - LoongArch_INS_VFTINT_L_D - vftint.l.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINT_WU_S (1100) - LoongArch_INS_VFTINT_WU_S - vftint.wu.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VFTINT_W_D (1101) - LoongArch_INS_VFTINT_W_D - vftint.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VFTINT_W_S (1102) - LoongArch_INS_VFTINT_W_S - vftint.w.s $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VHADDW_DU_WU (1103) - LoongArch_INS_VHADDW_DU_WU - vhaddw.du.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHADDW_D_W (1104) - LoongArch_INS_VHADDW_D_W - vhaddw.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHADDW_HU_BU (1105) - LoongArch_INS_VHADDW_HU_BU - vhaddw.hu.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHADDW_H_B (1106) - LoongArch_INS_VHADDW_H_B - vhaddw.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHADDW_QU_DU (1107) - LoongArch_INS_VHADDW_QU_DU - vhaddw.qu.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHADDW_Q_D (1108) - LoongArch_INS_VHADDW_Q_D - vhaddw.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHADDW_WU_HU (1109) - LoongArch_INS_VHADDW_WU_HU - vhaddw.wu.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHADDW_W_H (1110) - LoongArch_INS_VHADDW_W_H - vhaddw.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHSUBW_DU_WU (1111) - LoongArch_INS_VHSUBW_DU_WU - vhsubw.du.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHSUBW_D_W (1112) - LoongArch_INS_VHSUBW_D_W - vhsubw.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHSUBW_HU_BU (1113) - LoongArch_INS_VHSUBW_HU_BU - vhsubw.hu.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHSUBW_H_B (1114) - LoongArch_INS_VHSUBW_H_B - vhsubw.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHSUBW_QU_DU (1115) - LoongArch_INS_VHSUBW_QU_DU - vhsubw.qu.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHSUBW_Q_D (1116) - LoongArch_INS_VHSUBW_Q_D - vhsubw.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHSUBW_WU_HU (1117) - LoongArch_INS_VHSUBW_WU_HU - vhsubw.wu.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VHSUBW_W_H (1118) - LoongArch_INS_VHSUBW_W_H - vhsubw.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VILVH_B (1119) - LoongArch_INS_VILVH_B - vilvh.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VILVH_D (1120) - LoongArch_INS_VILVH_D - vilvh.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VILVH_H (1121) - LoongArch_INS_VILVH_H - vilvh.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VILVH_W (1122) - LoongArch_INS_VILVH_W - vilvh.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VILVL_B (1123) - LoongArch_INS_VILVL_B - vilvl.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VILVL_D (1124) - LoongArch_INS_VILVL_D - vilvl.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VILVL_H (1125) - LoongArch_INS_VILVL_H - vilvl.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VILVL_W (1126) - LoongArch_INS_VILVL_W - vilvl.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VINSGR2VR_B (1127) - LoongArch_INS_VINSGR2VR_B - vinsgr2vr.b $vd, $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VINSGR2VR_D (1128) - LoongArch_INS_VINSGR2VR_D - vinsgr2vr.d $vd, $rj, $imm1 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm1 */ + { 0 } +}}, +{ /* LoongArch_VINSGR2VR_H (1129) - LoongArch_INS_VINSGR2VR_H - vinsgr2vr.h $vd, $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VINSGR2VR_W (1130) - LoongArch_INS_VINSGR2VR_W - vinsgr2vr.w $vd, $rj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_VLD (1131) - LoongArch_INS_VLD - vld $vd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_VLDI (1132) - LoongArch_INS_VLDI - vldi $vd, $imm13 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm13 */ + { 0 } +}}, +{ /* LoongArch_VLDREPL_B (1133) - LoongArch_INS_VLDREPL_B - vldrepl.b $vd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_VLDREPL_D (1134) - LoongArch_INS_VLDREPL_D - vldrepl.d $vd, $rj, $imm9 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm9 */ + { 0 } +}}, +{ /* LoongArch_VLDREPL_H (1135) - LoongArch_INS_VLDREPL_H - vldrepl.h $vd, $rj, $imm11 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm11 */ + { 0 } +}}, +{ /* LoongArch_VLDREPL_W (1136) - LoongArch_INS_VLDREPL_W - vldrepl.w $vd, $rj, $imm10 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm10 */ + { 0 } +}}, +{ /* LoongArch_VLDX (1137) - LoongArch_INS_VLDX - vldx $vd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_D_W (1138) - LoongArch_INS_VMADDWEV_D_W - vmaddwev.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_D_WU (1139) - LoongArch_INS_VMADDWEV_D_WU - vmaddwev.d.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_D_WU_W (1140) - LoongArch_INS_VMADDWEV_D_WU_W - vmaddwev.d.wu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_H_B (1141) - LoongArch_INS_VMADDWEV_H_B - vmaddwev.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_H_BU (1142) - LoongArch_INS_VMADDWEV_H_BU - vmaddwev.h.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_H_BU_B (1143) - LoongArch_INS_VMADDWEV_H_BU_B - vmaddwev.h.bu.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_Q_D (1144) - LoongArch_INS_VMADDWEV_Q_D - vmaddwev.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_Q_DU (1145) - LoongArch_INS_VMADDWEV_Q_DU - vmaddwev.q.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_Q_DU_D (1146) - LoongArch_INS_VMADDWEV_Q_DU_D - vmaddwev.q.du.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_W_H (1147) - LoongArch_INS_VMADDWEV_W_H - vmaddwev.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_W_HU (1148) - LoongArch_INS_VMADDWEV_W_HU - vmaddwev.w.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWEV_W_HU_H (1149) - LoongArch_INS_VMADDWEV_W_HU_H - vmaddwev.w.hu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_D_W (1150) - LoongArch_INS_VMADDWOD_D_W - vmaddwod.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_D_WU (1151) - LoongArch_INS_VMADDWOD_D_WU - vmaddwod.d.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_D_WU_W (1152) - LoongArch_INS_VMADDWOD_D_WU_W - vmaddwod.d.wu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_H_B (1153) - LoongArch_INS_VMADDWOD_H_B - vmaddwod.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_H_BU (1154) - LoongArch_INS_VMADDWOD_H_BU - vmaddwod.h.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_H_BU_B (1155) - LoongArch_INS_VMADDWOD_H_BU_B - vmaddwod.h.bu.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_Q_D (1156) - LoongArch_INS_VMADDWOD_Q_D - vmaddwod.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_Q_DU (1157) - LoongArch_INS_VMADDWOD_Q_DU - vmaddwod.q.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_Q_DU_D (1158) - LoongArch_INS_VMADDWOD_Q_DU_D - vmaddwod.q.du.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_W_H (1159) - LoongArch_INS_VMADDWOD_W_H - vmaddwod.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_W_HU (1160) - LoongArch_INS_VMADDWOD_W_HU - vmaddwod.w.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADDWOD_W_HU_H (1161) - LoongArch_INS_VMADDWOD_W_HU_H - vmaddwod.w.hu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADD_B (1162) - LoongArch_INS_VMADD_B - vmadd.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADD_D (1163) - LoongArch_INS_VMADD_D - vmadd.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADD_H (1164) - LoongArch_INS_VMADD_H - vmadd.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMADD_W (1165) - LoongArch_INS_VMADD_W - vmadd.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMAXI_B (1166) - LoongArch_INS_VMAXI_B - vmaxi.b $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMAXI_BU (1167) - LoongArch_INS_VMAXI_BU - vmaxi.bu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMAXI_D (1168) - LoongArch_INS_VMAXI_D - vmaxi.d $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMAXI_DU (1169) - LoongArch_INS_VMAXI_DU - vmaxi.du $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMAXI_H (1170) - LoongArch_INS_VMAXI_H - vmaxi.h $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMAXI_HU (1171) - LoongArch_INS_VMAXI_HU - vmaxi.hu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMAXI_W (1172) - LoongArch_INS_VMAXI_W - vmaxi.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMAXI_WU (1173) - LoongArch_INS_VMAXI_WU - vmaxi.wu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMAX_B (1174) - LoongArch_INS_VMAX_B - vmax.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMAX_BU (1175) - LoongArch_INS_VMAX_BU - vmax.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMAX_D (1176) - LoongArch_INS_VMAX_D - vmax.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMAX_DU (1177) - LoongArch_INS_VMAX_DU - vmax.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMAX_H (1178) - LoongArch_INS_VMAX_H - vmax.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMAX_HU (1179) - LoongArch_INS_VMAX_HU - vmax.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMAX_W (1180) - LoongArch_INS_VMAX_W - vmax.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMAX_WU (1181) - LoongArch_INS_VMAX_WU - vmax.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMINI_B (1182) - LoongArch_INS_VMINI_B - vmini.b $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMINI_BU (1183) - LoongArch_INS_VMINI_BU - vmini.bu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMINI_D (1184) - LoongArch_INS_VMINI_D - vmini.d $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMINI_DU (1185) - LoongArch_INS_VMINI_DU - vmini.du $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMINI_H (1186) - LoongArch_INS_VMINI_H - vmini.h $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMINI_HU (1187) - LoongArch_INS_VMINI_HU - vmini.hu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMINI_W (1188) - LoongArch_INS_VMINI_W - vmini.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMINI_WU (1189) - LoongArch_INS_VMINI_WU - vmini.wu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VMIN_B (1190) - LoongArch_INS_VMIN_B - vmin.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMIN_BU (1191) - LoongArch_INS_VMIN_BU - vmin.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMIN_D (1192) - LoongArch_INS_VMIN_D - vmin.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMIN_DU (1193) - LoongArch_INS_VMIN_DU - vmin.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMIN_H (1194) - LoongArch_INS_VMIN_H - vmin.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMIN_HU (1195) - LoongArch_INS_VMIN_HU - vmin.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMIN_W (1196) - LoongArch_INS_VMIN_W - vmin.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMIN_WU (1197) - LoongArch_INS_VMIN_WU - vmin.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMOD_B (1198) - LoongArch_INS_VMOD_B - vmod.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMOD_BU (1199) - LoongArch_INS_VMOD_BU - vmod.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMOD_D (1200) - LoongArch_INS_VMOD_D - vmod.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMOD_DU (1201) - LoongArch_INS_VMOD_DU - vmod.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMOD_H (1202) - LoongArch_INS_VMOD_H - vmod.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMOD_HU (1203) - LoongArch_INS_VMOD_HU - vmod.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMOD_W (1204) - LoongArch_INS_VMOD_W - vmod.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMOD_WU (1205) - LoongArch_INS_VMOD_WU - vmod.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMSKGEZ_B (1206) - LoongArch_INS_VMSKGEZ_B - vmskgez.b $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VMSKLTZ_B (1207) - LoongArch_INS_VMSKLTZ_B - vmskltz.b $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VMSKLTZ_D (1208) - LoongArch_INS_VMSKLTZ_D - vmskltz.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VMSKLTZ_H (1209) - LoongArch_INS_VMSKLTZ_H - vmskltz.h $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VMSKLTZ_W (1210) - LoongArch_INS_VMSKLTZ_W - vmskltz.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VMSKNZ_B (1211) - LoongArch_INS_VMSKNZ_B - vmsknz.b $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VMSUB_B (1212) - LoongArch_INS_VMSUB_B - vmsub.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMSUB_D (1213) - LoongArch_INS_VMSUB_D - vmsub.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMSUB_H (1214) - LoongArch_INS_VMSUB_H - vmsub.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMSUB_W (1215) - LoongArch_INS_VMSUB_W - vmsub.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUH_B (1216) - LoongArch_INS_VMUH_B - vmuh.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUH_BU (1217) - LoongArch_INS_VMUH_BU - vmuh.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUH_D (1218) - LoongArch_INS_VMUH_D - vmuh.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUH_DU (1219) - LoongArch_INS_VMUH_DU - vmuh.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUH_H (1220) - LoongArch_INS_VMUH_H - vmuh.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUH_HU (1221) - LoongArch_INS_VMUH_HU - vmuh.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUH_W (1222) - LoongArch_INS_VMUH_W - vmuh.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUH_WU (1223) - LoongArch_INS_VMUH_WU - vmuh.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_D_W (1224) - LoongArch_INS_VMULWEV_D_W - vmulwev.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_D_WU (1225) - LoongArch_INS_VMULWEV_D_WU - vmulwev.d.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_D_WU_W (1226) - LoongArch_INS_VMULWEV_D_WU_W - vmulwev.d.wu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_H_B (1227) - LoongArch_INS_VMULWEV_H_B - vmulwev.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_H_BU (1228) - LoongArch_INS_VMULWEV_H_BU - vmulwev.h.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_H_BU_B (1229) - LoongArch_INS_VMULWEV_H_BU_B - vmulwev.h.bu.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_Q_D (1230) - LoongArch_INS_VMULWEV_Q_D - vmulwev.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_Q_DU (1231) - LoongArch_INS_VMULWEV_Q_DU - vmulwev.q.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_Q_DU_D (1232) - LoongArch_INS_VMULWEV_Q_DU_D - vmulwev.q.du.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_W_H (1233) - LoongArch_INS_VMULWEV_W_H - vmulwev.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_W_HU (1234) - LoongArch_INS_VMULWEV_W_HU - vmulwev.w.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWEV_W_HU_H (1235) - LoongArch_INS_VMULWEV_W_HU_H - vmulwev.w.hu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_D_W (1236) - LoongArch_INS_VMULWOD_D_W - vmulwod.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_D_WU (1237) - LoongArch_INS_VMULWOD_D_WU - vmulwod.d.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_D_WU_W (1238) - LoongArch_INS_VMULWOD_D_WU_W - vmulwod.d.wu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_H_B (1239) - LoongArch_INS_VMULWOD_H_B - vmulwod.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_H_BU (1240) - LoongArch_INS_VMULWOD_H_BU - vmulwod.h.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_H_BU_B (1241) - LoongArch_INS_VMULWOD_H_BU_B - vmulwod.h.bu.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_Q_D (1242) - LoongArch_INS_VMULWOD_Q_D - vmulwod.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_Q_DU (1243) - LoongArch_INS_VMULWOD_Q_DU - vmulwod.q.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_Q_DU_D (1244) - LoongArch_INS_VMULWOD_Q_DU_D - vmulwod.q.du.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_W_H (1245) - LoongArch_INS_VMULWOD_W_H - vmulwod.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_W_HU (1246) - LoongArch_INS_VMULWOD_W_HU - vmulwod.w.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMULWOD_W_HU_H (1247) - LoongArch_INS_VMULWOD_W_HU_H - vmulwod.w.hu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUL_B (1248) - LoongArch_INS_VMUL_B - vmul.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUL_D (1249) - LoongArch_INS_VMUL_D - vmul.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUL_H (1250) - LoongArch_INS_VMUL_H - vmul.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VMUL_W (1251) - LoongArch_INS_VMUL_W - vmul.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VNEG_B (1252) - LoongArch_INS_VNEG_B - vneg.b $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VNEG_D (1253) - LoongArch_INS_VNEG_D - vneg.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VNEG_H (1254) - LoongArch_INS_VNEG_H - vneg.h $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VNEG_W (1255) - LoongArch_INS_VNEG_W - vneg.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VNORI_B (1256) - LoongArch_INS_VNORI_B - vnori.b $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VNOR_V (1257) - LoongArch_INS_VNOR_V - vnor.v $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VORI_B (1258) - LoongArch_INS_VORI_B - vori.b $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VORN_V (1259) - LoongArch_INS_VORN_V - vorn.v $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VOR_V (1260) - LoongArch_INS_VOR_V - vor.v $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPACKEV_B (1261) - LoongArch_INS_VPACKEV_B - vpackev.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPACKEV_D (1262) - LoongArch_INS_VPACKEV_D - vpackev.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPACKEV_H (1263) - LoongArch_INS_VPACKEV_H - vpackev.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPACKEV_W (1264) - LoongArch_INS_VPACKEV_W - vpackev.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPACKOD_B (1265) - LoongArch_INS_VPACKOD_B - vpackod.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPACKOD_D (1266) - LoongArch_INS_VPACKOD_D - vpackod.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPACKOD_H (1267) - LoongArch_INS_VPACKOD_H - vpackod.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPACKOD_W (1268) - LoongArch_INS_VPACKOD_W - vpackod.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPCNT_B (1269) - LoongArch_INS_VPCNT_B - vpcnt.b $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VPCNT_D (1270) - LoongArch_INS_VPCNT_D - vpcnt.d $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VPCNT_H (1271) - LoongArch_INS_VPCNT_H - vpcnt.h $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VPCNT_W (1272) - LoongArch_INS_VPCNT_W - vpcnt.w $vd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VPERMI_W (1273) - LoongArch_INS_VPERMI_W - vpermi.w $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VPICKEV_B (1274) - LoongArch_INS_VPICKEV_B - vpickev.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPICKEV_D (1275) - LoongArch_INS_VPICKEV_D - vpickev.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPICKEV_H (1276) - LoongArch_INS_VPICKEV_H - vpickev.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPICKEV_W (1277) - LoongArch_INS_VPICKEV_W - vpickev.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPICKOD_B (1278) - LoongArch_INS_VPICKOD_B - vpickod.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPICKOD_D (1279) - LoongArch_INS_VPICKOD_D - vpickod.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPICKOD_H (1280) - LoongArch_INS_VPICKOD_H - vpickod.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPICKOD_W (1281) - LoongArch_INS_VPICKOD_W - vpickod.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VPICKVE2GR_B (1282) - LoongArch_INS_VPICKVE2GR_B - vpickve2gr.b $rd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VPICKVE2GR_BU (1283) - LoongArch_INS_VPICKVE2GR_BU - vpickve2gr.bu $rd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VPICKVE2GR_D (1284) - LoongArch_INS_VPICKVE2GR_D - vpickve2gr.d $rd, $vj, $imm1 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm1 */ + { 0 } +}}, +{ /* LoongArch_VPICKVE2GR_DU (1285) - LoongArch_INS_VPICKVE2GR_DU - vpickve2gr.du $rd, $vj, $imm1 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm1 */ + { 0 } +}}, +{ /* LoongArch_VPICKVE2GR_H (1286) - LoongArch_INS_VPICKVE2GR_H - vpickve2gr.h $rd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VPICKVE2GR_HU (1287) - LoongArch_INS_VPICKVE2GR_HU - vpickve2gr.hu $rd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VPICKVE2GR_W (1288) - LoongArch_INS_VPICKVE2GR_W - vpickve2gr.w $rd, $vj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_VPICKVE2GR_WU (1289) - LoongArch_INS_VPICKVE2GR_WU - vpickve2gr.wu $rd, $vj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_VREPLGR2VR_B (1290) - LoongArch_INS_VREPLGR2VR_B - vreplgr2vr.b $vd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_VREPLGR2VR_D (1291) - LoongArch_INS_VREPLGR2VR_D - vreplgr2vr.d $vd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_VREPLGR2VR_H (1292) - LoongArch_INS_VREPLGR2VR_H - vreplgr2vr.h $vd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_VREPLGR2VR_W (1293) - LoongArch_INS_VREPLGR2VR_W - vreplgr2vr.w $vd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_VREPLVEI_B (1294) - LoongArch_INS_VREPLVEI_B - vreplvei.b $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VREPLVEI_D (1295) - LoongArch_INS_VREPLVEI_D - vreplvei.d $vd, $vj, $imm1 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm1 */ + { 0 } +}}, +{ /* LoongArch_VREPLVEI_H (1296) - LoongArch_INS_VREPLVEI_H - vreplvei.h $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VREPLVEI_W (1297) - LoongArch_INS_VREPLVEI_W - vreplvei.w $vd, $vj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_VREPLVE_B (1298) - LoongArch_INS_VREPLVE_B - vreplve.b $vd, $vj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_VREPLVE_D (1299) - LoongArch_INS_VREPLVE_D - vreplve.d $vd, $vj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_VREPLVE_H (1300) - LoongArch_INS_VREPLVE_H - vreplve.h $vd, $vj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_VREPLVE_W (1301) - LoongArch_INS_VREPLVE_W - vreplve.w $vd, $vj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_VROTRI_B (1302) - LoongArch_INS_VROTRI_B - vrotri.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VROTRI_D (1303) - LoongArch_INS_VROTRI_D - vrotri.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VROTRI_H (1304) - LoongArch_INS_VROTRI_H - vrotri.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VROTRI_W (1305) - LoongArch_INS_VROTRI_W - vrotri.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VROTR_B (1306) - LoongArch_INS_VROTR_B - vrotr.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VROTR_D (1307) - LoongArch_INS_VROTR_D - vrotr.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VROTR_H (1308) - LoongArch_INS_VROTR_H - vrotr.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VROTR_W (1309) - LoongArch_INS_VROTR_W - vrotr.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSADD_B (1310) - LoongArch_INS_VSADD_B - vsadd.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSADD_BU (1311) - LoongArch_INS_VSADD_BU - vsadd.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSADD_D (1312) - LoongArch_INS_VSADD_D - vsadd.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSADD_DU (1313) - LoongArch_INS_VSADD_DU - vsadd.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSADD_H (1314) - LoongArch_INS_VSADD_H - vsadd.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSADD_HU (1315) - LoongArch_INS_VSADD_HU - vsadd.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSADD_W (1316) - LoongArch_INS_VSADD_W - vsadd.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSADD_WU (1317) - LoongArch_INS_VSADD_WU - vsadd.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSAT_B (1318) - LoongArch_INS_VSAT_B - vsat.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSAT_BU (1319) - LoongArch_INS_VSAT_BU - vsat.bu $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSAT_D (1320) - LoongArch_INS_VSAT_D - vsat.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSAT_DU (1321) - LoongArch_INS_VSAT_DU - vsat.du $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSAT_H (1322) - LoongArch_INS_VSAT_H - vsat.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSAT_HU (1323) - LoongArch_INS_VSAT_HU - vsat.hu $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSAT_W (1324) - LoongArch_INS_VSAT_W - vsat.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSAT_WU (1325) - LoongArch_INS_VSAT_WU - vsat.wu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSEQI_B (1326) - LoongArch_INS_VSEQI_B - vseqi.b $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSEQI_D (1327) - LoongArch_INS_VSEQI_D - vseqi.d $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSEQI_H (1328) - LoongArch_INS_VSEQI_H - vseqi.h $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSEQI_W (1329) - LoongArch_INS_VSEQI_W - vseqi.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSEQ_B (1330) - LoongArch_INS_VSEQ_B - vseq.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSEQ_D (1331) - LoongArch_INS_VSEQ_D - vseq.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSEQ_H (1332) - LoongArch_INS_VSEQ_H - vseq.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSEQ_W (1333) - LoongArch_INS_VSEQ_W - vseq.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSETALLNEZ_B (1334) - LoongArch_INS_VSETALLNEZ_B - vsetallnez.b $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETALLNEZ_D (1335) - LoongArch_INS_VSETALLNEZ_D - vsetallnez.d $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETALLNEZ_H (1336) - LoongArch_INS_VSETALLNEZ_H - vsetallnez.h $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETALLNEZ_W (1337) - LoongArch_INS_VSETALLNEZ_W - vsetallnez.w $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETANYEQZ_B (1338) - LoongArch_INS_VSETANYEQZ_B - vsetanyeqz.b $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETANYEQZ_D (1339) - LoongArch_INS_VSETANYEQZ_D - vsetanyeqz.d $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETANYEQZ_H (1340) - LoongArch_INS_VSETANYEQZ_H - vsetanyeqz.h $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETANYEQZ_W (1341) - LoongArch_INS_VSETANYEQZ_W - vsetanyeqz.w $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETEQZ_V (1342) - LoongArch_INS_VSETEQZ_V - vseteqz.v $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSETNEZ_V (1343) - LoongArch_INS_VSETNEZ_V - vsetnez.v $cd, $vj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { 0 } +}}, +{ /* LoongArch_VSHUF4I_B (1344) - LoongArch_INS_VSHUF4I_B - vshuf4i.b $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VSHUF4I_D (1345) - LoongArch_INS_VSHUF4I_D - vshuf4i.d $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VSHUF4I_H (1346) - LoongArch_INS_VSHUF4I_H - vshuf4i.h $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VSHUF4I_W (1347) - LoongArch_INS_VSHUF4I_W - vshuf4i.w $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VSHUF_B (1348) - LoongArch_INS_VSHUF_B - vshuf.b $vd, $vj, $vk, $va */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* va */ + { 0 } +}}, +{ /* LoongArch_VSHUF_D (1349) - LoongArch_INS_VSHUF_D - vshuf.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSHUF_H (1350) - LoongArch_INS_VSHUF_H - vshuf.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSHUF_W (1351) - LoongArch_INS_VSHUF_W - vshuf.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSIGNCOV_B (1352) - LoongArch_INS_VSIGNCOV_B - vsigncov.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSIGNCOV_D (1353) - LoongArch_INS_VSIGNCOV_D - vsigncov.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSIGNCOV_H (1354) - LoongArch_INS_VSIGNCOV_H - vsigncov.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSIGNCOV_W (1355) - LoongArch_INS_VSIGNCOV_W - vsigncov.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLEI_B (1356) - LoongArch_INS_VSLEI_B - vslei.b $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLEI_BU (1357) - LoongArch_INS_VSLEI_BU - vslei.bu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLEI_D (1358) - LoongArch_INS_VSLEI_D - vslei.d $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLEI_DU (1359) - LoongArch_INS_VSLEI_DU - vslei.du $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLEI_H (1360) - LoongArch_INS_VSLEI_H - vslei.h $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLEI_HU (1361) - LoongArch_INS_VSLEI_HU - vslei.hu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLEI_W (1362) - LoongArch_INS_VSLEI_W - vslei.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLEI_WU (1363) - LoongArch_INS_VSLEI_WU - vslei.wu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLE_B (1364) - LoongArch_INS_VSLE_B - vsle.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLE_BU (1365) - LoongArch_INS_VSLE_BU - vsle.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLE_D (1366) - LoongArch_INS_VSLE_D - vsle.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLE_DU (1367) - LoongArch_INS_VSLE_DU - vsle.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLE_H (1368) - LoongArch_INS_VSLE_H - vsle.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLE_HU (1369) - LoongArch_INS_VSLE_HU - vsle.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLE_W (1370) - LoongArch_INS_VSLE_W - vsle.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLE_WU (1371) - LoongArch_INS_VSLE_WU - vsle.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLLI_B (1372) - LoongArch_INS_VSLLI_B - vslli.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSLLI_D (1373) - LoongArch_INS_VSLLI_D - vslli.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSLLI_H (1374) - LoongArch_INS_VSLLI_H - vslli.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSLLI_W (1375) - LoongArch_INS_VSLLI_W - vslli.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLLWIL_DU_WU (1376) - LoongArch_INS_VSLLWIL_DU_WU - vsllwil.du.wu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLLWIL_D_W (1377) - LoongArch_INS_VSLLWIL_D_W - vsllwil.d.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLLWIL_HU_BU (1378) - LoongArch_INS_VSLLWIL_HU_BU - vsllwil.hu.bu $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSLLWIL_H_B (1379) - LoongArch_INS_VSLLWIL_H_B - vsllwil.h.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSLLWIL_WU_HU (1380) - LoongArch_INS_VSLLWIL_WU_HU - vsllwil.wu.hu $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSLLWIL_W_H (1381) - LoongArch_INS_VSLLWIL_W_H - vsllwil.w.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSLL_B (1382) - LoongArch_INS_VSLL_B - vsll.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLL_D (1383) - LoongArch_INS_VSLL_D - vsll.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLL_H (1384) - LoongArch_INS_VSLL_H - vsll.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLL_W (1385) - LoongArch_INS_VSLL_W - vsll.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLTI_B (1386) - LoongArch_INS_VSLTI_B - vslti.b $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLTI_BU (1387) - LoongArch_INS_VSLTI_BU - vslti.bu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLTI_D (1388) - LoongArch_INS_VSLTI_D - vslti.d $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLTI_DU (1389) - LoongArch_INS_VSLTI_DU - vslti.du $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLTI_H (1390) - LoongArch_INS_VSLTI_H - vslti.h $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLTI_HU (1391) - LoongArch_INS_VSLTI_HU - vslti.hu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLTI_W (1392) - LoongArch_INS_VSLTI_W - vslti.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLTI_WU (1393) - LoongArch_INS_VSLTI_WU - vslti.wu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSLT_B (1394) - LoongArch_INS_VSLT_B - vslt.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLT_BU (1395) - LoongArch_INS_VSLT_BU - vslt.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLT_D (1396) - LoongArch_INS_VSLT_D - vslt.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLT_DU (1397) - LoongArch_INS_VSLT_DU - vslt.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLT_H (1398) - LoongArch_INS_VSLT_H - vslt.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLT_HU (1399) - LoongArch_INS_VSLT_HU - vslt.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLT_W (1400) - LoongArch_INS_VSLT_W - vslt.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSLT_WU (1401) - LoongArch_INS_VSLT_WU - vslt.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRAI_B (1402) - LoongArch_INS_VSRAI_B - vsrai.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSRAI_D (1403) - LoongArch_INS_VSRAI_D - vsrai.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSRAI_H (1404) - LoongArch_INS_VSRAI_H - vsrai.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSRAI_W (1405) - LoongArch_INS_VSRAI_W - vsrai.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSRANI_B_H (1406) - LoongArch_INS_VSRANI_B_H - vsrani.b.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSRANI_D_Q (1407) - LoongArch_INS_VSRANI_D_Q - vsrani.d.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSRANI_H_W (1408) - LoongArch_INS_VSRANI_H_W - vsrani.h.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSRANI_W_D (1409) - LoongArch_INS_VSRANI_W_D - vsrani.w.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSRAN_B_H (1410) - LoongArch_INS_VSRAN_B_H - vsran.b.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRAN_H_W (1411) - LoongArch_INS_VSRAN_H_W - vsran.h.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRAN_W_D (1412) - LoongArch_INS_VSRAN_W_D - vsran.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRARI_B (1413) - LoongArch_INS_VSRARI_B - vsrari.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSRARI_D (1414) - LoongArch_INS_VSRARI_D - vsrari.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSRARI_H (1415) - LoongArch_INS_VSRARI_H - vsrari.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSRARI_W (1416) - LoongArch_INS_VSRARI_W - vsrari.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSRARNI_B_H (1417) - LoongArch_INS_VSRARNI_B_H - vsrarni.b.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSRARNI_D_Q (1418) - LoongArch_INS_VSRARNI_D_Q - vsrarni.d.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSRARNI_H_W (1419) - LoongArch_INS_VSRARNI_H_W - vsrarni.h.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSRARNI_W_D (1420) - LoongArch_INS_VSRARNI_W_D - vsrarni.w.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSRARN_B_H (1421) - LoongArch_INS_VSRARN_B_H - vsrarn.b.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRARN_H_W (1422) - LoongArch_INS_VSRARN_H_W - vsrarn.h.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRARN_W_D (1423) - LoongArch_INS_VSRARN_W_D - vsrarn.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRAR_B (1424) - LoongArch_INS_VSRAR_B - vsrar.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRAR_D (1425) - LoongArch_INS_VSRAR_D - vsrar.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRAR_H (1426) - LoongArch_INS_VSRAR_H - vsrar.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRAR_W (1427) - LoongArch_INS_VSRAR_W - vsrar.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRA_B (1428) - LoongArch_INS_VSRA_B - vsra.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRA_D (1429) - LoongArch_INS_VSRA_D - vsra.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRA_H (1430) - LoongArch_INS_VSRA_H - vsra.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRA_W (1431) - LoongArch_INS_VSRA_W - vsra.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLI_B (1432) - LoongArch_INS_VSRLI_B - vsrli.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSRLI_D (1433) - LoongArch_INS_VSRLI_D - vsrli.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSRLI_H (1434) - LoongArch_INS_VSRLI_H - vsrli.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSRLI_W (1435) - LoongArch_INS_VSRLI_W - vsrli.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSRLNI_B_H (1436) - LoongArch_INS_VSRLNI_B_H - vsrlni.b.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSRLNI_D_Q (1437) - LoongArch_INS_VSRLNI_D_Q - vsrlni.d.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSRLNI_H_W (1438) - LoongArch_INS_VSRLNI_H_W - vsrlni.h.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSRLNI_W_D (1439) - LoongArch_INS_VSRLNI_W_D - vsrlni.w.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSRLN_B_H (1440) - LoongArch_INS_VSRLN_B_H - vsrln.b.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLN_H_W (1441) - LoongArch_INS_VSRLN_H_W - vsrln.h.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLN_W_D (1442) - LoongArch_INS_VSRLN_W_D - vsrln.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLRI_B (1443) - LoongArch_INS_VSRLRI_B - vsrlri.b $vd, $vj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSRLRI_D (1444) - LoongArch_INS_VSRLRI_D - vsrlri.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSRLRI_H (1445) - LoongArch_INS_VSRLRI_H - vsrlri.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSRLRI_W (1446) - LoongArch_INS_VSRLRI_W - vsrlri.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSRLRNI_B_H (1447) - LoongArch_INS_VSRLRNI_B_H - vsrlrni.b.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSRLRNI_D_Q (1448) - LoongArch_INS_VSRLRNI_D_Q - vsrlrni.d.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSRLRNI_H_W (1449) - LoongArch_INS_VSRLRNI_H_W - vsrlrni.h.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSRLRNI_W_D (1450) - LoongArch_INS_VSRLRNI_W_D - vsrlrni.w.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSRLRN_B_H (1451) - LoongArch_INS_VSRLRN_B_H - vsrlrn.b.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLRN_H_W (1452) - LoongArch_INS_VSRLRN_H_W - vsrlrn.h.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLRN_W_D (1453) - LoongArch_INS_VSRLRN_W_D - vsrlrn.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLR_B (1454) - LoongArch_INS_VSRLR_B - vsrlr.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLR_D (1455) - LoongArch_INS_VSRLR_D - vsrlr.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLR_H (1456) - LoongArch_INS_VSRLR_H - vsrlr.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRLR_W (1457) - LoongArch_INS_VSRLR_W - vsrlr.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRL_B (1458) - LoongArch_INS_VSRL_B - vsrl.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRL_D (1459) - LoongArch_INS_VSRL_D - vsrl.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRL_H (1460) - LoongArch_INS_VSRL_H - vsrl.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSRL_W (1461) - LoongArch_INS_VSRL_W - vsrl.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRANI_BU_H (1462) - LoongArch_INS_VSSRANI_BU_H - vssrani.bu.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSSRANI_B_H (1463) - LoongArch_INS_VSSRANI_B_H - vssrani.b.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSSRANI_DU_Q (1464) - LoongArch_INS_VSSRANI_DU_Q - vssrani.du.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSSRANI_D_Q (1465) - LoongArch_INS_VSSRANI_D_Q - vssrani.d.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSSRANI_HU_W (1466) - LoongArch_INS_VSSRANI_HU_W - vssrani.hu.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSSRANI_H_W (1467) - LoongArch_INS_VSSRANI_H_W - vssrani.h.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSSRANI_WU_D (1468) - LoongArch_INS_VSSRANI_WU_D - vssrani.wu.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSSRANI_W_D (1469) - LoongArch_INS_VSSRANI_W_D - vssrani.w.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSSRAN_BU_H (1470) - LoongArch_INS_VSSRAN_BU_H - vssran.bu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRAN_B_H (1471) - LoongArch_INS_VSSRAN_B_H - vssran.b.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRAN_HU_W (1472) - LoongArch_INS_VSSRAN_HU_W - vssran.hu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRAN_H_W (1473) - LoongArch_INS_VSSRAN_H_W - vssran.h.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRAN_WU_D (1474) - LoongArch_INS_VSSRAN_WU_D - vssran.wu.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRAN_W_D (1475) - LoongArch_INS_VSSRAN_W_D - vssran.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRARNI_BU_H (1476) - LoongArch_INS_VSSRARNI_BU_H - vssrarni.bu.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSSRARNI_B_H (1477) - LoongArch_INS_VSSRARNI_B_H - vssrarni.b.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSSRARNI_DU_Q (1478) - LoongArch_INS_VSSRARNI_DU_Q - vssrarni.du.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSSRARNI_D_Q (1479) - LoongArch_INS_VSSRARNI_D_Q - vssrarni.d.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSSRARNI_HU_W (1480) - LoongArch_INS_VSSRARNI_HU_W - vssrarni.hu.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSSRARNI_H_W (1481) - LoongArch_INS_VSSRARNI_H_W - vssrarni.h.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSSRARNI_WU_D (1482) - LoongArch_INS_VSSRARNI_WU_D - vssrarni.wu.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSSRARNI_W_D (1483) - LoongArch_INS_VSSRARNI_W_D - vssrarni.w.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSSRARN_BU_H (1484) - LoongArch_INS_VSSRARN_BU_H - vssrarn.bu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRARN_B_H (1485) - LoongArch_INS_VSSRARN_B_H - vssrarn.b.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRARN_HU_W (1486) - LoongArch_INS_VSSRARN_HU_W - vssrarn.hu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRARN_H_W (1487) - LoongArch_INS_VSSRARN_H_W - vssrarn.h.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRARN_WU_D (1488) - LoongArch_INS_VSSRARN_WU_D - vssrarn.wu.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRARN_W_D (1489) - LoongArch_INS_VSSRARN_W_D - vssrarn.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLNI_BU_H (1490) - LoongArch_INS_VSSRLNI_BU_H - vssrlni.bu.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSSRLNI_B_H (1491) - LoongArch_INS_VSSRLNI_B_H - vssrlni.b.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSSRLNI_DU_Q (1492) - LoongArch_INS_VSSRLNI_DU_Q - vssrlni.du.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSSRLNI_D_Q (1493) - LoongArch_INS_VSSRLNI_D_Q - vssrlni.d.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSSRLNI_HU_W (1494) - LoongArch_INS_VSSRLNI_HU_W - vssrlni.hu.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSSRLNI_H_W (1495) - LoongArch_INS_VSSRLNI_H_W - vssrlni.h.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSSRLNI_WU_D (1496) - LoongArch_INS_VSSRLNI_WU_D - vssrlni.wu.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSSRLNI_W_D (1497) - LoongArch_INS_VSSRLNI_W_D - vssrlni.w.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSSRLN_BU_H (1498) - LoongArch_INS_VSSRLN_BU_H - vssrln.bu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLN_B_H (1499) - LoongArch_INS_VSSRLN_B_H - vssrln.b.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLN_HU_W (1500) - LoongArch_INS_VSSRLN_HU_W - vssrln.hu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLN_H_W (1501) - LoongArch_INS_VSSRLN_H_W - vssrln.h.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLN_WU_D (1502) - LoongArch_INS_VSSRLN_WU_D - vssrln.wu.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLN_W_D (1503) - LoongArch_INS_VSSRLN_W_D - vssrln.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLRNI_BU_H (1504) - LoongArch_INS_VSSRLRNI_BU_H - vssrlrni.bu.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSSRLRNI_B_H (1505) - LoongArch_INS_VSSRLRNI_B_H - vssrlrni.b.h $vd, $vj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSSRLRNI_DU_Q (1506) - LoongArch_INS_VSSRLRNI_DU_Q - vssrlrni.du.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSSRLRNI_D_Q (1507) - LoongArch_INS_VSSRLRNI_D_Q - vssrlrni.d.q $vd, $vj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_VSSRLRNI_HU_W (1508) - LoongArch_INS_VSSRLRNI_HU_W - vssrlrni.hu.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSSRLRNI_H_W (1509) - LoongArch_INS_VSSRLRNI_H_W - vssrlrni.h.w $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSSRLRNI_WU_D (1510) - LoongArch_INS_VSSRLRNI_WU_D - vssrlrni.wu.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSSRLRNI_W_D (1511) - LoongArch_INS_VSSRLRNI_W_D - vssrlrni.w.d $vd, $vj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_VSSRLRN_BU_H (1512) - LoongArch_INS_VSSRLRN_BU_H - vssrlrn.bu.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLRN_B_H (1513) - LoongArch_INS_VSSRLRN_B_H - vssrlrn.b.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLRN_HU_W (1514) - LoongArch_INS_VSSRLRN_HU_W - vssrlrn.hu.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLRN_H_W (1515) - LoongArch_INS_VSSRLRN_H_W - vssrlrn.h.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLRN_WU_D (1516) - LoongArch_INS_VSSRLRN_WU_D - vssrlrn.wu.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSRLRN_W_D (1517) - LoongArch_INS_VSSRLRN_W_D - vssrlrn.w.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSUB_B (1518) - LoongArch_INS_VSSUB_B - vssub.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSUB_BU (1519) - LoongArch_INS_VSSUB_BU - vssub.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSUB_D (1520) - LoongArch_INS_VSSUB_D - vssub.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSUB_DU (1521) - LoongArch_INS_VSSUB_DU - vssub.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSUB_H (1522) - LoongArch_INS_VSSUB_H - vssub.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSUB_HU (1523) - LoongArch_INS_VSSUB_HU - vssub.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSUB_W (1524) - LoongArch_INS_VSSUB_W - vssub.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSSUB_WU (1525) - LoongArch_INS_VSSUB_WU - vssub.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VST (1526) - LoongArch_INS_VST - vst $vd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_VSTELM_B (1527) - LoongArch_INS_VSTELM_B - vstelm.b $vd, $rj, $imm8, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_VSTELM_D (1528) - LoongArch_INS_VSTELM_D - vstelm.d $vd, $rj, $imm8, $imm1 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm1 */ + { 0 } +}}, +{ /* LoongArch_VSTELM_H (1529) - LoongArch_INS_VSTELM_H - vstelm.h $vd, $rj, $imm8, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_VSTELM_W (1530) - LoongArch_INS_VSTELM_W - vstelm.w $vd, $rj, $imm8, $imm2 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_VSTX (1531) - LoongArch_INS_VSTX - vstx $vd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_VSUBI_BU (1532) - LoongArch_INS_VSUBI_BU - vsubi.bu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSUBI_DU (1533) - LoongArch_INS_VSUBI_DU - vsubi.du $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSUBI_HU (1534) - LoongArch_INS_VSUBI_HU - vsubi.hu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSUBI_WU (1535) - LoongArch_INS_VSUBI_WU - vsubi.wu $vd, $vj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_VSUBWEV_D_W (1536) - LoongArch_INS_VSUBWEV_D_W - vsubwev.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWEV_D_WU (1537) - LoongArch_INS_VSUBWEV_D_WU - vsubwev.d.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWEV_H_B (1538) - LoongArch_INS_VSUBWEV_H_B - vsubwev.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWEV_H_BU (1539) - LoongArch_INS_VSUBWEV_H_BU - vsubwev.h.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWEV_Q_D (1540) - LoongArch_INS_VSUBWEV_Q_D - vsubwev.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWEV_Q_DU (1541) - LoongArch_INS_VSUBWEV_Q_DU - vsubwev.q.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWEV_W_H (1542) - LoongArch_INS_VSUBWEV_W_H - vsubwev.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWEV_W_HU (1543) - LoongArch_INS_VSUBWEV_W_HU - vsubwev.w.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWOD_D_W (1544) - LoongArch_INS_VSUBWOD_D_W - vsubwod.d.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWOD_D_WU (1545) - LoongArch_INS_VSUBWOD_D_WU - vsubwod.d.wu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWOD_H_B (1546) - LoongArch_INS_VSUBWOD_H_B - vsubwod.h.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWOD_H_BU (1547) - LoongArch_INS_VSUBWOD_H_BU - vsubwod.h.bu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWOD_Q_D (1548) - LoongArch_INS_VSUBWOD_Q_D - vsubwod.q.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWOD_Q_DU (1549) - LoongArch_INS_VSUBWOD_Q_DU - vsubwod.q.du $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWOD_W_H (1550) - LoongArch_INS_VSUBWOD_W_H - vsubwod.w.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUBWOD_W_HU (1551) - LoongArch_INS_VSUBWOD_W_HU - vsubwod.w.hu $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUB_B (1552) - LoongArch_INS_VSUB_B - vsub.b $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUB_D (1553) - LoongArch_INS_VSUB_D - vsub.d $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUB_H (1554) - LoongArch_INS_VSUB_H - vsub.h $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUB_Q (1555) - LoongArch_INS_VSUB_Q - vsub.q $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VSUB_W (1556) - LoongArch_INS_VSUB_W - vsub.w $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_VXORI_B (1557) - LoongArch_INS_VXORI_B - vxori.b $vd, $vj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_VXOR_V (1558) - LoongArch_INS_VXOR_V - vxor.v $vd, $vj, $vk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v4f32, CS_DATA_TYPE_v2f64, CS_DATA_TYPE_v16i8, CS_DATA_TYPE_v8i16, CS_DATA_TYPE_v4i32, CS_DATA_TYPE_v2i64, CS_DATA_TYPE_LAST } }, /* vk */ + { 0 } +}}, +{ /* LoongArch_X86ADC_B (1559) - LoongArch_INS_X86ADC_B - x86adc.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADC_D (1560) - LoongArch_INS_X86ADC_D - x86adc.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADC_H (1561) - LoongArch_INS_X86ADC_H - x86adc.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADC_W (1562) - LoongArch_INS_X86ADC_W - x86adc.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADD_B (1563) - LoongArch_INS_X86ADD_B - x86add.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADD_D (1564) - LoongArch_INS_X86ADD_D - x86add.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADD_DU (1565) - LoongArch_INS_X86ADD_DU - x86add.du $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADD_H (1566) - LoongArch_INS_X86ADD_H - x86add.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADD_W (1567) - LoongArch_INS_X86ADD_W - x86add.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ADD_WU (1568) - LoongArch_INS_X86ADD_WU - x86add.wu $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86AND_B (1569) - LoongArch_INS_X86AND_B - x86and.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86AND_D (1570) - LoongArch_INS_X86AND_D - x86and.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86AND_H (1571) - LoongArch_INS_X86AND_H - x86and.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86AND_W (1572) - LoongArch_INS_X86AND_W - x86and.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86CLRTM (1573) - LoongArch_INS_X86CLRTM - x86clrtm */ +{ + { 0 } +}}, +{ /* LoongArch_X86DECTOP (1574) - LoongArch_INS_X86DECTOP - x86dectop */ +{ + { 0 } +}}, +{ /* LoongArch_X86DEC_B (1575) - LoongArch_INS_X86DEC_B - x86dec.b $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_X86DEC_D (1576) - LoongArch_INS_X86DEC_D - x86dec.d $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_X86DEC_H (1577) - LoongArch_INS_X86DEC_H - x86dec.h $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_X86DEC_W (1578) - LoongArch_INS_X86DEC_W - x86dec.w $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_X86INCTOP (1579) - LoongArch_INS_X86INCTOP - x86inctop */ +{ + { 0 } +}}, +{ /* LoongArch_X86INC_B (1580) - LoongArch_INS_X86INC_B - x86inc.b $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_X86INC_D (1581) - LoongArch_INS_X86INC_D - x86inc.d $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_X86INC_H (1582) - LoongArch_INS_X86INC_H - x86inc.h $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_X86INC_W (1583) - LoongArch_INS_X86INC_W - x86inc.w $rj */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_X86MFFLAG (1584) - LoongArch_INS_X86MFFLAG - x86mfflag $rd, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_X86MFTOP (1585) - LoongArch_INS_X86MFTOP - x86mftop $rd */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { 0 } +}}, +{ /* LoongArch_X86MTFLAG (1586) - LoongArch_INS_X86MTFLAG - x86mtflag $rd, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_X86MTTOP (1587) - LoongArch_INS_X86MTTOP - x86mttop $ptr */ +{ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* ptr */ + { 0 } +}}, +{ /* LoongArch_X86MUL_B (1588) - LoongArch_INS_X86MUL_B - x86mul.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86MUL_BU (1589) - LoongArch_INS_X86MUL_BU - x86mul.bu $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86MUL_D (1590) - LoongArch_INS_X86MUL_D - x86mul.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86MUL_DU (1591) - LoongArch_INS_X86MUL_DU - x86mul.du $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86MUL_H (1592) - LoongArch_INS_X86MUL_H - x86mul.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86MUL_HU (1593) - LoongArch_INS_X86MUL_HU - x86mul.hu $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86MUL_W (1594) - LoongArch_INS_X86MUL_W - x86mul.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86MUL_WU (1595) - LoongArch_INS_X86MUL_WU - x86mul.wu $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86OR_B (1596) - LoongArch_INS_X86OR_B - x86or.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86OR_D (1597) - LoongArch_INS_X86OR_D - x86or.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86OR_H (1598) - LoongArch_INS_X86OR_H - x86or.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86OR_W (1599) - LoongArch_INS_X86OR_W - x86or.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86RCLI_B (1600) - LoongArch_INS_X86RCLI_B - x86rcli.b $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_X86RCLI_D (1601) - LoongArch_INS_X86RCLI_D - x86rcli.d $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_X86RCLI_H (1602) - LoongArch_INS_X86RCLI_H - x86rcli.h $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_X86RCLI_W (1603) - LoongArch_INS_X86RCLI_W - x86rcli.w $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_X86RCL_B (1604) - LoongArch_INS_X86RCL_B - x86rcl.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86RCL_D (1605) - LoongArch_INS_X86RCL_D - x86rcl.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86RCL_H (1606) - LoongArch_INS_X86RCL_H - x86rcl.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86RCL_W (1607) - LoongArch_INS_X86RCL_W - x86rcl.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86RCRI_B (1608) - LoongArch_INS_X86RCRI_B - x86rcri.b $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_X86RCRI_D (1609) - LoongArch_INS_X86RCRI_D - x86rcri.d $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_X86RCRI_H (1610) - LoongArch_INS_X86RCRI_H - x86rcri.h $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_X86RCRI_W (1611) - LoongArch_INS_X86RCRI_W - x86rcri.w $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_X86RCR_B (1612) - LoongArch_INS_X86RCR_B - x86rcr.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86RCR_D (1613) - LoongArch_INS_X86RCR_D - x86rcr.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86RCR_H (1614) - LoongArch_INS_X86RCR_H - x86rcr.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86RCR_W (1615) - LoongArch_INS_X86RCR_W - x86rcr.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ROTLI_B (1616) - LoongArch_INS_X86ROTLI_B - x86rotli.b $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_X86ROTLI_D (1617) - LoongArch_INS_X86ROTLI_D - x86rotli.d $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_X86ROTLI_H (1618) - LoongArch_INS_X86ROTLI_H - x86rotli.h $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_X86ROTLI_W (1619) - LoongArch_INS_X86ROTLI_W - x86rotli.w $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_X86ROTL_B (1620) - LoongArch_INS_X86ROTL_B - x86rotl.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ROTL_D (1621) - LoongArch_INS_X86ROTL_D - x86rotl.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ROTL_H (1622) - LoongArch_INS_X86ROTL_H - x86rotl.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ROTL_W (1623) - LoongArch_INS_X86ROTL_W - x86rotl.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ROTRI_B (1624) - LoongArch_INS_X86ROTRI_B - x86rotri.b $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_X86ROTRI_D (1625) - LoongArch_INS_X86ROTRI_D - x86rotri.d $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_X86ROTRI_H (1626) - LoongArch_INS_X86ROTRI_H - x86rotri.h $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_X86ROTRI_W (1627) - LoongArch_INS_X86ROTRI_W - x86rotri.w $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_X86ROTR_B (1628) - LoongArch_INS_X86ROTR_B - x86rotr.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ROTR_D (1629) - LoongArch_INS_X86ROTR_D - x86rotr.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ROTR_H (1630) - LoongArch_INS_X86ROTR_H - x86rotr.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86ROTR_W (1631) - LoongArch_INS_X86ROTR_W - x86rotr.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SBC_B (1632) - LoongArch_INS_X86SBC_B - x86sbc.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SBC_D (1633) - LoongArch_INS_X86SBC_D - x86sbc.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SBC_H (1634) - LoongArch_INS_X86SBC_H - x86sbc.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SBC_W (1635) - LoongArch_INS_X86SBC_W - x86sbc.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SETTAG (1636) - LoongArch_INS_X86SETTAG - x86settag $rd, $imm5, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_X86SETTM (1637) - LoongArch_INS_X86SETTM - x86settm */ +{ + { 0 } +}}, +{ /* LoongArch_X86SLLI_B (1638) - LoongArch_INS_X86SLLI_B - x86slli.b $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_X86SLLI_D (1639) - LoongArch_INS_X86SLLI_D - x86slli.d $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_X86SLLI_H (1640) - LoongArch_INS_X86SLLI_H - x86slli.h $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_X86SLLI_W (1641) - LoongArch_INS_X86SLLI_W - x86slli.w $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_X86SLL_B (1642) - LoongArch_INS_X86SLL_B - x86sll.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SLL_D (1643) - LoongArch_INS_X86SLL_D - x86sll.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SLL_H (1644) - LoongArch_INS_X86SLL_H - x86sll.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SLL_W (1645) - LoongArch_INS_X86SLL_W - x86sll.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SRAI_B (1646) - LoongArch_INS_X86SRAI_B - x86srai.b $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_X86SRAI_D (1647) - LoongArch_INS_X86SRAI_D - x86srai.d $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_X86SRAI_H (1648) - LoongArch_INS_X86SRAI_H - x86srai.h $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_X86SRAI_W (1649) - LoongArch_INS_X86SRAI_W - x86srai.w $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_X86SRA_B (1650) - LoongArch_INS_X86SRA_B - x86sra.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SRA_D (1651) - LoongArch_INS_X86SRA_D - x86sra.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SRA_H (1652) - LoongArch_INS_X86SRA_H - x86sra.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SRA_W (1653) - LoongArch_INS_X86SRA_W - x86sra.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SRLI_B (1654) - LoongArch_INS_X86SRLI_B - x86srli.b $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_X86SRLI_D (1655) - LoongArch_INS_X86SRLI_D - x86srli.d $rj, $imm6 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_X86SRLI_H (1656) - LoongArch_INS_X86SRLI_H - x86srli.h $rj, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_X86SRLI_W (1657) - LoongArch_INS_X86SRLI_W - x86srli.w $rj, $imm5 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_X86SRL_B (1658) - LoongArch_INS_X86SRL_B - x86srl.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SRL_D (1659) - LoongArch_INS_X86SRL_D - x86srl.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SRL_H (1660) - LoongArch_INS_X86SRL_H - x86srl.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SRL_W (1661) - LoongArch_INS_X86SRL_W - x86srl.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SUB_B (1662) - LoongArch_INS_X86SUB_B - x86sub.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SUB_D (1663) - LoongArch_INS_X86SUB_D - x86sub.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SUB_DU (1664) - LoongArch_INS_X86SUB_DU - x86sub.du $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SUB_H (1665) - LoongArch_INS_X86SUB_H - x86sub.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SUB_W (1666) - LoongArch_INS_X86SUB_W - x86sub.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86SUB_WU (1667) - LoongArch_INS_X86SUB_WU - x86sub.wu $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86XOR_B (1668) - LoongArch_INS_X86XOR_B - x86xor.b $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86XOR_D (1669) - LoongArch_INS_X86XOR_D - x86xor.d $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86XOR_H (1670) - LoongArch_INS_X86XOR_H - x86xor.h $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_X86XOR_W (1671) - LoongArch_INS_X86XOR_W - x86xor.w $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_XOR (1672) - LoongArch_INS_XOR - xor $rd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_XORI (1673) - LoongArch_INS_XORI - xori $rd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_XVABSD_B (1674) - LoongArch_INS_XVABSD_B - xvabsd.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVABSD_BU (1675) - LoongArch_INS_XVABSD_BU - xvabsd.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVABSD_D (1676) - LoongArch_INS_XVABSD_D - xvabsd.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVABSD_DU (1677) - LoongArch_INS_XVABSD_DU - xvabsd.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVABSD_H (1678) - LoongArch_INS_XVABSD_H - xvabsd.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVABSD_HU (1679) - LoongArch_INS_XVABSD_HU - xvabsd.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVABSD_W (1680) - LoongArch_INS_XVABSD_W - xvabsd.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVABSD_WU (1681) - LoongArch_INS_XVABSD_WU - xvabsd.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDA_B (1682) - LoongArch_INS_XVADDA_B - xvadda.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDA_D (1683) - LoongArch_INS_XVADDA_D - xvadda.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDA_H (1684) - LoongArch_INS_XVADDA_H - xvadda.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDA_W (1685) - LoongArch_INS_XVADDA_W - xvadda.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDI_BU (1686) - LoongArch_INS_XVADDI_BU - xvaddi.bu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVADDI_DU (1687) - LoongArch_INS_XVADDI_DU - xvaddi.du $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVADDI_HU (1688) - LoongArch_INS_XVADDI_HU - xvaddi.hu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVADDI_WU (1689) - LoongArch_INS_XVADDI_WU - xvaddi.wu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_D_W (1690) - LoongArch_INS_XVADDWEV_D_W - xvaddwev.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_D_WU (1691) - LoongArch_INS_XVADDWEV_D_WU - xvaddwev.d.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_D_WU_W (1692) - LoongArch_INS_XVADDWEV_D_WU_W - xvaddwev.d.wu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_H_B (1693) - LoongArch_INS_XVADDWEV_H_B - xvaddwev.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_H_BU (1694) - LoongArch_INS_XVADDWEV_H_BU - xvaddwev.h.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_H_BU_B (1695) - LoongArch_INS_XVADDWEV_H_BU_B - xvaddwev.h.bu.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_Q_D (1696) - LoongArch_INS_XVADDWEV_Q_D - xvaddwev.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_Q_DU (1697) - LoongArch_INS_XVADDWEV_Q_DU - xvaddwev.q.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_Q_DU_D (1698) - LoongArch_INS_XVADDWEV_Q_DU_D - xvaddwev.q.du.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_W_H (1699) - LoongArch_INS_XVADDWEV_W_H - xvaddwev.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_W_HU (1700) - LoongArch_INS_XVADDWEV_W_HU - xvaddwev.w.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWEV_W_HU_H (1701) - LoongArch_INS_XVADDWEV_W_HU_H - xvaddwev.w.hu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_D_W (1702) - LoongArch_INS_XVADDWOD_D_W - xvaddwod.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_D_WU (1703) - LoongArch_INS_XVADDWOD_D_WU - xvaddwod.d.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_D_WU_W (1704) - LoongArch_INS_XVADDWOD_D_WU_W - xvaddwod.d.wu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_H_B (1705) - LoongArch_INS_XVADDWOD_H_B - xvaddwod.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_H_BU (1706) - LoongArch_INS_XVADDWOD_H_BU - xvaddwod.h.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_H_BU_B (1707) - LoongArch_INS_XVADDWOD_H_BU_B - xvaddwod.h.bu.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_Q_D (1708) - LoongArch_INS_XVADDWOD_Q_D - xvaddwod.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_Q_DU (1709) - LoongArch_INS_XVADDWOD_Q_DU - xvaddwod.q.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_Q_DU_D (1710) - LoongArch_INS_XVADDWOD_Q_DU_D - xvaddwod.q.du.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_W_H (1711) - LoongArch_INS_XVADDWOD_W_H - xvaddwod.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_W_HU (1712) - LoongArch_INS_XVADDWOD_W_HU - xvaddwod.w.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADDWOD_W_HU_H (1713) - LoongArch_INS_XVADDWOD_W_HU_H - xvaddwod.w.hu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADD_B (1714) - LoongArch_INS_XVADD_B - xvadd.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADD_D (1715) - LoongArch_INS_XVADD_D - xvadd.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADD_H (1716) - LoongArch_INS_XVADD_H - xvadd.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADD_Q (1717) - LoongArch_INS_XVADD_Q - xvadd.q $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVADD_W (1718) - LoongArch_INS_XVADD_W - xvadd.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVANDI_B (1719) - LoongArch_INS_XVANDI_B - xvandi.b $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVANDN_V (1720) - LoongArch_INS_XVANDN_V - xvandn.v $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAND_V (1721) - LoongArch_INS_XVAND_V - xvand.v $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVGR_B (1722) - LoongArch_INS_XVAVGR_B - xvavgr.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVGR_BU (1723) - LoongArch_INS_XVAVGR_BU - xvavgr.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVGR_D (1724) - LoongArch_INS_XVAVGR_D - xvavgr.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVGR_DU (1725) - LoongArch_INS_XVAVGR_DU - xvavgr.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVGR_H (1726) - LoongArch_INS_XVAVGR_H - xvavgr.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVGR_HU (1727) - LoongArch_INS_XVAVGR_HU - xvavgr.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVGR_W (1728) - LoongArch_INS_XVAVGR_W - xvavgr.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVGR_WU (1729) - LoongArch_INS_XVAVGR_WU - xvavgr.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVG_B (1730) - LoongArch_INS_XVAVG_B - xvavg.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVG_BU (1731) - LoongArch_INS_XVAVG_BU - xvavg.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVG_D (1732) - LoongArch_INS_XVAVG_D - xvavg.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVG_DU (1733) - LoongArch_INS_XVAVG_DU - xvavg.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVG_H (1734) - LoongArch_INS_XVAVG_H - xvavg.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVG_HU (1735) - LoongArch_INS_XVAVG_HU - xvavg.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVG_W (1736) - LoongArch_INS_XVAVG_W - xvavg.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVAVG_WU (1737) - LoongArch_INS_XVAVG_WU - xvavg.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITCLRI_B (1738) - LoongArch_INS_XVBITCLRI_B - xvbitclri.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVBITCLRI_D (1739) - LoongArch_INS_XVBITCLRI_D - xvbitclri.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVBITCLRI_H (1740) - LoongArch_INS_XVBITCLRI_H - xvbitclri.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVBITCLRI_W (1741) - LoongArch_INS_XVBITCLRI_W - xvbitclri.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVBITCLR_B (1742) - LoongArch_INS_XVBITCLR_B - xvbitclr.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITCLR_D (1743) - LoongArch_INS_XVBITCLR_D - xvbitclr.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITCLR_H (1744) - LoongArch_INS_XVBITCLR_H - xvbitclr.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITCLR_W (1745) - LoongArch_INS_XVBITCLR_W - xvbitclr.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITREVI_B (1746) - LoongArch_INS_XVBITREVI_B - xvbitrevi.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVBITREVI_D (1747) - LoongArch_INS_XVBITREVI_D - xvbitrevi.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVBITREVI_H (1748) - LoongArch_INS_XVBITREVI_H - xvbitrevi.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVBITREVI_W (1749) - LoongArch_INS_XVBITREVI_W - xvbitrevi.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVBITREV_B (1750) - LoongArch_INS_XVBITREV_B - xvbitrev.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITREV_D (1751) - LoongArch_INS_XVBITREV_D - xvbitrev.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITREV_H (1752) - LoongArch_INS_XVBITREV_H - xvbitrev.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITREV_W (1753) - LoongArch_INS_XVBITREV_W - xvbitrev.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITSELI_B (1754) - LoongArch_INS_XVBITSELI_B - xvbitseli.b $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVBITSEL_V (1755) - LoongArch_INS_XVBITSEL_V - xvbitsel.v $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVBITSETI_B (1756) - LoongArch_INS_XVBITSETI_B - xvbitseti.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVBITSETI_D (1757) - LoongArch_INS_XVBITSETI_D - xvbitseti.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVBITSETI_H (1758) - LoongArch_INS_XVBITSETI_H - xvbitseti.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVBITSETI_W (1759) - LoongArch_INS_XVBITSETI_W - xvbitseti.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVBITSET_B (1760) - LoongArch_INS_XVBITSET_B - xvbitset.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITSET_D (1761) - LoongArch_INS_XVBITSET_D - xvbitset.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITSET_H (1762) - LoongArch_INS_XVBITSET_H - xvbitset.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBITSET_W (1763) - LoongArch_INS_XVBITSET_W - xvbitset.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVBSLL_V (1764) - LoongArch_INS_XVBSLL_V - xvbsll.v $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVBSRL_V (1765) - LoongArch_INS_XVBSRL_V - xvbsrl.v $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVCLO_B (1766) - LoongArch_INS_XVCLO_B - xvclo.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVCLO_D (1767) - LoongArch_INS_XVCLO_D - xvclo.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVCLO_H (1768) - LoongArch_INS_XVCLO_H - xvclo.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVCLO_W (1769) - LoongArch_INS_XVCLO_W - xvclo.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVCLZ_B (1770) - LoongArch_INS_XVCLZ_B - xvclz.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVCLZ_D (1771) - LoongArch_INS_XVCLZ_D - xvclz.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVCLZ_H (1772) - LoongArch_INS_XVCLZ_H - xvclz.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVCLZ_W (1773) - LoongArch_INS_XVCLZ_W - xvclz.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVDIV_B (1774) - LoongArch_INS_XVDIV_B - xvdiv.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVDIV_BU (1775) - LoongArch_INS_XVDIV_BU - xvdiv.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVDIV_D (1776) - LoongArch_INS_XVDIV_D - xvdiv.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVDIV_DU (1777) - LoongArch_INS_XVDIV_DU - xvdiv.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVDIV_H (1778) - LoongArch_INS_XVDIV_H - xvdiv.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVDIV_HU (1779) - LoongArch_INS_XVDIV_HU - xvdiv.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVDIV_W (1780) - LoongArch_INS_XVDIV_W - xvdiv.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVDIV_WU (1781) - LoongArch_INS_XVDIV_WU - xvdiv.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVEXTH_DU_WU (1782) - LoongArch_INS_XVEXTH_DU_WU - xvexth.du.wu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTH_D_W (1783) - LoongArch_INS_XVEXTH_D_W - xvexth.d.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTH_HU_BU (1784) - LoongArch_INS_XVEXTH_HU_BU - xvexth.hu.bu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTH_H_B (1785) - LoongArch_INS_XVEXTH_H_B - xvexth.h.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTH_QU_DU (1786) - LoongArch_INS_XVEXTH_QU_DU - xvexth.qu.du $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTH_Q_D (1787) - LoongArch_INS_XVEXTH_Q_D - xvexth.q.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTH_WU_HU (1788) - LoongArch_INS_XVEXTH_WU_HU - xvexth.wu.hu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTH_W_H (1789) - LoongArch_INS_XVEXTH_W_H - xvexth.w.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTL_QU_DU (1790) - LoongArch_INS_XVEXTL_QU_DU - xvextl.qu.du $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTL_Q_D (1791) - LoongArch_INS_XVEXTL_Q_D - xvextl.q.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVEXTRINS_B (1792) - LoongArch_INS_XVEXTRINS_B - xvextrins.b $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVEXTRINS_D (1793) - LoongArch_INS_XVEXTRINS_D - xvextrins.d $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVEXTRINS_H (1794) - LoongArch_INS_XVEXTRINS_H - xvextrins.h $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVEXTRINS_W (1795) - LoongArch_INS_XVEXTRINS_W - xvextrins.w $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVFADD_D (1796) - LoongArch_INS_XVFADD_D - xvfadd.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFADD_S (1797) - LoongArch_INS_XVFADD_S - xvfadd.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCLASS_D (1798) - LoongArch_INS_XVFCLASS_D - xvfclass.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFCLASS_S (1799) - LoongArch_INS_XVFCLASS_S - xvfclass.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CAF_D (1800) - LoongArch_INS_XVFCMP_CAF_D - xvfcmp.caf.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CAF_S (1801) - LoongArch_INS_XVFCMP_CAF_S - xvfcmp.caf.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CEQ_D (1802) - LoongArch_INS_XVFCMP_CEQ_D - xvfcmp.ceq.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CEQ_S (1803) - LoongArch_INS_XVFCMP_CEQ_S - xvfcmp.ceq.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CLE_D (1804) - LoongArch_INS_XVFCMP_CLE_D - xvfcmp.cle.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CLE_S (1805) - LoongArch_INS_XVFCMP_CLE_S - xvfcmp.cle.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CLT_D (1806) - LoongArch_INS_XVFCMP_CLT_D - xvfcmp.clt.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CLT_S (1807) - LoongArch_INS_XVFCMP_CLT_S - xvfcmp.clt.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CNE_D (1808) - LoongArch_INS_XVFCMP_CNE_D - xvfcmp.cne.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CNE_S (1809) - LoongArch_INS_XVFCMP_CNE_S - xvfcmp.cne.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_COR_D (1810) - LoongArch_INS_XVFCMP_COR_D - xvfcmp.cor.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_COR_S (1811) - LoongArch_INS_XVFCMP_COR_S - xvfcmp.cor.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CUEQ_D (1812) - LoongArch_INS_XVFCMP_CUEQ_D - xvfcmp.cueq.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CUEQ_S (1813) - LoongArch_INS_XVFCMP_CUEQ_S - xvfcmp.cueq.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CULE_D (1814) - LoongArch_INS_XVFCMP_CULE_D - xvfcmp.cule.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CULE_S (1815) - LoongArch_INS_XVFCMP_CULE_S - xvfcmp.cule.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CULT_D (1816) - LoongArch_INS_XVFCMP_CULT_D - xvfcmp.cult.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CULT_S (1817) - LoongArch_INS_XVFCMP_CULT_S - xvfcmp.cult.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CUNE_D (1818) - LoongArch_INS_XVFCMP_CUNE_D - xvfcmp.cune.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CUNE_S (1819) - LoongArch_INS_XVFCMP_CUNE_S - xvfcmp.cune.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CUN_D (1820) - LoongArch_INS_XVFCMP_CUN_D - xvfcmp.cun.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_CUN_S (1821) - LoongArch_INS_XVFCMP_CUN_S - xvfcmp.cun.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SAF_D (1822) - LoongArch_INS_XVFCMP_SAF_D - xvfcmp.saf.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SAF_S (1823) - LoongArch_INS_XVFCMP_SAF_S - xvfcmp.saf.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SEQ_D (1824) - LoongArch_INS_XVFCMP_SEQ_D - xvfcmp.seq.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SEQ_S (1825) - LoongArch_INS_XVFCMP_SEQ_S - xvfcmp.seq.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SLE_D (1826) - LoongArch_INS_XVFCMP_SLE_D - xvfcmp.sle.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SLE_S (1827) - LoongArch_INS_XVFCMP_SLE_S - xvfcmp.sle.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SLT_D (1828) - LoongArch_INS_XVFCMP_SLT_D - xvfcmp.slt.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SLT_S (1829) - LoongArch_INS_XVFCMP_SLT_S - xvfcmp.slt.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SNE_D (1830) - LoongArch_INS_XVFCMP_SNE_D - xvfcmp.sne.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SNE_S (1831) - LoongArch_INS_XVFCMP_SNE_S - xvfcmp.sne.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SOR_D (1832) - LoongArch_INS_XVFCMP_SOR_D - xvfcmp.sor.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SOR_S (1833) - LoongArch_INS_XVFCMP_SOR_S - xvfcmp.sor.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SUEQ_D (1834) - LoongArch_INS_XVFCMP_SUEQ_D - xvfcmp.sueq.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SUEQ_S (1835) - LoongArch_INS_XVFCMP_SUEQ_S - xvfcmp.sueq.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SULE_D (1836) - LoongArch_INS_XVFCMP_SULE_D - xvfcmp.sule.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SULE_S (1837) - LoongArch_INS_XVFCMP_SULE_S - xvfcmp.sule.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SULT_D (1838) - LoongArch_INS_XVFCMP_SULT_D - xvfcmp.sult.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SULT_S (1839) - LoongArch_INS_XVFCMP_SULT_S - xvfcmp.sult.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SUNE_D (1840) - LoongArch_INS_XVFCMP_SUNE_D - xvfcmp.sune.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SUNE_S (1841) - LoongArch_INS_XVFCMP_SUNE_S - xvfcmp.sune.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SUN_D (1842) - LoongArch_INS_XVFCMP_SUN_D - xvfcmp.sun.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCMP_SUN_S (1843) - LoongArch_INS_XVFCMP_SUN_S - xvfcmp.sun.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCVTH_D_S (1844) - LoongArch_INS_XVFCVTH_D_S - xvfcvth.d.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFCVTH_S_H (1845) - LoongArch_INS_XVFCVTH_S_H - xvfcvth.s.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFCVTL_D_S (1846) - LoongArch_INS_XVFCVTL_D_S - xvfcvtl.d.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFCVTL_S_H (1847) - LoongArch_INS_XVFCVTL_S_H - xvfcvtl.s.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFCVT_H_S (1848) - LoongArch_INS_XVFCVT_H_S - xvfcvt.h.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFCVT_S_D (1849) - LoongArch_INS_XVFCVT_S_D - xvfcvt.s.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFDIV_D (1850) - LoongArch_INS_XVFDIV_D - xvfdiv.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFDIV_S (1851) - LoongArch_INS_XVFDIV_S - xvfdiv.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFFINTH_D_W (1852) - LoongArch_INS_XVFFINTH_D_W - xvffinth.d.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFFINTL_D_W (1853) - LoongArch_INS_XVFFINTL_D_W - xvffintl.d.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFFINT_D_L (1854) - LoongArch_INS_XVFFINT_D_L - xvffint.d.l $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFFINT_D_LU (1855) - LoongArch_INS_XVFFINT_D_LU - xvffint.d.lu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFFINT_S_L (1856) - LoongArch_INS_XVFFINT_S_L - xvffint.s.l $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFFINT_S_W (1857) - LoongArch_INS_XVFFINT_S_W - xvffint.s.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFFINT_S_WU (1858) - LoongArch_INS_XVFFINT_S_WU - xvffint.s.wu $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFLOGB_D (1859) - LoongArch_INS_XVFLOGB_D - xvflogb.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFLOGB_S (1860) - LoongArch_INS_XVFLOGB_S - xvflogb.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFMADD_D (1861) - LoongArch_INS_XVFMADD_D - xvfmadd.d $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVFMADD_S (1862) - LoongArch_INS_XVFMADD_S - xvfmadd.s $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVFMAXA_D (1863) - LoongArch_INS_XVFMAXA_D - xvfmaxa.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMAXA_S (1864) - LoongArch_INS_XVFMAXA_S - xvfmaxa.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMAX_D (1865) - LoongArch_INS_XVFMAX_D - xvfmax.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMAX_S (1866) - LoongArch_INS_XVFMAX_S - xvfmax.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMINA_D (1867) - LoongArch_INS_XVFMINA_D - xvfmina.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMINA_S (1868) - LoongArch_INS_XVFMINA_S - xvfmina.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMIN_D (1869) - LoongArch_INS_XVFMIN_D - xvfmin.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMIN_S (1870) - LoongArch_INS_XVFMIN_S - xvfmin.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMSUB_D (1871) - LoongArch_INS_XVFMSUB_D - xvfmsub.d $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVFMSUB_S (1872) - LoongArch_INS_XVFMSUB_S - xvfmsub.s $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVFMUL_D (1873) - LoongArch_INS_XVFMUL_D - xvfmul.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFMUL_S (1874) - LoongArch_INS_XVFMUL_S - xvfmul.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFNMADD_D (1875) - LoongArch_INS_XVFNMADD_D - xvfnmadd.d $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVFNMADD_S (1876) - LoongArch_INS_XVFNMADD_S - xvfnmadd.s $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVFNMSUB_D (1877) - LoongArch_INS_XVFNMSUB_D - xvfnmsub.d $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVFNMSUB_S (1878) - LoongArch_INS_XVFNMSUB_S - xvfnmsub.s $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVFRECIPE_D (1879) - LoongArch_INS_XVFRECIPE_D - xvfrecipe.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRECIPE_S (1880) - LoongArch_INS_XVFRECIPE_S - xvfrecipe.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRECIP_D (1881) - LoongArch_INS_XVFRECIP_D - xvfrecip.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRECIP_S (1882) - LoongArch_INS_XVFRECIP_S - xvfrecip.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINTRM_D (1883) - LoongArch_INS_XVFRINTRM_D - xvfrintrm.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINTRM_S (1884) - LoongArch_INS_XVFRINTRM_S - xvfrintrm.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINTRNE_D (1885) - LoongArch_INS_XVFRINTRNE_D - xvfrintrne.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINTRNE_S (1886) - LoongArch_INS_XVFRINTRNE_S - xvfrintrne.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINTRP_D (1887) - LoongArch_INS_XVFRINTRP_D - xvfrintrp.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINTRP_S (1888) - LoongArch_INS_XVFRINTRP_S - xvfrintrp.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINTRZ_D (1889) - LoongArch_INS_XVFRINTRZ_D - xvfrintrz.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINTRZ_S (1890) - LoongArch_INS_XVFRINTRZ_S - xvfrintrz.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINT_D (1891) - LoongArch_INS_XVFRINT_D - xvfrint.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRINT_S (1892) - LoongArch_INS_XVFRINT_S - xvfrint.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRSQRTE_D (1893) - LoongArch_INS_XVFRSQRTE_D - xvfrsqrte.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRSQRTE_S (1894) - LoongArch_INS_XVFRSQRTE_S - xvfrsqrte.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRSQRT_D (1895) - LoongArch_INS_XVFRSQRT_D - xvfrsqrt.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRSQRT_S (1896) - LoongArch_INS_XVFRSQRT_S - xvfrsqrt.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFRSTPI_B (1897) - LoongArch_INS_XVFRSTPI_B - xvfrstpi.b $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVFRSTPI_H (1898) - LoongArch_INS_XVFRSTPI_H - xvfrstpi.h $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVFRSTP_B (1899) - LoongArch_INS_XVFRSTP_B - xvfrstp.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFRSTP_H (1900) - LoongArch_INS_XVFRSTP_H - xvfrstp.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFSQRT_D (1901) - LoongArch_INS_XVFSQRT_D - xvfsqrt.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFSQRT_S (1902) - LoongArch_INS_XVFSQRT_S - xvfsqrt.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFSUB_D (1903) - LoongArch_INS_XVFSUB_D - xvfsub.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFSUB_S (1904) - LoongArch_INS_XVFSUB_S - xvfsub.s $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFTINTH_L_S (1905) - LoongArch_INS_XVFTINTH_L_S - xvftinth.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTL_L_S (1906) - LoongArch_INS_XVFTINTL_L_S - xvftintl.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRMH_L_S (1907) - LoongArch_INS_XVFTINTRMH_L_S - xvftintrmh.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRML_L_S (1908) - LoongArch_INS_XVFTINTRML_L_S - xvftintrml.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRM_L_D (1909) - LoongArch_INS_XVFTINTRM_L_D - xvftintrm.l.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRM_W_D (1910) - LoongArch_INS_XVFTINTRM_W_D - xvftintrm.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRM_W_S (1911) - LoongArch_INS_XVFTINTRM_W_S - xvftintrm.w.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRNEH_L_S (1912) - LoongArch_INS_XVFTINTRNEH_L_S - xvftintrneh.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRNEL_L_S (1913) - LoongArch_INS_XVFTINTRNEL_L_S - xvftintrnel.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRNE_L_D (1914) - LoongArch_INS_XVFTINTRNE_L_D - xvftintrne.l.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRNE_W_D (1915) - LoongArch_INS_XVFTINTRNE_W_D - xvftintrne.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRNE_W_S (1916) - LoongArch_INS_XVFTINTRNE_W_S - xvftintrne.w.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRPH_L_S (1917) - LoongArch_INS_XVFTINTRPH_L_S - xvftintrph.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRPL_L_S (1918) - LoongArch_INS_XVFTINTRPL_L_S - xvftintrpl.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRP_L_D (1919) - LoongArch_INS_XVFTINTRP_L_D - xvftintrp.l.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRP_W_D (1920) - LoongArch_INS_XVFTINTRP_W_D - xvftintrp.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRP_W_S (1921) - LoongArch_INS_XVFTINTRP_W_S - xvftintrp.w.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRZH_L_S (1922) - LoongArch_INS_XVFTINTRZH_L_S - xvftintrzh.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRZL_L_S (1923) - LoongArch_INS_XVFTINTRZL_L_S - xvftintrzl.l.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRZ_LU_D (1924) - LoongArch_INS_XVFTINTRZ_LU_D - xvftintrz.lu.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRZ_L_D (1925) - LoongArch_INS_XVFTINTRZ_L_D - xvftintrz.l.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRZ_WU_S (1926) - LoongArch_INS_XVFTINTRZ_WU_S - xvftintrz.wu.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRZ_W_D (1927) - LoongArch_INS_XVFTINTRZ_W_D - xvftintrz.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFTINTRZ_W_S (1928) - LoongArch_INS_XVFTINTRZ_W_S - xvftintrz.w.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINT_LU_D (1929) - LoongArch_INS_XVFTINT_LU_D - xvftint.lu.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINT_L_D (1930) - LoongArch_INS_XVFTINT_L_D - xvftint.l.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINT_WU_S (1931) - LoongArch_INS_XVFTINT_WU_S - xvftint.wu.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVFTINT_W_D (1932) - LoongArch_INS_XVFTINT_W_D - xvftint.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVFTINT_W_S (1933) - LoongArch_INS_XVFTINT_W_S - xvftint.w.s $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVHADDW_DU_WU (1934) - LoongArch_INS_XVHADDW_DU_WU - xvhaddw.du.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHADDW_D_W (1935) - LoongArch_INS_XVHADDW_D_W - xvhaddw.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHADDW_HU_BU (1936) - LoongArch_INS_XVHADDW_HU_BU - xvhaddw.hu.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHADDW_H_B (1937) - LoongArch_INS_XVHADDW_H_B - xvhaddw.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHADDW_QU_DU (1938) - LoongArch_INS_XVHADDW_QU_DU - xvhaddw.qu.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHADDW_Q_D (1939) - LoongArch_INS_XVHADDW_Q_D - xvhaddw.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHADDW_WU_HU (1940) - LoongArch_INS_XVHADDW_WU_HU - xvhaddw.wu.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHADDW_W_H (1941) - LoongArch_INS_XVHADDW_W_H - xvhaddw.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHSELI_D (1942) - LoongArch_INS_XVHSELI_D - xvhseli.d $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVHSUBW_DU_WU (1943) - LoongArch_INS_XVHSUBW_DU_WU - xvhsubw.du.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHSUBW_D_W (1944) - LoongArch_INS_XVHSUBW_D_W - xvhsubw.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHSUBW_HU_BU (1945) - LoongArch_INS_XVHSUBW_HU_BU - xvhsubw.hu.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHSUBW_H_B (1946) - LoongArch_INS_XVHSUBW_H_B - xvhsubw.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHSUBW_QU_DU (1947) - LoongArch_INS_XVHSUBW_QU_DU - xvhsubw.qu.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHSUBW_Q_D (1948) - LoongArch_INS_XVHSUBW_Q_D - xvhsubw.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHSUBW_WU_HU (1949) - LoongArch_INS_XVHSUBW_WU_HU - xvhsubw.wu.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVHSUBW_W_H (1950) - LoongArch_INS_XVHSUBW_W_H - xvhsubw.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVILVH_B (1951) - LoongArch_INS_XVILVH_B - xvilvh.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVILVH_D (1952) - LoongArch_INS_XVILVH_D - xvilvh.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVILVH_H (1953) - LoongArch_INS_XVILVH_H - xvilvh.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVILVH_W (1954) - LoongArch_INS_XVILVH_W - xvilvh.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVILVL_B (1955) - LoongArch_INS_XVILVL_B - xvilvl.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVILVL_D (1956) - LoongArch_INS_XVILVL_D - xvilvl.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVILVL_H (1957) - LoongArch_INS_XVILVL_H - xvilvl.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVILVL_W (1958) - LoongArch_INS_XVILVL_W - xvilvl.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVINSGR2VR_D (1959) - LoongArch_INS_XVINSGR2VR_D - xvinsgr2vr.d $xd, $rj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_XVINSGR2VR_W (1960) - LoongArch_INS_XVINSGR2VR_W - xvinsgr2vr.w $xd, $rj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVINSVE0_D (1961) - LoongArch_INS_XVINSVE0_D - xvinsve0.d $xd, $xj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_XVINSVE0_W (1962) - LoongArch_INS_XVINSVE0_W - xvinsve0.w $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVLD (1963) - LoongArch_INS_XVLD - xvld $xd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_XVLDI (1964) - LoongArch_INS_XVLDI - xvldi $xd, $imm13 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm13 */ + { 0 } +}}, +{ /* LoongArch_XVLDREPL_B (1965) - LoongArch_INS_XVLDREPL_B - xvldrepl.b $xd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_XVLDREPL_D (1966) - LoongArch_INS_XVLDREPL_D - xvldrepl.d $xd, $rj, $imm9 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm9 */ + { 0 } +}}, +{ /* LoongArch_XVLDREPL_H (1967) - LoongArch_INS_XVLDREPL_H - xvldrepl.h $xd, $rj, $imm11 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm11 */ + { 0 } +}}, +{ /* LoongArch_XVLDREPL_W (1968) - LoongArch_INS_XVLDREPL_W - xvldrepl.w $xd, $rj, $imm10 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm10 */ + { 0 } +}}, +{ /* LoongArch_XVLDX (1969) - LoongArch_INS_XVLDX - xvldx $xd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_D_W (1970) - LoongArch_INS_XVMADDWEV_D_W - xvmaddwev.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_D_WU (1971) - LoongArch_INS_XVMADDWEV_D_WU - xvmaddwev.d.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_D_WU_W (1972) - LoongArch_INS_XVMADDWEV_D_WU_W - xvmaddwev.d.wu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_H_B (1973) - LoongArch_INS_XVMADDWEV_H_B - xvmaddwev.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_H_BU (1974) - LoongArch_INS_XVMADDWEV_H_BU - xvmaddwev.h.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_H_BU_B (1975) - LoongArch_INS_XVMADDWEV_H_BU_B - xvmaddwev.h.bu.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_Q_D (1976) - LoongArch_INS_XVMADDWEV_Q_D - xvmaddwev.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_Q_DU (1977) - LoongArch_INS_XVMADDWEV_Q_DU - xvmaddwev.q.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_Q_DU_D (1978) - LoongArch_INS_XVMADDWEV_Q_DU_D - xvmaddwev.q.du.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_W_H (1979) - LoongArch_INS_XVMADDWEV_W_H - xvmaddwev.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_W_HU (1980) - LoongArch_INS_XVMADDWEV_W_HU - xvmaddwev.w.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWEV_W_HU_H (1981) - LoongArch_INS_XVMADDWEV_W_HU_H - xvmaddwev.w.hu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_D_W (1982) - LoongArch_INS_XVMADDWOD_D_W - xvmaddwod.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_D_WU (1983) - LoongArch_INS_XVMADDWOD_D_WU - xvmaddwod.d.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_D_WU_W (1984) - LoongArch_INS_XVMADDWOD_D_WU_W - xvmaddwod.d.wu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_H_B (1985) - LoongArch_INS_XVMADDWOD_H_B - xvmaddwod.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_H_BU (1986) - LoongArch_INS_XVMADDWOD_H_BU - xvmaddwod.h.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_H_BU_B (1987) - LoongArch_INS_XVMADDWOD_H_BU_B - xvmaddwod.h.bu.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_Q_D (1988) - LoongArch_INS_XVMADDWOD_Q_D - xvmaddwod.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_Q_DU (1989) - LoongArch_INS_XVMADDWOD_Q_DU - xvmaddwod.q.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_Q_DU_D (1990) - LoongArch_INS_XVMADDWOD_Q_DU_D - xvmaddwod.q.du.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_W_H (1991) - LoongArch_INS_XVMADDWOD_W_H - xvmaddwod.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_W_HU (1992) - LoongArch_INS_XVMADDWOD_W_HU - xvmaddwod.w.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADDWOD_W_HU_H (1993) - LoongArch_INS_XVMADDWOD_W_HU_H - xvmaddwod.w.hu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADD_B (1994) - LoongArch_INS_XVMADD_B - xvmadd.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADD_D (1995) - LoongArch_INS_XVMADD_D - xvmadd.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADD_H (1996) - LoongArch_INS_XVMADD_H - xvmadd.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMADD_W (1997) - LoongArch_INS_XVMADD_W - xvmadd.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMAXI_B (1998) - LoongArch_INS_XVMAXI_B - xvmaxi.b $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMAXI_BU (1999) - LoongArch_INS_XVMAXI_BU - xvmaxi.bu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMAXI_D (2000) - LoongArch_INS_XVMAXI_D - xvmaxi.d $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMAXI_DU (2001) - LoongArch_INS_XVMAXI_DU - xvmaxi.du $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMAXI_H (2002) - LoongArch_INS_XVMAXI_H - xvmaxi.h $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMAXI_HU (2003) - LoongArch_INS_XVMAXI_HU - xvmaxi.hu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMAXI_W (2004) - LoongArch_INS_XVMAXI_W - xvmaxi.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMAXI_WU (2005) - LoongArch_INS_XVMAXI_WU - xvmaxi.wu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMAX_B (2006) - LoongArch_INS_XVMAX_B - xvmax.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMAX_BU (2007) - LoongArch_INS_XVMAX_BU - xvmax.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMAX_D (2008) - LoongArch_INS_XVMAX_D - xvmax.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMAX_DU (2009) - LoongArch_INS_XVMAX_DU - xvmax.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMAX_H (2010) - LoongArch_INS_XVMAX_H - xvmax.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMAX_HU (2011) - LoongArch_INS_XVMAX_HU - xvmax.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMAX_W (2012) - LoongArch_INS_XVMAX_W - xvmax.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMAX_WU (2013) - LoongArch_INS_XVMAX_WU - xvmax.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMINI_B (2014) - LoongArch_INS_XVMINI_B - xvmini.b $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMINI_BU (2015) - LoongArch_INS_XVMINI_BU - xvmini.bu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMINI_D (2016) - LoongArch_INS_XVMINI_D - xvmini.d $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMINI_DU (2017) - LoongArch_INS_XVMINI_DU - xvmini.du $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMINI_H (2018) - LoongArch_INS_XVMINI_H - xvmini.h $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMINI_HU (2019) - LoongArch_INS_XVMINI_HU - xvmini.hu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMINI_W (2020) - LoongArch_INS_XVMINI_W - xvmini.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMINI_WU (2021) - LoongArch_INS_XVMINI_WU - xvmini.wu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVMIN_B (2022) - LoongArch_INS_XVMIN_B - xvmin.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMIN_BU (2023) - LoongArch_INS_XVMIN_BU - xvmin.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMIN_D (2024) - LoongArch_INS_XVMIN_D - xvmin.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMIN_DU (2025) - LoongArch_INS_XVMIN_DU - xvmin.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMIN_H (2026) - LoongArch_INS_XVMIN_H - xvmin.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMIN_HU (2027) - LoongArch_INS_XVMIN_HU - xvmin.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMIN_W (2028) - LoongArch_INS_XVMIN_W - xvmin.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMIN_WU (2029) - LoongArch_INS_XVMIN_WU - xvmin.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMOD_B (2030) - LoongArch_INS_XVMOD_B - xvmod.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMOD_BU (2031) - LoongArch_INS_XVMOD_BU - xvmod.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMOD_D (2032) - LoongArch_INS_XVMOD_D - xvmod.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMOD_DU (2033) - LoongArch_INS_XVMOD_DU - xvmod.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMOD_H (2034) - LoongArch_INS_XVMOD_H - xvmod.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMOD_HU (2035) - LoongArch_INS_XVMOD_HU - xvmod.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMOD_W (2036) - LoongArch_INS_XVMOD_W - xvmod.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMOD_WU (2037) - LoongArch_INS_XVMOD_WU - xvmod.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMSKGEZ_B (2038) - LoongArch_INS_XVMSKGEZ_B - xvmskgez.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVMSKLTZ_B (2039) - LoongArch_INS_XVMSKLTZ_B - xvmskltz.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVMSKLTZ_D (2040) - LoongArch_INS_XVMSKLTZ_D - xvmskltz.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVMSKLTZ_H (2041) - LoongArch_INS_XVMSKLTZ_H - xvmskltz.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVMSKLTZ_W (2042) - LoongArch_INS_XVMSKLTZ_W - xvmskltz.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVMSKNZ_B (2043) - LoongArch_INS_XVMSKNZ_B - xvmsknz.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVMSUB_B (2044) - LoongArch_INS_XVMSUB_B - xvmsub.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMSUB_D (2045) - LoongArch_INS_XVMSUB_D - xvmsub.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMSUB_H (2046) - LoongArch_INS_XVMSUB_H - xvmsub.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMSUB_W (2047) - LoongArch_INS_XVMSUB_W - xvmsub.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUH_B (2048) - LoongArch_INS_XVMUH_B - xvmuh.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUH_BU (2049) - LoongArch_INS_XVMUH_BU - xvmuh.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUH_D (2050) - LoongArch_INS_XVMUH_D - xvmuh.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUH_DU (2051) - LoongArch_INS_XVMUH_DU - xvmuh.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUH_H (2052) - LoongArch_INS_XVMUH_H - xvmuh.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUH_HU (2053) - LoongArch_INS_XVMUH_HU - xvmuh.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUH_W (2054) - LoongArch_INS_XVMUH_W - xvmuh.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUH_WU (2055) - LoongArch_INS_XVMUH_WU - xvmuh.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_D_W (2056) - LoongArch_INS_XVMULWEV_D_W - xvmulwev.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_D_WU (2057) - LoongArch_INS_XVMULWEV_D_WU - xvmulwev.d.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_D_WU_W (2058) - LoongArch_INS_XVMULWEV_D_WU_W - xvmulwev.d.wu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_H_B (2059) - LoongArch_INS_XVMULWEV_H_B - xvmulwev.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_H_BU (2060) - LoongArch_INS_XVMULWEV_H_BU - xvmulwev.h.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_H_BU_B (2061) - LoongArch_INS_XVMULWEV_H_BU_B - xvmulwev.h.bu.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_Q_D (2062) - LoongArch_INS_XVMULWEV_Q_D - xvmulwev.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_Q_DU (2063) - LoongArch_INS_XVMULWEV_Q_DU - xvmulwev.q.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_Q_DU_D (2064) - LoongArch_INS_XVMULWEV_Q_DU_D - xvmulwev.q.du.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_W_H (2065) - LoongArch_INS_XVMULWEV_W_H - xvmulwev.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_W_HU (2066) - LoongArch_INS_XVMULWEV_W_HU - xvmulwev.w.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWEV_W_HU_H (2067) - LoongArch_INS_XVMULWEV_W_HU_H - xvmulwev.w.hu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_D_W (2068) - LoongArch_INS_XVMULWOD_D_W - xvmulwod.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_D_WU (2069) - LoongArch_INS_XVMULWOD_D_WU - xvmulwod.d.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_D_WU_W (2070) - LoongArch_INS_XVMULWOD_D_WU_W - xvmulwod.d.wu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_H_B (2071) - LoongArch_INS_XVMULWOD_H_B - xvmulwod.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_H_BU (2072) - LoongArch_INS_XVMULWOD_H_BU - xvmulwod.h.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_H_BU_B (2073) - LoongArch_INS_XVMULWOD_H_BU_B - xvmulwod.h.bu.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_Q_D (2074) - LoongArch_INS_XVMULWOD_Q_D - xvmulwod.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_Q_DU (2075) - LoongArch_INS_XVMULWOD_Q_DU - xvmulwod.q.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_Q_DU_D (2076) - LoongArch_INS_XVMULWOD_Q_DU_D - xvmulwod.q.du.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_W_H (2077) - LoongArch_INS_XVMULWOD_W_H - xvmulwod.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_W_HU (2078) - LoongArch_INS_XVMULWOD_W_HU - xvmulwod.w.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMULWOD_W_HU_H (2079) - LoongArch_INS_XVMULWOD_W_HU_H - xvmulwod.w.hu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUL_B (2080) - LoongArch_INS_XVMUL_B - xvmul.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUL_D (2081) - LoongArch_INS_XVMUL_D - xvmul.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUL_H (2082) - LoongArch_INS_XVMUL_H - xvmul.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVMUL_W (2083) - LoongArch_INS_XVMUL_W - xvmul.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVNEG_B (2084) - LoongArch_INS_XVNEG_B - xvneg.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVNEG_D (2085) - LoongArch_INS_XVNEG_D - xvneg.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVNEG_H (2086) - LoongArch_INS_XVNEG_H - xvneg.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVNEG_W (2087) - LoongArch_INS_XVNEG_W - xvneg.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVNORI_B (2088) - LoongArch_INS_XVNORI_B - xvnori.b $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVNOR_V (2089) - LoongArch_INS_XVNOR_V - xvnor.v $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVORI_B (2090) - LoongArch_INS_XVORI_B - xvori.b $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVORN_V (2091) - LoongArch_INS_XVORN_V - xvorn.v $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVOR_V (2092) - LoongArch_INS_XVOR_V - xvor.v $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPACKEV_B (2093) - LoongArch_INS_XVPACKEV_B - xvpackev.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPACKEV_D (2094) - LoongArch_INS_XVPACKEV_D - xvpackev.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPACKEV_H (2095) - LoongArch_INS_XVPACKEV_H - xvpackev.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPACKEV_W (2096) - LoongArch_INS_XVPACKEV_W - xvpackev.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPACKOD_B (2097) - LoongArch_INS_XVPACKOD_B - xvpackod.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPACKOD_D (2098) - LoongArch_INS_XVPACKOD_D - xvpackod.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPACKOD_H (2099) - LoongArch_INS_XVPACKOD_H - xvpackod.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPACKOD_W (2100) - LoongArch_INS_XVPACKOD_W - xvpackod.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPCNT_B (2101) - LoongArch_INS_XVPCNT_B - xvpcnt.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVPCNT_D (2102) - LoongArch_INS_XVPCNT_D - xvpcnt.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVPCNT_H (2103) - LoongArch_INS_XVPCNT_H - xvpcnt.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVPCNT_W (2104) - LoongArch_INS_XVPCNT_W - xvpcnt.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVPERMI_D (2105) - LoongArch_INS_XVPERMI_D - xvpermi.d $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVPERMI_Q (2106) - LoongArch_INS_XVPERMI_Q - xvpermi.q $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVPERMI_W (2107) - LoongArch_INS_XVPERMI_W - xvpermi.w $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVPERM_W (2108) - LoongArch_INS_XVPERM_W - xvperm.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKEV_B (2109) - LoongArch_INS_XVPICKEV_B - xvpickev.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKEV_D (2110) - LoongArch_INS_XVPICKEV_D - xvpickev.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKEV_H (2111) - LoongArch_INS_XVPICKEV_H - xvpickev.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKEV_W (2112) - LoongArch_INS_XVPICKEV_W - xvpickev.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKOD_B (2113) - LoongArch_INS_XVPICKOD_B - xvpickod.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKOD_D (2114) - LoongArch_INS_XVPICKOD_D - xvpickod.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKOD_H (2115) - LoongArch_INS_XVPICKOD_H - xvpickod.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKOD_W (2116) - LoongArch_INS_XVPICKOD_W - xvpickod.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVPICKVE2GR_D (2117) - LoongArch_INS_XVPICKVE2GR_D - xvpickve2gr.d $rd, $xj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_XVPICKVE2GR_DU (2118) - LoongArch_INS_XVPICKVE2GR_DU - xvpickve2gr.du $rd, $xj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_XVPICKVE2GR_W (2119) - LoongArch_INS_XVPICKVE2GR_W - xvpickve2gr.w $rd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVPICKVE2GR_WU (2120) - LoongArch_INS_XVPICKVE2GR_WU - xvpickve2gr.wu $rd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVPICKVE_D (2121) - LoongArch_INS_XVPICKVE_D - xvpickve.d $xd, $xj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_XVPICKVE_W (2122) - LoongArch_INS_XVPICKVE_W - xvpickve.w $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVREPL128VEI_B (2123) - LoongArch_INS_XVREPL128VEI_B - xvrepl128vei.b $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVREPL128VEI_D (2124) - LoongArch_INS_XVREPL128VEI_D - xvrepl128vei.d $xd, $xj, $imm1 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm1 */ + { 0 } +}}, +{ /* LoongArch_XVREPL128VEI_H (2125) - LoongArch_INS_XVREPL128VEI_H - xvrepl128vei.h $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVREPL128VEI_W (2126) - LoongArch_INS_XVREPL128VEI_W - xvrepl128vei.w $xd, $xj, $imm2 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_XVREPLGR2VR_B (2127) - LoongArch_INS_XVREPLGR2VR_B - xvreplgr2vr.b $xd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_XVREPLGR2VR_D (2128) - LoongArch_INS_XVREPLGR2VR_D - xvreplgr2vr.d $xd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_XVREPLGR2VR_H (2129) - LoongArch_INS_XVREPLGR2VR_H - xvreplgr2vr.h $xd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_XVREPLGR2VR_W (2130) - LoongArch_INS_XVREPLGR2VR_W - xvreplgr2vr.w $xd, $rj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE0_B (2131) - LoongArch_INS_XVREPLVE0_B - xvreplve0.b $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE0_D (2132) - LoongArch_INS_XVREPLVE0_D - xvreplve0.d $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE0_H (2133) - LoongArch_INS_XVREPLVE0_H - xvreplve0.h $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE0_Q (2134) - LoongArch_INS_XVREPLVE0_Q - xvreplve0.q $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE0_W (2135) - LoongArch_INS_XVREPLVE0_W - xvreplve0.w $xd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE_B (2136) - LoongArch_INS_XVREPLVE_B - xvreplve.b $xd, $xj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE_D (2137) - LoongArch_INS_XVREPLVE_D - xvreplve.d $xd, $xj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE_H (2138) - LoongArch_INS_XVREPLVE_H - xvreplve.h $xd, $xj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_XVREPLVE_W (2139) - LoongArch_INS_XVREPLVE_W - xvreplve.w $xd, $xj, $rk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_XVROTRI_B (2140) - LoongArch_INS_XVROTRI_B - xvrotri.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVROTRI_D (2141) - LoongArch_INS_XVROTRI_D - xvrotri.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVROTRI_H (2142) - LoongArch_INS_XVROTRI_H - xvrotri.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVROTRI_W (2143) - LoongArch_INS_XVROTRI_W - xvrotri.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVROTR_B (2144) - LoongArch_INS_XVROTR_B - xvrotr.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVROTR_D (2145) - LoongArch_INS_XVROTR_D - xvrotr.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVROTR_H (2146) - LoongArch_INS_XVROTR_H - xvrotr.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVROTR_W (2147) - LoongArch_INS_XVROTR_W - xvrotr.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSADD_B (2148) - LoongArch_INS_XVSADD_B - xvsadd.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSADD_BU (2149) - LoongArch_INS_XVSADD_BU - xvsadd.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSADD_D (2150) - LoongArch_INS_XVSADD_D - xvsadd.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSADD_DU (2151) - LoongArch_INS_XVSADD_DU - xvsadd.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSADD_H (2152) - LoongArch_INS_XVSADD_H - xvsadd.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSADD_HU (2153) - LoongArch_INS_XVSADD_HU - xvsadd.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSADD_W (2154) - LoongArch_INS_XVSADD_W - xvsadd.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSADD_WU (2155) - LoongArch_INS_XVSADD_WU - xvsadd.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSAT_B (2156) - LoongArch_INS_XVSAT_B - xvsat.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSAT_BU (2157) - LoongArch_INS_XVSAT_BU - xvsat.bu $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSAT_D (2158) - LoongArch_INS_XVSAT_D - xvsat.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSAT_DU (2159) - LoongArch_INS_XVSAT_DU - xvsat.du $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSAT_H (2160) - LoongArch_INS_XVSAT_H - xvsat.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSAT_HU (2161) - LoongArch_INS_XVSAT_HU - xvsat.hu $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSAT_W (2162) - LoongArch_INS_XVSAT_W - xvsat.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSAT_WU (2163) - LoongArch_INS_XVSAT_WU - xvsat.wu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSEQI_B (2164) - LoongArch_INS_XVSEQI_B - xvseqi.b $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSEQI_D (2165) - LoongArch_INS_XVSEQI_D - xvseqi.d $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSEQI_H (2166) - LoongArch_INS_XVSEQI_H - xvseqi.h $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSEQI_W (2167) - LoongArch_INS_XVSEQI_W - xvseqi.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSEQ_B (2168) - LoongArch_INS_XVSEQ_B - xvseq.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSEQ_D (2169) - LoongArch_INS_XVSEQ_D - xvseq.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSEQ_H (2170) - LoongArch_INS_XVSEQ_H - xvseq.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSEQ_W (2171) - LoongArch_INS_XVSEQ_W - xvseq.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSETALLNEZ_B (2172) - LoongArch_INS_XVSETALLNEZ_B - xvsetallnez.b $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETALLNEZ_D (2173) - LoongArch_INS_XVSETALLNEZ_D - xvsetallnez.d $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETALLNEZ_H (2174) - LoongArch_INS_XVSETALLNEZ_H - xvsetallnez.h $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETALLNEZ_W (2175) - LoongArch_INS_XVSETALLNEZ_W - xvsetallnez.w $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETANYEQZ_B (2176) - LoongArch_INS_XVSETANYEQZ_B - xvsetanyeqz.b $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETANYEQZ_D (2177) - LoongArch_INS_XVSETANYEQZ_D - xvsetanyeqz.d $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETANYEQZ_H (2178) - LoongArch_INS_XVSETANYEQZ_H - xvsetanyeqz.h $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETANYEQZ_W (2179) - LoongArch_INS_XVSETANYEQZ_W - xvsetanyeqz.w $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETEQZ_V (2180) - LoongArch_INS_XVSETEQZ_V - xvseteqz.v $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSETNEZ_V (2181) - LoongArch_INS_XVSETNEZ_V - xvsetnez.v $cd, $xj */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* cd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { 0 } +}}, +{ /* LoongArch_XVSHUF4I_B (2182) - LoongArch_INS_XVSHUF4I_B - xvshuf4i.b $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVSHUF4I_D (2183) - LoongArch_INS_XVSHUF4I_D - xvshuf4i.d $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVSHUF4I_H (2184) - LoongArch_INS_XVSHUF4I_H - xvshuf4i.h $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVSHUF4I_W (2185) - LoongArch_INS_XVSHUF4I_W - xvshuf4i.w $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVSHUF_B (2186) - LoongArch_INS_XVSHUF_B - xvshuf.b $xd, $xj, $xk, $xa */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xa */ + { 0 } +}}, +{ /* LoongArch_XVSHUF_D (2187) - LoongArch_INS_XVSHUF_D - xvshuf.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSHUF_H (2188) - LoongArch_INS_XVSHUF_H - xvshuf.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSHUF_W (2189) - LoongArch_INS_XVSHUF_W - xvshuf.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSIGNCOV_B (2190) - LoongArch_INS_XVSIGNCOV_B - xvsigncov.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSIGNCOV_D (2191) - LoongArch_INS_XVSIGNCOV_D - xvsigncov.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSIGNCOV_H (2192) - LoongArch_INS_XVSIGNCOV_H - xvsigncov.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSIGNCOV_W (2193) - LoongArch_INS_XVSIGNCOV_W - xvsigncov.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLEI_B (2194) - LoongArch_INS_XVSLEI_B - xvslei.b $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLEI_BU (2195) - LoongArch_INS_XVSLEI_BU - xvslei.bu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLEI_D (2196) - LoongArch_INS_XVSLEI_D - xvslei.d $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLEI_DU (2197) - LoongArch_INS_XVSLEI_DU - xvslei.du $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLEI_H (2198) - LoongArch_INS_XVSLEI_H - xvslei.h $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLEI_HU (2199) - LoongArch_INS_XVSLEI_HU - xvslei.hu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLEI_W (2200) - LoongArch_INS_XVSLEI_W - xvslei.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLEI_WU (2201) - LoongArch_INS_XVSLEI_WU - xvslei.wu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLE_B (2202) - LoongArch_INS_XVSLE_B - xvsle.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLE_BU (2203) - LoongArch_INS_XVSLE_BU - xvsle.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLE_D (2204) - LoongArch_INS_XVSLE_D - xvsle.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLE_DU (2205) - LoongArch_INS_XVSLE_DU - xvsle.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLE_H (2206) - LoongArch_INS_XVSLE_H - xvsle.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLE_HU (2207) - LoongArch_INS_XVSLE_HU - xvsle.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLE_W (2208) - LoongArch_INS_XVSLE_W - xvsle.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLE_WU (2209) - LoongArch_INS_XVSLE_WU - xvsle.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLLI_B (2210) - LoongArch_INS_XVSLLI_B - xvslli.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSLLI_D (2211) - LoongArch_INS_XVSLLI_D - xvslli.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSLLI_H (2212) - LoongArch_INS_XVSLLI_H - xvslli.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSLLI_W (2213) - LoongArch_INS_XVSLLI_W - xvslli.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLLWIL_DU_WU (2214) - LoongArch_INS_XVSLLWIL_DU_WU - xvsllwil.du.wu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLLWIL_D_W (2215) - LoongArch_INS_XVSLLWIL_D_W - xvsllwil.d.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLLWIL_HU_BU (2216) - LoongArch_INS_XVSLLWIL_HU_BU - xvsllwil.hu.bu $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSLLWIL_H_B (2217) - LoongArch_INS_XVSLLWIL_H_B - xvsllwil.h.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSLLWIL_WU_HU (2218) - LoongArch_INS_XVSLLWIL_WU_HU - xvsllwil.wu.hu $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSLLWIL_W_H (2219) - LoongArch_INS_XVSLLWIL_W_H - xvsllwil.w.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSLL_B (2220) - LoongArch_INS_XVSLL_B - xvsll.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLL_D (2221) - LoongArch_INS_XVSLL_D - xvsll.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLL_H (2222) - LoongArch_INS_XVSLL_H - xvsll.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLL_W (2223) - LoongArch_INS_XVSLL_W - xvsll.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLTI_B (2224) - LoongArch_INS_XVSLTI_B - xvslti.b $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLTI_BU (2225) - LoongArch_INS_XVSLTI_BU - xvslti.bu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLTI_D (2226) - LoongArch_INS_XVSLTI_D - xvslti.d $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLTI_DU (2227) - LoongArch_INS_XVSLTI_DU - xvslti.du $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLTI_H (2228) - LoongArch_INS_XVSLTI_H - xvslti.h $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLTI_HU (2229) - LoongArch_INS_XVSLTI_HU - xvslti.hu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLTI_W (2230) - LoongArch_INS_XVSLTI_W - xvslti.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLTI_WU (2231) - LoongArch_INS_XVSLTI_WU - xvslti.wu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSLT_B (2232) - LoongArch_INS_XVSLT_B - xvslt.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLT_BU (2233) - LoongArch_INS_XVSLT_BU - xvslt.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLT_D (2234) - LoongArch_INS_XVSLT_D - xvslt.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLT_DU (2235) - LoongArch_INS_XVSLT_DU - xvslt.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLT_H (2236) - LoongArch_INS_XVSLT_H - xvslt.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLT_HU (2237) - LoongArch_INS_XVSLT_HU - xvslt.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLT_W (2238) - LoongArch_INS_XVSLT_W - xvslt.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSLT_WU (2239) - LoongArch_INS_XVSLT_WU - xvslt.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRAI_B (2240) - LoongArch_INS_XVSRAI_B - xvsrai.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSRAI_D (2241) - LoongArch_INS_XVSRAI_D - xvsrai.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSRAI_H (2242) - LoongArch_INS_XVSRAI_H - xvsrai.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSRAI_W (2243) - LoongArch_INS_XVSRAI_W - xvsrai.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSRANI_B_H (2244) - LoongArch_INS_XVSRANI_B_H - xvsrani.b.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSRANI_D_Q (2245) - LoongArch_INS_XVSRANI_D_Q - xvsrani.d.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSRANI_H_W (2246) - LoongArch_INS_XVSRANI_H_W - xvsrani.h.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSRANI_W_D (2247) - LoongArch_INS_XVSRANI_W_D - xvsrani.w.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSRAN_B_H (2248) - LoongArch_INS_XVSRAN_B_H - xvsran.b.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRAN_H_W (2249) - LoongArch_INS_XVSRAN_H_W - xvsran.h.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRAN_W_D (2250) - LoongArch_INS_XVSRAN_W_D - xvsran.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRARI_B (2251) - LoongArch_INS_XVSRARI_B - xvsrari.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSRARI_D (2252) - LoongArch_INS_XVSRARI_D - xvsrari.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSRARI_H (2253) - LoongArch_INS_XVSRARI_H - xvsrari.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSRARI_W (2254) - LoongArch_INS_XVSRARI_W - xvsrari.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSRARNI_B_H (2255) - LoongArch_INS_XVSRARNI_B_H - xvsrarni.b.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSRARNI_D_Q (2256) - LoongArch_INS_XVSRARNI_D_Q - xvsrarni.d.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSRARNI_H_W (2257) - LoongArch_INS_XVSRARNI_H_W - xvsrarni.h.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSRARNI_W_D (2258) - LoongArch_INS_XVSRARNI_W_D - xvsrarni.w.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSRARN_B_H (2259) - LoongArch_INS_XVSRARN_B_H - xvsrarn.b.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRARN_H_W (2260) - LoongArch_INS_XVSRARN_H_W - xvsrarn.h.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRARN_W_D (2261) - LoongArch_INS_XVSRARN_W_D - xvsrarn.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRAR_B (2262) - LoongArch_INS_XVSRAR_B - xvsrar.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRAR_D (2263) - LoongArch_INS_XVSRAR_D - xvsrar.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRAR_H (2264) - LoongArch_INS_XVSRAR_H - xvsrar.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRAR_W (2265) - LoongArch_INS_XVSRAR_W - xvsrar.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRA_B (2266) - LoongArch_INS_XVSRA_B - xvsra.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRA_D (2267) - LoongArch_INS_XVSRA_D - xvsra.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRA_H (2268) - LoongArch_INS_XVSRA_H - xvsra.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRA_W (2269) - LoongArch_INS_XVSRA_W - xvsra.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLI_B (2270) - LoongArch_INS_XVSRLI_B - xvsrli.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSRLI_D (2271) - LoongArch_INS_XVSRLI_D - xvsrli.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSRLI_H (2272) - LoongArch_INS_XVSRLI_H - xvsrli.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSRLI_W (2273) - LoongArch_INS_XVSRLI_W - xvsrli.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSRLNI_B_H (2274) - LoongArch_INS_XVSRLNI_B_H - xvsrlni.b.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSRLNI_D_Q (2275) - LoongArch_INS_XVSRLNI_D_Q - xvsrlni.d.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSRLNI_H_W (2276) - LoongArch_INS_XVSRLNI_H_W - xvsrlni.h.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSRLNI_W_D (2277) - LoongArch_INS_XVSRLNI_W_D - xvsrlni.w.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSRLN_B_H (2278) - LoongArch_INS_XVSRLN_B_H - xvsrln.b.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLN_H_W (2279) - LoongArch_INS_XVSRLN_H_W - xvsrln.h.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLN_W_D (2280) - LoongArch_INS_XVSRLN_W_D - xvsrln.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLRI_B (2281) - LoongArch_INS_XVSRLRI_B - xvsrlri.b $xd, $xj, $imm3 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSRLRI_D (2282) - LoongArch_INS_XVSRLRI_D - xvsrlri.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSRLRI_H (2283) - LoongArch_INS_XVSRLRI_H - xvsrlri.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSRLRI_W (2284) - LoongArch_INS_XVSRLRI_W - xvsrlri.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSRLRNI_B_H (2285) - LoongArch_INS_XVSRLRNI_B_H - xvsrlrni.b.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSRLRNI_D_Q (2286) - LoongArch_INS_XVSRLRNI_D_Q - xvsrlrni.d.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSRLRNI_H_W (2287) - LoongArch_INS_XVSRLRNI_H_W - xvsrlrni.h.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSRLRNI_W_D (2288) - LoongArch_INS_XVSRLRNI_W_D - xvsrlrni.w.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSRLRN_B_H (2289) - LoongArch_INS_XVSRLRN_B_H - xvsrlrn.b.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLRN_H_W (2290) - LoongArch_INS_XVSRLRN_H_W - xvsrlrn.h.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLRN_W_D (2291) - LoongArch_INS_XVSRLRN_W_D - xvsrlrn.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLR_B (2292) - LoongArch_INS_XVSRLR_B - xvsrlr.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLR_D (2293) - LoongArch_INS_XVSRLR_D - xvsrlr.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLR_H (2294) - LoongArch_INS_XVSRLR_H - xvsrlr.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRLR_W (2295) - LoongArch_INS_XVSRLR_W - xvsrlr.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRL_B (2296) - LoongArch_INS_XVSRL_B - xvsrl.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRL_D (2297) - LoongArch_INS_XVSRL_D - xvsrl.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRL_H (2298) - LoongArch_INS_XVSRL_H - xvsrl.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSRL_W (2299) - LoongArch_INS_XVSRL_W - xvsrl.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRANI_BU_H (2300) - LoongArch_INS_XVSSRANI_BU_H - xvssrani.bu.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSSRANI_B_H (2301) - LoongArch_INS_XVSSRANI_B_H - xvssrani.b.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSSRANI_DU_Q (2302) - LoongArch_INS_XVSSRANI_DU_Q - xvssrani.du.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSSRANI_D_Q (2303) - LoongArch_INS_XVSSRANI_D_Q - xvssrani.d.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSSRANI_HU_W (2304) - LoongArch_INS_XVSSRANI_HU_W - xvssrani.hu.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSSRANI_H_W (2305) - LoongArch_INS_XVSSRANI_H_W - xvssrani.h.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSSRANI_WU_D (2306) - LoongArch_INS_XVSSRANI_WU_D - xvssrani.wu.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSSRANI_W_D (2307) - LoongArch_INS_XVSSRANI_W_D - xvssrani.w.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSSRAN_BU_H (2308) - LoongArch_INS_XVSSRAN_BU_H - xvssran.bu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRAN_B_H (2309) - LoongArch_INS_XVSSRAN_B_H - xvssran.b.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRAN_HU_W (2310) - LoongArch_INS_XVSSRAN_HU_W - xvssran.hu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRAN_H_W (2311) - LoongArch_INS_XVSSRAN_H_W - xvssran.h.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRAN_WU_D (2312) - LoongArch_INS_XVSSRAN_WU_D - xvssran.wu.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRAN_W_D (2313) - LoongArch_INS_XVSSRAN_W_D - xvssran.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRARNI_BU_H (2314) - LoongArch_INS_XVSSRARNI_BU_H - xvssrarni.bu.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSSRARNI_B_H (2315) - LoongArch_INS_XVSSRARNI_B_H - xvssrarni.b.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSSRARNI_DU_Q (2316) - LoongArch_INS_XVSSRARNI_DU_Q - xvssrarni.du.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSSRARNI_D_Q (2317) - LoongArch_INS_XVSSRARNI_D_Q - xvssrarni.d.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSSRARNI_HU_W (2318) - LoongArch_INS_XVSSRARNI_HU_W - xvssrarni.hu.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSSRARNI_H_W (2319) - LoongArch_INS_XVSSRARNI_H_W - xvssrarni.h.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSSRARNI_WU_D (2320) - LoongArch_INS_XVSSRARNI_WU_D - xvssrarni.wu.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSSRARNI_W_D (2321) - LoongArch_INS_XVSSRARNI_W_D - xvssrarni.w.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSSRARN_BU_H (2322) - LoongArch_INS_XVSSRARN_BU_H - xvssrarn.bu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRARN_B_H (2323) - LoongArch_INS_XVSSRARN_B_H - xvssrarn.b.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRARN_HU_W (2324) - LoongArch_INS_XVSSRARN_HU_W - xvssrarn.hu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRARN_H_W (2325) - LoongArch_INS_XVSSRARN_H_W - xvssrarn.h.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRARN_WU_D (2326) - LoongArch_INS_XVSSRARN_WU_D - xvssrarn.wu.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRARN_W_D (2327) - LoongArch_INS_XVSSRARN_W_D - xvssrarn.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLNI_BU_H (2328) - LoongArch_INS_XVSSRLNI_BU_H - xvssrlni.bu.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLNI_B_H (2329) - LoongArch_INS_XVSSRLNI_B_H - xvssrlni.b.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLNI_DU_Q (2330) - LoongArch_INS_XVSSRLNI_DU_Q - xvssrlni.du.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLNI_D_Q (2331) - LoongArch_INS_XVSSRLNI_D_Q - xvssrlni.d.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLNI_HU_W (2332) - LoongArch_INS_XVSSRLNI_HU_W - xvssrlni.hu.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLNI_H_W (2333) - LoongArch_INS_XVSSRLNI_H_W - xvssrlni.h.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLNI_WU_D (2334) - LoongArch_INS_XVSSRLNI_WU_D - xvssrlni.wu.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLNI_W_D (2335) - LoongArch_INS_XVSSRLNI_W_D - xvssrlni.w.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLN_BU_H (2336) - LoongArch_INS_XVSSRLN_BU_H - xvssrln.bu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLN_B_H (2337) - LoongArch_INS_XVSSRLN_B_H - xvssrln.b.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLN_HU_W (2338) - LoongArch_INS_XVSSRLN_HU_W - xvssrln.hu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLN_H_W (2339) - LoongArch_INS_XVSSRLN_H_W - xvssrln.h.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLN_WU_D (2340) - LoongArch_INS_XVSSRLN_WU_D - xvssrln.wu.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLN_W_D (2341) - LoongArch_INS_XVSSRLN_W_D - xvssrln.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRNI_BU_H (2342) - LoongArch_INS_XVSSRLRNI_BU_H - xvssrlrni.bu.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRNI_B_H (2343) - LoongArch_INS_XVSSRLRNI_B_H - xvssrlrni.b.h $xd, $xj, $imm4 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRNI_DU_Q (2344) - LoongArch_INS_XVSSRLRNI_DU_Q - xvssrlrni.du.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRNI_D_Q (2345) - LoongArch_INS_XVSSRLRNI_D_Q - xvssrlrni.d.q $xd, $xj, $imm7 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm7 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRNI_HU_W (2346) - LoongArch_INS_XVSSRLRNI_HU_W - xvssrlrni.hu.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRNI_H_W (2347) - LoongArch_INS_XVSSRLRNI_H_W - xvssrlrni.h.w $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRNI_WU_D (2348) - LoongArch_INS_XVSSRLRNI_WU_D - xvssrlrni.wu.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRNI_W_D (2349) - LoongArch_INS_XVSSRLRNI_W_D - xvssrlrni.w.d $xd, $xj, $imm6 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* dst */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm6 */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRN_BU_H (2350) - LoongArch_INS_XVSSRLRN_BU_H - xvssrlrn.bu.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRN_B_H (2351) - LoongArch_INS_XVSSRLRN_B_H - xvssrlrn.b.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRN_HU_W (2352) - LoongArch_INS_XVSSRLRN_HU_W - xvssrlrn.hu.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRN_H_W (2353) - LoongArch_INS_XVSSRLRN_H_W - xvssrlrn.h.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRN_WU_D (2354) - LoongArch_INS_XVSSRLRN_WU_D - xvssrlrn.wu.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSRLRN_W_D (2355) - LoongArch_INS_XVSSRLRN_W_D - xvssrlrn.w.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSUB_B (2356) - LoongArch_INS_XVSSUB_B - xvssub.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSUB_BU (2357) - LoongArch_INS_XVSSUB_BU - xvssub.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSUB_D (2358) - LoongArch_INS_XVSSUB_D - xvssub.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSUB_DU (2359) - LoongArch_INS_XVSSUB_DU - xvssub.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSUB_H (2360) - LoongArch_INS_XVSSUB_H - xvssub.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSUB_HU (2361) - LoongArch_INS_XVSSUB_HU - xvssub.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSUB_W (2362) - LoongArch_INS_XVSSUB_W - xvssub.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSSUB_WU (2363) - LoongArch_INS_XVSSUB_WU - xvssub.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVST (2364) - LoongArch_INS_XVST - xvst $xd, $rj, $imm12 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM | CS_OP_MEM, CS_AC_WRITE, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm12 */ + { 0 } +}}, +{ /* LoongArch_XVSTELM_B (2365) - LoongArch_INS_XVSTELM_B - xvstelm.b $xd, $rj, $imm8, $imm5 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSTELM_D (2366) - LoongArch_INS_XVSTELM_D - xvstelm.d $xd, $rj, $imm8, $imm2 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm2 */ + { 0 } +}}, +{ /* LoongArch_XVSTELM_H (2367) - LoongArch_INS_XVSTELM_H - xvstelm.h $xd, $rj, $imm8, $imm4 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm4 */ + { 0 } +}}, +{ /* LoongArch_XVSTELM_W (2368) - LoongArch_INS_XVSTELM_W - xvstelm.w $xd, $rj, $imm8, $imm3 */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm3 */ + { 0 } +}}, +{ /* LoongArch_XVSTX (2369) - LoongArch_INS_XVSTX - xvstx $xd, $rj, $rk */ +{ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* rk */ + { 0 } +}}, +{ /* LoongArch_XVSUBI_BU (2370) - LoongArch_INS_XVSUBI_BU - xvsubi.bu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSUBI_DU (2371) - LoongArch_INS_XVSUBI_DU - xvsubi.du $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSUBI_HU (2372) - LoongArch_INS_XVSUBI_HU - xvsubi.hu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSUBI_WU (2373) - LoongArch_INS_XVSUBI_WU - xvsubi.wu $xd, $xj, $imm5 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm5 */ + { 0 } +}}, +{ /* LoongArch_XVSUBWEV_D_W (2374) - LoongArch_INS_XVSUBWEV_D_W - xvsubwev.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWEV_D_WU (2375) - LoongArch_INS_XVSUBWEV_D_WU - xvsubwev.d.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWEV_H_B (2376) - LoongArch_INS_XVSUBWEV_H_B - xvsubwev.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWEV_H_BU (2377) - LoongArch_INS_XVSUBWEV_H_BU - xvsubwev.h.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWEV_Q_D (2378) - LoongArch_INS_XVSUBWEV_Q_D - xvsubwev.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWEV_Q_DU (2379) - LoongArch_INS_XVSUBWEV_Q_DU - xvsubwev.q.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWEV_W_H (2380) - LoongArch_INS_XVSUBWEV_W_H - xvsubwev.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWEV_W_HU (2381) - LoongArch_INS_XVSUBWEV_W_HU - xvsubwev.w.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWOD_D_W (2382) - LoongArch_INS_XVSUBWOD_D_W - xvsubwod.d.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWOD_D_WU (2383) - LoongArch_INS_XVSUBWOD_D_WU - xvsubwod.d.wu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWOD_H_B (2384) - LoongArch_INS_XVSUBWOD_H_B - xvsubwod.h.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWOD_H_BU (2385) - LoongArch_INS_XVSUBWOD_H_BU - xvsubwod.h.bu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWOD_Q_D (2386) - LoongArch_INS_XVSUBWOD_Q_D - xvsubwod.q.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWOD_Q_DU (2387) - LoongArch_INS_XVSUBWOD_Q_DU - xvsubwod.q.du $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWOD_W_H (2388) - LoongArch_INS_XVSUBWOD_W_H - xvsubwod.w.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUBWOD_W_HU (2389) - LoongArch_INS_XVSUBWOD_W_HU - xvsubwod.w.hu $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUB_B (2390) - LoongArch_INS_XVSUB_B - xvsub.b $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUB_D (2391) - LoongArch_INS_XVSUB_D - xvsub.d $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUB_H (2392) - LoongArch_INS_XVSUB_H - xvsub.h $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUB_Q (2393) - LoongArch_INS_XVSUB_Q - xvsub.q $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVSUB_W (2394) - LoongArch_INS_XVSUB_W - xvsub.w $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, +{ /* LoongArch_XVXORI_B (2395) - LoongArch_INS_XVXORI_B - xvxori.b $xd, $xj, $imm8 */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_IMM, CS_AC_READ, { CS_DATA_TYPE_INVALID_SIMPLE_VALUE_TYPE, CS_DATA_TYPE_LAST } }, /* imm8 */ + { 0 } +}}, +{ /* LoongArch_XVXOR_V (2396) - LoongArch_INS_XVXOR_V - xvxor.v $xd, $xj, $xk */ +{ + { CS_OP_REG, CS_AC_WRITE, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xd */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xj */ + { CS_OP_REG, CS_AC_READ, { CS_DATA_TYPE_v8f32, CS_DATA_TYPE_v4f64, CS_DATA_TYPE_v32i8, CS_DATA_TYPE_v16i16, CS_DATA_TYPE_v8i32, CS_DATA_TYPE_v4i64, CS_DATA_TYPE_LAST } }, /* xk */ + { 0 } +}}, diff --git a/arch/LoongArch/LoongArchGenCSOpGroup.inc b/arch/LoongArch/LoongArchGenCSOpGroup.inc new file mode 100644 index 0000000000..2a02f81e33 --- /dev/null +++ b/arch/LoongArch/LoongArchGenCSOpGroup.inc @@ -0,0 +1,15 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + + LoongArch_OP_GROUP_Operand = 0, + LoongArch_OP_GROUP_AtomicMemOp = 1, diff --git a/arch/LoongArch/LoongArchGenDisassemblerTables.inc b/arch/LoongArch/LoongArchGenDisassemblerTables.inc new file mode 100644 index 0000000000..12fe0f409b --- /dev/null +++ b/arch/LoongArch/LoongArchGenDisassemblerTables.inc @@ -0,0 +1,6662 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + +#include "../../MCInst.h" +#include "../../LEB128.h" + +// Helper function for extracting fields from encoded instructions. +#define FieldFromInstruction(fname, InsnType) \ +static InsnType fname(InsnType insn, unsigned startBit, unsigned numBits) \ +{ \ + InsnType fieldMask; \ + if (numBits == sizeof(InsnType) * 8) \ + fieldMask = (InsnType)(-1LL); \ + else \ + fieldMask = (((InsnType)1 << numBits) - 1) << startBit; \ + return (insn & fieldMask) >> startBit; \ +} + +static bool Check(DecodeStatus *Out, const DecodeStatus In) { + *Out = (DecodeStatus) (*Out & In); + return *Out != MCDisassembler_Fail; +} + +static const uint8_t DecoderTable32[] = { +/* 0 */ MCD_OPC_ExtractField, 26, 6, // Inst{31-26} ... +/* 3 */ MCD_OPC_FilterValue, 0, 68, 17, 0, // Skip to: 4428 +/* 8 */ MCD_OPC_ExtractField, 22, 4, // Inst{25-22} ... +/* 11 */ MCD_OPC_FilterValue, 0, 69, 10, 0, // Skip to: 2645 +/* 16 */ MCD_OPC_ExtractField, 18, 4, // Inst{21-18} ... +/* 19 */ MCD_OPC_FilterValue, 0, 104, 2, 0, // Skip to: 640 +/* 24 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 27 */ MCD_OPC_FilterValue, 0, 112, 1, 0, // Skip to: 400 +/* 32 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 35 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 51 +/* 40 */ MCD_OPC_CheckField, 2, 3, 0, 79, 95, 0, // Skip to: 24446 +/* 47 */ MCD_OPC_Decode, 212, 5, 0, // Opcode: MOVGR2SCR +/* 51 */ MCD_OPC_FilterValue, 3, 11, 0, 0, // Skip to: 67 +/* 56 */ MCD_OPC_CheckField, 7, 3, 0, 63, 95, 0, // Skip to: 24446 +/* 63 */ MCD_OPC_Decode, 213, 5, 1, // Opcode: MOVSCR2GR +/* 67 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 76 +/* 72 */ MCD_OPC_Decode, 223, 3, 2, // Opcode: CLO_W +/* 76 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 85 +/* 81 */ MCD_OPC_Decode, 225, 3, 2, // Opcode: CLZ_W +/* 85 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 94 +/* 90 */ MCD_OPC_Decode, 239, 3, 2, // Opcode: CTO_W +/* 94 */ MCD_OPC_FilterValue, 7, 4, 0, 0, // Skip to: 103 +/* 99 */ MCD_OPC_Decode, 241, 3, 2, // Opcode: CTZ_W +/* 103 */ MCD_OPC_FilterValue, 8, 9, 0, 0, // Skip to: 117 +/* 108 */ MCD_OPC_CheckPredicate, 0, 13, 95, 0, // Skip to: 24446 +/* 113 */ MCD_OPC_Decode, 222, 3, 2, // Opcode: CLO_D +/* 117 */ MCD_OPC_FilterValue, 9, 9, 0, 0, // Skip to: 131 +/* 122 */ MCD_OPC_CheckPredicate, 0, 255, 94, 0, // Skip to: 24446 +/* 127 */ MCD_OPC_Decode, 224, 3, 2, // Opcode: CLZ_D +/* 131 */ MCD_OPC_FilterValue, 10, 9, 0, 0, // Skip to: 145 +/* 136 */ MCD_OPC_CheckPredicate, 0, 241, 94, 0, // Skip to: 24446 +/* 141 */ MCD_OPC_Decode, 238, 3, 2, // Opcode: CTO_D +/* 145 */ MCD_OPC_FilterValue, 11, 9, 0, 0, // Skip to: 159 +/* 150 */ MCD_OPC_CheckPredicate, 0, 227, 94, 0, // Skip to: 24446 +/* 155 */ MCD_OPC_Decode, 240, 3, 2, // Opcode: CTZ_D +/* 159 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 168 +/* 164 */ MCD_OPC_Decode, 243, 5, 2, // Opcode: REVB_2H +/* 168 */ MCD_OPC_FilterValue, 13, 9, 0, 0, // Skip to: 182 +/* 173 */ MCD_OPC_CheckPredicate, 0, 204, 94, 0, // Skip to: 24446 +/* 178 */ MCD_OPC_Decode, 245, 5, 2, // Opcode: REVB_4H +/* 182 */ MCD_OPC_FilterValue, 14, 9, 0, 0, // Skip to: 196 +/* 187 */ MCD_OPC_CheckPredicate, 0, 190, 94, 0, // Skip to: 24446 +/* 192 */ MCD_OPC_Decode, 244, 5, 2, // Opcode: REVB_2W +/* 196 */ MCD_OPC_FilterValue, 15, 9, 0, 0, // Skip to: 210 +/* 201 */ MCD_OPC_CheckPredicate, 0, 176, 94, 0, // Skip to: 24446 +/* 206 */ MCD_OPC_Decode, 246, 5, 2, // Opcode: REVB_D +/* 210 */ MCD_OPC_FilterValue, 16, 9, 0, 0, // Skip to: 224 +/* 215 */ MCD_OPC_CheckPredicate, 0, 162, 94, 0, // Skip to: 24446 +/* 220 */ MCD_OPC_Decode, 247, 5, 2, // Opcode: REVH_2W +/* 224 */ MCD_OPC_FilterValue, 17, 9, 0, 0, // Skip to: 238 +/* 229 */ MCD_OPC_CheckPredicate, 0, 148, 94, 0, // Skip to: 24446 +/* 234 */ MCD_OPC_Decode, 248, 5, 2, // Opcode: REVH_D +/* 238 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 247 +/* 243 */ MCD_OPC_Decode, 205, 3, 2, // Opcode: BITREV_4B +/* 247 */ MCD_OPC_FilterValue, 19, 9, 0, 0, // Skip to: 261 +/* 252 */ MCD_OPC_CheckPredicate, 0, 125, 94, 0, // Skip to: 24446 +/* 257 */ MCD_OPC_Decode, 206, 3, 2, // Opcode: BITREV_8B +/* 261 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 270 +/* 266 */ MCD_OPC_Decode, 208, 3, 2, // Opcode: BITREV_W +/* 270 */ MCD_OPC_FilterValue, 21, 9, 0, 0, // Skip to: 284 +/* 275 */ MCD_OPC_CheckPredicate, 0, 102, 94, 0, // Skip to: 24446 +/* 280 */ MCD_OPC_Decode, 207, 3, 2, // Opcode: BITREV_D +/* 284 */ MCD_OPC_FilterValue, 22, 4, 0, 0, // Skip to: 293 +/* 289 */ MCD_OPC_Decode, 250, 3, 2, // Opcode: EXT_W_H +/* 293 */ MCD_OPC_FilterValue, 23, 4, 0, 0, // Skip to: 302 +/* 298 */ MCD_OPC_Decode, 249, 3, 2, // Opcode: EXT_W_B +/* 302 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 311 +/* 307 */ MCD_OPC_Decode, 241, 5, 2, // Opcode: RDTIMEL_W +/* 311 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 320 +/* 316 */ MCD_OPC_Decode, 240, 5, 2, // Opcode: RDTIMEH_W +/* 320 */ MCD_OPC_FilterValue, 26, 9, 0, 0, // Skip to: 334 +/* 325 */ MCD_OPC_CheckPredicate, 0, 52, 94, 0, // Skip to: 24446 +/* 330 */ MCD_OPC_Decode, 242, 5, 2, // Opcode: RDTIME_D +/* 334 */ MCD_OPC_FilterValue, 27, 4, 0, 0, // Skip to: 343 +/* 339 */ MCD_OPC_Decode, 226, 3, 2, // Opcode: CPUCFG +/* 343 */ MCD_OPC_FilterValue, 28, 18, 0, 0, // Skip to: 366 +/* 348 */ MCD_OPC_CheckField, 8, 2, 0, 27, 94, 0, // Skip to: 24446 +/* 355 */ MCD_OPC_CheckField, 0, 5, 0, 20, 94, 0, // Skip to: 24446 +/* 362 */ MCD_OPC_Decode, 179, 12, 3, // Opcode: X86MTTOP +/* 366 */ MCD_OPC_FilterValue, 29, 11, 0, 0, // Skip to: 382 +/* 371 */ MCD_OPC_CheckField, 5, 5, 0, 4, 94, 0, // Skip to: 24446 +/* 378 */ MCD_OPC_Decode, 177, 12, 4, // Opcode: X86MFTOP +/* 382 */ MCD_OPC_FilterValue, 30, 4, 0, 0, // Skip to: 391 +/* 387 */ MCD_OPC_Decode, 140, 6, 2, // Opcode: SETX86LOOPE +/* 391 */ MCD_OPC_FilterValue, 31, 242, 93, 0, // Skip to: 24446 +/* 396 */ MCD_OPC_Decode, 141, 6, 2, // Opcode: SETX86LOOPNE +/* 400 */ MCD_OPC_FilterValue, 1, 193, 0, 0, // Skip to: 598 +/* 405 */ MCD_OPC_ExtractField, 0, 5, // Inst{4-0} ... +/* 408 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 424 +/* 413 */ MCD_OPC_CheckField, 10, 5, 0, 218, 93, 0, // Skip to: 24446 +/* 420 */ MCD_OPC_Decode, 172, 12, 5, // Opcode: X86INC_B +/* 424 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 440 +/* 429 */ MCD_OPC_CheckField, 10, 5, 0, 202, 93, 0, // Skip to: 24446 +/* 436 */ MCD_OPC_Decode, 174, 12, 5, // Opcode: X86INC_H +/* 440 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 456 +/* 445 */ MCD_OPC_CheckField, 10, 5, 0, 186, 93, 0, // Skip to: 24446 +/* 452 */ MCD_OPC_Decode, 175, 12, 5, // Opcode: X86INC_W +/* 456 */ MCD_OPC_FilterValue, 3, 16, 0, 0, // Skip to: 477 +/* 461 */ MCD_OPC_CheckPredicate, 0, 172, 93, 0, // Skip to: 24446 +/* 466 */ MCD_OPC_CheckField, 10, 5, 0, 165, 93, 0, // Skip to: 24446 +/* 473 */ MCD_OPC_Decode, 173, 12, 5, // Opcode: X86INC_D +/* 477 */ MCD_OPC_FilterValue, 4, 11, 0, 0, // Skip to: 493 +/* 482 */ MCD_OPC_CheckField, 10, 5, 0, 149, 93, 0, // Skip to: 24446 +/* 489 */ MCD_OPC_Decode, 167, 12, 5, // Opcode: X86DEC_B +/* 493 */ MCD_OPC_FilterValue, 5, 11, 0, 0, // Skip to: 509 +/* 498 */ MCD_OPC_CheckField, 10, 5, 0, 133, 93, 0, // Skip to: 24446 +/* 505 */ MCD_OPC_Decode, 169, 12, 5, // Opcode: X86DEC_H +/* 509 */ MCD_OPC_FilterValue, 6, 11, 0, 0, // Skip to: 525 +/* 514 */ MCD_OPC_CheckField, 10, 5, 0, 117, 93, 0, // Skip to: 24446 +/* 521 */ MCD_OPC_Decode, 170, 12, 5, // Opcode: X86DEC_W +/* 525 */ MCD_OPC_FilterValue, 7, 16, 0, 0, // Skip to: 546 +/* 530 */ MCD_OPC_CheckPredicate, 0, 103, 93, 0, // Skip to: 24446 +/* 535 */ MCD_OPC_CheckField, 10, 5, 0, 96, 93, 0, // Skip to: 24446 +/* 542 */ MCD_OPC_Decode, 168, 12, 5, // Opcode: X86DEC_D +/* 546 */ MCD_OPC_FilterValue, 8, 21, 0, 0, // Skip to: 572 +/* 551 */ MCD_OPC_ExtractField, 5, 10, // Inst{14-5} ... +/* 554 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 563 +/* 559 */ MCD_OPC_Decode, 229, 12, 6, // Opcode: X86SETTM +/* 563 */ MCD_OPC_FilterValue, 1, 70, 93, 0, // Skip to: 24446 +/* 568 */ MCD_OPC_Decode, 165, 12, 6, // Opcode: X86CLRTM +/* 572 */ MCD_OPC_FilterValue, 9, 61, 93, 0, // Skip to: 24446 +/* 577 */ MCD_OPC_ExtractField, 5, 10, // Inst{14-5} ... +/* 580 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 589 +/* 585 */ MCD_OPC_Decode, 171, 12, 6, // Opcode: X86INCTOP +/* 589 */ MCD_OPC_FilterValue, 1, 44, 93, 0, // Skip to: 24446 +/* 594 */ MCD_OPC_Decode, 166, 12, 6, // Opcode: X86DECTOP +/* 598 */ MCD_OPC_FilterValue, 2, 16, 0, 0, // Skip to: 619 +/* 603 */ MCD_OPC_CheckPredicate, 0, 30, 93, 0, // Skip to: 24446 +/* 608 */ MCD_OPC_CheckField, 0, 5, 0, 23, 93, 0, // Skip to: 24446 +/* 615 */ MCD_OPC_Decode, 197, 3, 7, // Opcode: ASRTLE_D +/* 619 */ MCD_OPC_FilterValue, 3, 14, 93, 0, // Skip to: 24446 +/* 624 */ MCD_OPC_CheckPredicate, 0, 9, 93, 0, // Skip to: 24446 +/* 629 */ MCD_OPC_CheckField, 0, 5, 0, 2, 93, 0, // Skip to: 24446 +/* 636 */ MCD_OPC_Decode, 196, 3, 7, // Opcode: ASRTGT_D +/* 640 */ MCD_OPC_FilterValue, 1, 26, 0, 0, // Skip to: 671 +/* 645 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 648 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 657 +/* 653 */ MCD_OPC_Decode, 245, 2, 8, // Opcode: ALSL_W +/* 657 */ MCD_OPC_FilterValue, 1, 232, 92, 0, // Skip to: 24446 +/* 662 */ MCD_OPC_CheckPredicate, 0, 227, 92, 0, // Skip to: 24446 +/* 667 */ MCD_OPC_Decode, 246, 2, 8, // Opcode: ALSL_WU +/* 671 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 687 +/* 676 */ MCD_OPC_CheckField, 17, 1, 0, 211, 92, 0, // Skip to: 24446 +/* 683 */ MCD_OPC_Decode, 220, 3, 9, // Opcode: BYTEPICK_W +/* 687 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 701 +/* 692 */ MCD_OPC_CheckPredicate, 0, 197, 92, 0, // Skip to: 24446 +/* 697 */ MCD_OPC_Decode, 219, 3, 10, // Opcode: BYTEPICK_D +/* 701 */ MCD_OPC_FilterValue, 4, 85, 0, 0, // Skip to: 791 +/* 706 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 709 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 718 +/* 714 */ MCD_OPC_Decode, 243, 2, 11, // Opcode: ADD_W +/* 718 */ MCD_OPC_FilterValue, 1, 9, 0, 0, // Skip to: 732 +/* 723 */ MCD_OPC_CheckPredicate, 0, 166, 92, 0, // Skip to: 24446 +/* 728 */ MCD_OPC_Decode, 242, 2, 11, // Opcode: ADD_D +/* 732 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 741 +/* 737 */ MCD_OPC_Decode, 183, 6, 11, // Opcode: SUB_W +/* 741 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 755 +/* 746 */ MCD_OPC_CheckPredicate, 0, 143, 92, 0, // Skip to: 24446 +/* 751 */ MCD_OPC_Decode, 182, 6, 11, // Opcode: SUB_D +/* 755 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 764 +/* 760 */ MCD_OPC_Decode, 148, 6, 11, // Opcode: SLT +/* 764 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 773 +/* 769 */ MCD_OPC_Decode, 150, 6, 11, // Opcode: SLTU +/* 773 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 782 +/* 778 */ MCD_OPC_Decode, 192, 5, 11, // Opcode: MASKEQZ +/* 782 */ MCD_OPC_FilterValue, 7, 107, 92, 0, // Skip to: 24446 +/* 787 */ MCD_OPC_Decode, 193, 5, 11, // Opcode: MASKNEZ +/* 791 */ MCD_OPC_FilterValue, 5, 75, 0, 0, // Skip to: 871 +/* 796 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 799 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 808 +/* 804 */ MCD_OPC_Decode, 222, 5, 11, // Opcode: NOR +/* 808 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 817 +/* 813 */ MCD_OPC_Decode, 171, 3, 11, // Opcode: AND +/* 817 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 826 +/* 822 */ MCD_OPC_Decode, 223, 5, 11, // Opcode: OR +/* 826 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 835 +/* 831 */ MCD_OPC_Decode, 136, 13, 11, // Opcode: XOR +/* 835 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 844 +/* 840 */ MCD_OPC_Decode, 225, 5, 11, // Opcode: ORN +/* 844 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 853 +/* 849 */ MCD_OPC_Decode, 173, 3, 11, // Opcode: ANDN +/* 853 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 862 +/* 858 */ MCD_OPC_Decode, 147, 6, 11, // Opcode: SLL_W +/* 862 */ MCD_OPC_FilterValue, 7, 27, 92, 0, // Skip to: 24446 +/* 867 */ MCD_OPC_Decode, 159, 6, 11, // Opcode: SRL_W +/* 871 */ MCD_OPC_FilterValue, 6, 95, 0, 0, // Skip to: 971 +/* 876 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 879 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 888 +/* 884 */ MCD_OPC_Decode, 155, 6, 11, // Opcode: SRA_W +/* 888 */ MCD_OPC_FilterValue, 1, 9, 0, 0, // Skip to: 902 +/* 893 */ MCD_OPC_CheckPredicate, 0, 252, 91, 0, // Skip to: 24446 +/* 898 */ MCD_OPC_Decode, 146, 6, 11, // Opcode: SLL_D +/* 902 */ MCD_OPC_FilterValue, 2, 9, 0, 0, // Skip to: 916 +/* 907 */ MCD_OPC_CheckPredicate, 0, 238, 91, 0, // Skip to: 24446 +/* 912 */ MCD_OPC_Decode, 158, 6, 11, // Opcode: SRL_D +/* 916 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 930 +/* 921 */ MCD_OPC_CheckPredicate, 0, 224, 91, 0, // Skip to: 24446 +/* 926 */ MCD_OPC_Decode, 154, 6, 11, // Opcode: SRA_D +/* 930 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 939 +/* 935 */ MCD_OPC_Decode, 253, 5, 11, // Opcode: ROTR_B +/* 939 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 948 +/* 944 */ MCD_OPC_Decode, 255, 5, 11, // Opcode: ROTR_H +/* 948 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 957 +/* 953 */ MCD_OPC_Decode, 128, 6, 11, // Opcode: ROTR_W +/* 957 */ MCD_OPC_FilterValue, 7, 188, 91, 0, // Skip to: 24446 +/* 962 */ MCD_OPC_CheckPredicate, 0, 183, 91, 0, // Skip to: 24446 +/* 967 */ MCD_OPC_Decode, 254, 5, 11, // Opcode: ROTR_D +/* 971 */ MCD_OPC_FilterValue, 7, 100, 0, 0, // Skip to: 1076 +/* 976 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 979 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 988 +/* 984 */ MCD_OPC_Decode, 221, 5, 11, // Opcode: MUL_W +/* 988 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 997 +/* 993 */ MCD_OPC_Decode, 216, 5, 11, // Opcode: MULH_W +/* 997 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 1006 +/* 1002 */ MCD_OPC_Decode, 217, 5, 11, // Opcode: MULH_WU +/* 1006 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 1020 +/* 1011 */ MCD_OPC_CheckPredicate, 0, 134, 91, 0, // Skip to: 24446 +/* 1016 */ MCD_OPC_Decode, 220, 5, 11, // Opcode: MUL_D +/* 1020 */ MCD_OPC_FilterValue, 4, 9, 0, 0, // Skip to: 1034 +/* 1025 */ MCD_OPC_CheckPredicate, 0, 120, 91, 0, // Skip to: 24446 +/* 1030 */ MCD_OPC_Decode, 214, 5, 11, // Opcode: MULH_D +/* 1034 */ MCD_OPC_FilterValue, 5, 9, 0, 0, // Skip to: 1048 +/* 1039 */ MCD_OPC_CheckPredicate, 0, 106, 91, 0, // Skip to: 24446 +/* 1044 */ MCD_OPC_Decode, 215, 5, 11, // Opcode: MULH_DU +/* 1048 */ MCD_OPC_FilterValue, 6, 9, 0, 0, // Skip to: 1062 +/* 1053 */ MCD_OPC_CheckPredicate, 0, 92, 91, 0, // Skip to: 24446 +/* 1058 */ MCD_OPC_Decode, 218, 5, 11, // Opcode: MULW_D_W +/* 1062 */ MCD_OPC_FilterValue, 7, 83, 91, 0, // Skip to: 24446 +/* 1067 */ MCD_OPC_CheckPredicate, 0, 78, 91, 0, // Skip to: 24446 +/* 1072 */ MCD_OPC_Decode, 219, 5, 11, // Opcode: MULW_D_WU +/* 1076 */ MCD_OPC_FilterValue, 8, 95, 0, 0, // Skip to: 1176 +/* 1081 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 1084 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 1093 +/* 1089 */ MCD_OPC_Decode, 246, 3, 11, // Opcode: DIV_W +/* 1093 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 1102 +/* 1098 */ MCD_OPC_Decode, 196, 5, 11, // Opcode: MOD_W +/* 1102 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 1111 +/* 1107 */ MCD_OPC_Decode, 247, 3, 11, // Opcode: DIV_WU +/* 1111 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 1120 +/* 1116 */ MCD_OPC_Decode, 197, 5, 11, // Opcode: MOD_WU +/* 1120 */ MCD_OPC_FilterValue, 4, 9, 0, 0, // Skip to: 1134 +/* 1125 */ MCD_OPC_CheckPredicate, 0, 20, 91, 0, // Skip to: 24446 +/* 1130 */ MCD_OPC_Decode, 244, 3, 11, // Opcode: DIV_D +/* 1134 */ MCD_OPC_FilterValue, 5, 9, 0, 0, // Skip to: 1148 +/* 1139 */ MCD_OPC_CheckPredicate, 0, 6, 91, 0, // Skip to: 24446 +/* 1144 */ MCD_OPC_Decode, 194, 5, 11, // Opcode: MOD_D +/* 1148 */ MCD_OPC_FilterValue, 6, 9, 0, 0, // Skip to: 1162 +/* 1153 */ MCD_OPC_CheckPredicate, 0, 248, 90, 0, // Skip to: 24446 +/* 1158 */ MCD_OPC_Decode, 245, 3, 11, // Opcode: DIV_DU +/* 1162 */ MCD_OPC_FilterValue, 7, 239, 90, 0, // Skip to: 24446 +/* 1167 */ MCD_OPC_CheckPredicate, 0, 234, 90, 0, // Skip to: 24446 +/* 1172 */ MCD_OPC_Decode, 195, 5, 11, // Opcode: MOD_DU +/* 1176 */ MCD_OPC_FilterValue, 9, 115, 0, 0, // Skip to: 1296 +/* 1181 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 1184 */ MCD_OPC_FilterValue, 0, 9, 0, 0, // Skip to: 1198 +/* 1189 */ MCD_OPC_CheckPredicate, 0, 212, 90, 0, // Skip to: 24446 +/* 1194 */ MCD_OPC_Decode, 231, 3, 11, // Opcode: CRC_W_B_W +/* 1198 */ MCD_OPC_FilterValue, 1, 9, 0, 0, // Skip to: 1212 +/* 1203 */ MCD_OPC_CheckPredicate, 0, 198, 90, 0, // Skip to: 24446 +/* 1208 */ MCD_OPC_Decode, 233, 3, 11, // Opcode: CRC_W_H_W +/* 1212 */ MCD_OPC_FilterValue, 2, 9, 0, 0, // Skip to: 1226 +/* 1217 */ MCD_OPC_CheckPredicate, 0, 184, 90, 0, // Skip to: 24446 +/* 1222 */ MCD_OPC_Decode, 234, 3, 11, // Opcode: CRC_W_W_W +/* 1226 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 1240 +/* 1231 */ MCD_OPC_CheckPredicate, 0, 170, 90, 0, // Skip to: 24446 +/* 1236 */ MCD_OPC_Decode, 232, 3, 11, // Opcode: CRC_W_D_W +/* 1240 */ MCD_OPC_FilterValue, 4, 9, 0, 0, // Skip to: 1254 +/* 1245 */ MCD_OPC_CheckPredicate, 0, 156, 90, 0, // Skip to: 24446 +/* 1250 */ MCD_OPC_Decode, 227, 3, 11, // Opcode: CRCC_W_B_W +/* 1254 */ MCD_OPC_FilterValue, 5, 9, 0, 0, // Skip to: 1268 +/* 1259 */ MCD_OPC_CheckPredicate, 0, 142, 90, 0, // Skip to: 24446 +/* 1264 */ MCD_OPC_Decode, 229, 3, 11, // Opcode: CRCC_W_H_W +/* 1268 */ MCD_OPC_FilterValue, 6, 9, 0, 0, // Skip to: 1282 +/* 1273 */ MCD_OPC_CheckPredicate, 0, 128, 90, 0, // Skip to: 24446 +/* 1278 */ MCD_OPC_Decode, 230, 3, 11, // Opcode: CRCC_W_W_W +/* 1282 */ MCD_OPC_FilterValue, 7, 119, 90, 0, // Skip to: 24446 +/* 1287 */ MCD_OPC_CheckPredicate, 0, 114, 90, 0, // Skip to: 24446 +/* 1292 */ MCD_OPC_Decode, 228, 3, 11, // Opcode: CRCC_W_D_W +/* 1296 */ MCD_OPC_FilterValue, 10, 62, 0, 0, // Skip to: 1363 +/* 1301 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 1304 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 1313 +/* 1309 */ MCD_OPC_Decode, 240, 2, 12, // Opcode: ADDU12I_W +/* 1313 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 1327 +/* 1318 */ MCD_OPC_CheckPredicate, 0, 83, 90, 0, // Skip to: 24446 +/* 1323 */ MCD_OPC_Decode, 239, 2, 12, // Opcode: ADDU12I_D +/* 1327 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 1336 +/* 1332 */ MCD_OPC_Decode, 214, 3, 13, // Opcode: BREAK +/* 1336 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 1345 +/* 1341 */ MCD_OPC_Decode, 243, 3, 13, // Opcode: DBCL +/* 1345 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 1354 +/* 1350 */ MCD_OPC_Decode, 184, 6, 13, // Opcode: SYSCALL +/* 1354 */ MCD_OPC_FilterValue, 7, 47, 90, 0, // Skip to: 24446 +/* 1359 */ MCD_OPC_Decode, 140, 5, 13, // Opcode: HVCL +/* 1363 */ MCD_OPC_FilterValue, 11, 16, 0, 0, // Skip to: 1384 +/* 1368 */ MCD_OPC_CheckPredicate, 0, 33, 90, 0, // Skip to: 24446 +/* 1373 */ MCD_OPC_CheckField, 17, 1, 0, 26, 90, 0, // Skip to: 24446 +/* 1380 */ MCD_OPC_Decode, 244, 2, 8, // Opcode: ALSL_D +/* 1384 */ MCD_OPC_FilterValue, 12, 85, 0, 0, // Skip to: 1474 +/* 1389 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 1392 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 1401 +/* 1397 */ MCD_OPC_Decode, 233, 2, 11, // Opcode: ADC_B +/* 1401 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 1410 +/* 1406 */ MCD_OPC_Decode, 235, 2, 11, // Opcode: ADC_H +/* 1410 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 1419 +/* 1415 */ MCD_OPC_Decode, 236, 2, 11, // Opcode: ADC_W +/* 1419 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 1433 +/* 1424 */ MCD_OPC_CheckPredicate, 0, 233, 89, 0, // Skip to: 24446 +/* 1429 */ MCD_OPC_Decode, 234, 2, 11, // Opcode: ADC_D +/* 1433 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 1442 +/* 1438 */ MCD_OPC_Decode, 129, 6, 11, // Opcode: SBC_B +/* 1442 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 1451 +/* 1447 */ MCD_OPC_Decode, 131, 6, 11, // Opcode: SBC_H +/* 1451 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 1460 +/* 1456 */ MCD_OPC_Decode, 132, 6, 11, // Opcode: SBC_W +/* 1460 */ MCD_OPC_FilterValue, 7, 197, 89, 0, // Skip to: 24446 +/* 1465 */ MCD_OPC_CheckPredicate, 0, 192, 89, 0, // Skip to: 24446 +/* 1470 */ MCD_OPC_Decode, 130, 6, 11, // Opcode: SBC_D +/* 1474 */ MCD_OPC_FilterValue, 13, 132, 0, 0, // Skip to: 1611 +/* 1479 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 1482 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 1491 +/* 1487 */ MCD_OPC_Decode, 236, 5, 11, // Opcode: RCR_B +/* 1491 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 1500 +/* 1496 */ MCD_OPC_Decode, 238, 5, 11, // Opcode: RCR_H +/* 1500 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 1509 +/* 1505 */ MCD_OPC_Decode, 239, 5, 11, // Opcode: RCR_W +/* 1509 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 1523 +/* 1514 */ MCD_OPC_CheckPredicate, 0, 143, 89, 0, // Skip to: 24446 +/* 1519 */ MCD_OPC_Decode, 237, 5, 11, // Opcode: RCR_D +/* 1523 */ MCD_OPC_FilterValue, 4, 11, 0, 0, // Skip to: 1539 +/* 1528 */ MCD_OPC_CheckField, 14, 1, 1, 127, 89, 0, // Skip to: 24446 +/* 1535 */ MCD_OPC_Decode, 178, 3, 14, // Opcode: ARMMOVE +/* 1539 */ MCD_OPC_FilterValue, 5, 35, 0, 0, // Skip to: 1579 +/* 1544 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 1547 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 1563 +/* 1552 */ MCD_OPC_CheckField, 5, 5, 0, 103, 89, 0, // Skip to: 24446 +/* 1559 */ MCD_OPC_Decode, 139, 6, 15, // Opcode: SETX86J +/* 1563 */ MCD_OPC_FilterValue, 1, 94, 89, 0, // Skip to: 24446 +/* 1568 */ MCD_OPC_CheckField, 5, 5, 0, 87, 89, 0, // Skip to: 24446 +/* 1575 */ MCD_OPC_Decode, 138, 6, 15, // Opcode: SETARMJ +/* 1579 */ MCD_OPC_FilterValue, 6, 11, 0, 0, // Skip to: 1595 +/* 1584 */ MCD_OPC_CheckField, 4, 1, 1, 71, 89, 0, // Skip to: 24446 +/* 1591 */ MCD_OPC_Decode, 175, 3, 16, // Opcode: ARMADD_W +/* 1595 */ MCD_OPC_FilterValue, 7, 62, 89, 0, // Skip to: 24446 +/* 1600 */ MCD_OPC_CheckField, 4, 1, 1, 55, 89, 0, // Skip to: 24446 +/* 1607 */ MCD_OPC_Decode, 194, 3, 16, // Opcode: ARMSUB_W +/* 1611 */ MCD_OPC_FilterValue, 14, 131, 0, 0, // Skip to: 1747 +/* 1616 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 1619 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 1635 +/* 1624 */ MCD_OPC_CheckField, 4, 1, 1, 31, 89, 0, // Skip to: 24446 +/* 1631 */ MCD_OPC_Decode, 174, 3, 16, // Opcode: ARMADC_W +/* 1635 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 1651 +/* 1640 */ MCD_OPC_CheckField, 4, 1, 1, 15, 89, 0, // Skip to: 24446 +/* 1647 */ MCD_OPC_Decode, 187, 3, 16, // Opcode: ARMSBC_W +/* 1651 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 1667 +/* 1656 */ MCD_OPC_CheckField, 4, 1, 1, 255, 88, 0, // Skip to: 24446 +/* 1663 */ MCD_OPC_Decode, 176, 3, 16, // Opcode: ARMAND_W +/* 1667 */ MCD_OPC_FilterValue, 3, 11, 0, 0, // Skip to: 1683 +/* 1672 */ MCD_OPC_CheckField, 4, 1, 1, 239, 88, 0, // Skip to: 24446 +/* 1679 */ MCD_OPC_Decode, 183, 3, 16, // Opcode: ARMOR_W +/* 1683 */ MCD_OPC_FilterValue, 4, 11, 0, 0, // Skip to: 1699 +/* 1688 */ MCD_OPC_CheckField, 4, 1, 1, 223, 88, 0, // Skip to: 24446 +/* 1695 */ MCD_OPC_Decode, 195, 3, 16, // Opcode: ARMXOR_W +/* 1699 */ MCD_OPC_FilterValue, 5, 11, 0, 0, // Skip to: 1715 +/* 1704 */ MCD_OPC_CheckField, 4, 1, 1, 207, 88, 0, // Skip to: 24446 +/* 1711 */ MCD_OPC_Decode, 189, 3, 16, // Opcode: ARMSLL_W +/* 1715 */ MCD_OPC_FilterValue, 6, 11, 0, 0, // Skip to: 1731 +/* 1720 */ MCD_OPC_CheckField, 4, 1, 1, 191, 88, 0, // Skip to: 24446 +/* 1727 */ MCD_OPC_Decode, 193, 3, 16, // Opcode: ARMSRL_W +/* 1731 */ MCD_OPC_FilterValue, 7, 182, 88, 0, // Skip to: 24446 +/* 1736 */ MCD_OPC_CheckField, 4, 1, 1, 175, 88, 0, // Skip to: 24446 +/* 1743 */ MCD_OPC_Decode, 191, 3, 16, // Opcode: ARMSRA_W +/* 1747 */ MCD_OPC_FilterValue, 15, 166, 88, 0, // Skip to: 24446 +/* 1752 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 1755 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 1771 +/* 1760 */ MCD_OPC_CheckField, 4, 1, 1, 151, 88, 0, // Skip to: 24446 +/* 1767 */ MCD_OPC_Decode, 185, 3, 16, // Opcode: ARMROTR_W +/* 1771 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 1787 +/* 1776 */ MCD_OPC_CheckField, 4, 1, 1, 135, 88, 0, // Skip to: 24446 +/* 1783 */ MCD_OPC_Decode, 188, 3, 17, // Opcode: ARMSLLI_W +/* 1787 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 1803 +/* 1792 */ MCD_OPC_CheckField, 4, 1, 1, 119, 88, 0, // Skip to: 24446 +/* 1799 */ MCD_OPC_Decode, 192, 3, 17, // Opcode: ARMSRLI_W +/* 1803 */ MCD_OPC_FilterValue, 3, 11, 0, 0, // Skip to: 1819 +/* 1808 */ MCD_OPC_CheckField, 4, 1, 1, 103, 88, 0, // Skip to: 24446 +/* 1815 */ MCD_OPC_Decode, 190, 3, 17, // Opcode: ARMSRAI_W +/* 1819 */ MCD_OPC_FilterValue, 4, 11, 0, 0, // Skip to: 1835 +/* 1824 */ MCD_OPC_CheckField, 4, 1, 1, 87, 88, 0, // Skip to: 24446 +/* 1831 */ MCD_OPC_Decode, 184, 3, 17, // Opcode: ARMROTRI_W +/* 1835 */ MCD_OPC_FilterValue, 5, 90, 0, 0, // Skip to: 1930 +/* 1840 */ MCD_OPC_ExtractField, 0, 5, // Inst{4-0} ... +/* 1843 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 1852 +/* 1848 */ MCD_OPC_Decode, 180, 12, 7, // Opcode: X86MUL_B +/* 1852 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 1861 +/* 1857 */ MCD_OPC_Decode, 184, 12, 7, // Opcode: X86MUL_H +/* 1861 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 1870 +/* 1866 */ MCD_OPC_Decode, 186, 12, 7, // Opcode: X86MUL_W +/* 1870 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 1884 +/* 1875 */ MCD_OPC_CheckPredicate, 0, 38, 88, 0, // Skip to: 24446 +/* 1880 */ MCD_OPC_Decode, 182, 12, 7, // Opcode: X86MUL_D +/* 1884 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 1893 +/* 1889 */ MCD_OPC_Decode, 181, 12, 7, // Opcode: X86MUL_BU +/* 1893 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 1902 +/* 1898 */ MCD_OPC_Decode, 185, 12, 7, // Opcode: X86MUL_HU +/* 1902 */ MCD_OPC_FilterValue, 6, 9, 0, 0, // Skip to: 1916 +/* 1907 */ MCD_OPC_CheckPredicate, 0, 6, 88, 0, // Skip to: 24446 +/* 1912 */ MCD_OPC_Decode, 187, 12, 7, // Opcode: X86MUL_WU +/* 1916 */ MCD_OPC_FilterValue, 7, 253, 87, 0, // Skip to: 24446 +/* 1921 */ MCD_OPC_CheckPredicate, 0, 248, 87, 0, // Skip to: 24446 +/* 1926 */ MCD_OPC_Decode, 183, 12, 7, // Opcode: X86MUL_DU +/* 1930 */ MCD_OPC_FilterValue, 6, 90, 1, 0, // Skip to: 2281 +/* 1935 */ MCD_OPC_ExtractField, 0, 5, // Inst{4-0} ... +/* 1938 */ MCD_OPC_FilterValue, 0, 9, 0, 0, // Skip to: 1952 +/* 1943 */ MCD_OPC_CheckPredicate, 0, 226, 87, 0, // Skip to: 24446 +/* 1948 */ MCD_OPC_Decode, 160, 12, 7, // Opcode: X86ADD_WU +/* 1952 */ MCD_OPC_FilterValue, 1, 9, 0, 0, // Skip to: 1966 +/* 1957 */ MCD_OPC_CheckPredicate, 0, 212, 87, 0, // Skip to: 24446 +/* 1962 */ MCD_OPC_Decode, 157, 12, 7, // Opcode: X86ADD_DU +/* 1966 */ MCD_OPC_FilterValue, 2, 9, 0, 0, // Skip to: 1980 +/* 1971 */ MCD_OPC_CheckPredicate, 0, 198, 87, 0, // Skip to: 24446 +/* 1976 */ MCD_OPC_Decode, 131, 13, 7, // Opcode: X86SUB_WU +/* 1980 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 1994 +/* 1985 */ MCD_OPC_CheckPredicate, 0, 184, 87, 0, // Skip to: 24446 +/* 1990 */ MCD_OPC_Decode, 128, 13, 7, // Opcode: X86SUB_DU +/* 1994 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 2003 +/* 1999 */ MCD_OPC_Decode, 155, 12, 7, // Opcode: X86ADD_B +/* 2003 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 2012 +/* 2008 */ MCD_OPC_Decode, 158, 12, 7, // Opcode: X86ADD_H +/* 2012 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 2021 +/* 2017 */ MCD_OPC_Decode, 159, 12, 7, // Opcode: X86ADD_W +/* 2021 */ MCD_OPC_FilterValue, 7, 9, 0, 0, // Skip to: 2035 +/* 2026 */ MCD_OPC_CheckPredicate, 0, 143, 87, 0, // Skip to: 24446 +/* 2031 */ MCD_OPC_Decode, 156, 12, 7, // Opcode: X86ADD_D +/* 2035 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 2044 +/* 2040 */ MCD_OPC_Decode, 254, 12, 7, // Opcode: X86SUB_B +/* 2044 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 2053 +/* 2049 */ MCD_OPC_Decode, 129, 13, 7, // Opcode: X86SUB_H +/* 2053 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 2062 +/* 2058 */ MCD_OPC_Decode, 130, 13, 7, // Opcode: X86SUB_W +/* 2062 */ MCD_OPC_FilterValue, 11, 9, 0, 0, // Skip to: 2076 +/* 2067 */ MCD_OPC_CheckPredicate, 0, 102, 87, 0, // Skip to: 24446 +/* 2072 */ MCD_OPC_Decode, 255, 12, 7, // Opcode: X86SUB_D +/* 2076 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 2085 +/* 2081 */ MCD_OPC_Decode, 151, 12, 7, // Opcode: X86ADC_B +/* 2085 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 2094 +/* 2090 */ MCD_OPC_Decode, 153, 12, 7, // Opcode: X86ADC_H +/* 2094 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 2103 +/* 2099 */ MCD_OPC_Decode, 154, 12, 7, // Opcode: X86ADC_W +/* 2103 */ MCD_OPC_FilterValue, 15, 9, 0, 0, // Skip to: 2117 +/* 2108 */ MCD_OPC_CheckPredicate, 0, 61, 87, 0, // Skip to: 24446 +/* 2113 */ MCD_OPC_Decode, 152, 12, 7, // Opcode: X86ADC_D +/* 2117 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 2126 +/* 2122 */ MCD_OPC_Decode, 224, 12, 7, // Opcode: X86SBC_B +/* 2126 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 2135 +/* 2131 */ MCD_OPC_Decode, 226, 12, 7, // Opcode: X86SBC_H +/* 2135 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 2144 +/* 2140 */ MCD_OPC_Decode, 227, 12, 7, // Opcode: X86SBC_W +/* 2144 */ MCD_OPC_FilterValue, 19, 9, 0, 0, // Skip to: 2158 +/* 2149 */ MCD_OPC_CheckPredicate, 0, 20, 87, 0, // Skip to: 24446 +/* 2154 */ MCD_OPC_Decode, 225, 12, 7, // Opcode: X86SBC_D +/* 2158 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 2167 +/* 2163 */ MCD_OPC_Decode, 234, 12, 7, // Opcode: X86SLL_B +/* 2167 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 2176 +/* 2172 */ MCD_OPC_Decode, 236, 12, 7, // Opcode: X86SLL_H +/* 2176 */ MCD_OPC_FilterValue, 22, 4, 0, 0, // Skip to: 2185 +/* 2181 */ MCD_OPC_Decode, 237, 12, 7, // Opcode: X86SLL_W +/* 2185 */ MCD_OPC_FilterValue, 23, 9, 0, 0, // Skip to: 2199 +/* 2190 */ MCD_OPC_CheckPredicate, 0, 235, 86, 0, // Skip to: 24446 +/* 2195 */ MCD_OPC_Decode, 235, 12, 7, // Opcode: X86SLL_D +/* 2199 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 2208 +/* 2204 */ MCD_OPC_Decode, 250, 12, 7, // Opcode: X86SRL_B +/* 2208 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 2217 +/* 2213 */ MCD_OPC_Decode, 252, 12, 7, // Opcode: X86SRL_H +/* 2217 */ MCD_OPC_FilterValue, 26, 4, 0, 0, // Skip to: 2226 +/* 2222 */ MCD_OPC_Decode, 253, 12, 7, // Opcode: X86SRL_W +/* 2226 */ MCD_OPC_FilterValue, 27, 9, 0, 0, // Skip to: 2240 +/* 2231 */ MCD_OPC_CheckPredicate, 0, 194, 86, 0, // Skip to: 24446 +/* 2236 */ MCD_OPC_Decode, 251, 12, 7, // Opcode: X86SRL_D +/* 2240 */ MCD_OPC_FilterValue, 28, 4, 0, 0, // Skip to: 2249 +/* 2245 */ MCD_OPC_Decode, 242, 12, 7, // Opcode: X86SRA_B +/* 2249 */ MCD_OPC_FilterValue, 29, 4, 0, 0, // Skip to: 2258 +/* 2254 */ MCD_OPC_Decode, 244, 12, 7, // Opcode: X86SRA_H +/* 2258 */ MCD_OPC_FilterValue, 30, 4, 0, 0, // Skip to: 2267 +/* 2263 */ MCD_OPC_Decode, 245, 12, 7, // Opcode: X86SRA_W +/* 2267 */ MCD_OPC_FilterValue, 31, 158, 86, 0, // Skip to: 24446 +/* 2272 */ MCD_OPC_CheckPredicate, 0, 153, 86, 0, // Skip to: 24446 +/* 2277 */ MCD_OPC_Decode, 243, 12, 7, // Opcode: X86SRA_D +/* 2281 */ MCD_OPC_FilterValue, 7, 144, 86, 0, // Skip to: 24446 +/* 2286 */ MCD_OPC_ExtractField, 0, 5, // Inst{4-0} ... +/* 2289 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 2298 +/* 2294 */ MCD_OPC_Decode, 220, 12, 7, // Opcode: X86ROTR_B +/* 2298 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 2307 +/* 2303 */ MCD_OPC_Decode, 222, 12, 7, // Opcode: X86ROTR_H +/* 2307 */ MCD_OPC_FilterValue, 2, 9, 0, 0, // Skip to: 2321 +/* 2312 */ MCD_OPC_CheckPredicate, 0, 113, 86, 0, // Skip to: 24446 +/* 2317 */ MCD_OPC_Decode, 221, 12, 7, // Opcode: X86ROTR_D +/* 2321 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 2330 +/* 2326 */ MCD_OPC_Decode, 223, 12, 7, // Opcode: X86ROTR_W +/* 2330 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 2339 +/* 2335 */ MCD_OPC_Decode, 212, 12, 7, // Opcode: X86ROTL_B +/* 2339 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 2348 +/* 2344 */ MCD_OPC_Decode, 214, 12, 7, // Opcode: X86ROTL_H +/* 2348 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 2357 +/* 2353 */ MCD_OPC_Decode, 215, 12, 7, // Opcode: X86ROTL_W +/* 2357 */ MCD_OPC_FilterValue, 7, 9, 0, 0, // Skip to: 2371 +/* 2362 */ MCD_OPC_CheckPredicate, 0, 63, 86, 0, // Skip to: 24446 +/* 2367 */ MCD_OPC_Decode, 213, 12, 7, // Opcode: X86ROTL_D +/* 2371 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 2380 +/* 2376 */ MCD_OPC_Decode, 204, 12, 7, // Opcode: X86RCR_B +/* 2380 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 2389 +/* 2385 */ MCD_OPC_Decode, 206, 12, 7, // Opcode: X86RCR_H +/* 2389 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 2398 +/* 2394 */ MCD_OPC_Decode, 207, 12, 7, // Opcode: X86RCR_W +/* 2398 */ MCD_OPC_FilterValue, 11, 9, 0, 0, // Skip to: 2412 +/* 2403 */ MCD_OPC_CheckPredicate, 0, 22, 86, 0, // Skip to: 24446 +/* 2408 */ MCD_OPC_Decode, 205, 12, 7, // Opcode: X86RCR_D +/* 2412 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 2421 +/* 2417 */ MCD_OPC_Decode, 196, 12, 7, // Opcode: X86RCL_B +/* 2421 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 2430 +/* 2426 */ MCD_OPC_Decode, 198, 12, 7, // Opcode: X86RCL_H +/* 2430 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 2439 +/* 2435 */ MCD_OPC_Decode, 199, 12, 7, // Opcode: X86RCL_W +/* 2439 */ MCD_OPC_FilterValue, 15, 9, 0, 0, // Skip to: 2453 +/* 2444 */ MCD_OPC_CheckPredicate, 0, 237, 85, 0, // Skip to: 24446 +/* 2449 */ MCD_OPC_Decode, 197, 12, 7, // Opcode: X86RCL_D +/* 2453 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 2462 +/* 2458 */ MCD_OPC_Decode, 161, 12, 7, // Opcode: X86AND_B +/* 2462 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 2471 +/* 2467 */ MCD_OPC_Decode, 163, 12, 7, // Opcode: X86AND_H +/* 2471 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 2480 +/* 2476 */ MCD_OPC_Decode, 164, 12, 7, // Opcode: X86AND_W +/* 2480 */ MCD_OPC_FilterValue, 19, 9, 0, 0, // Skip to: 2494 +/* 2485 */ MCD_OPC_CheckPredicate, 0, 196, 85, 0, // Skip to: 24446 +/* 2490 */ MCD_OPC_Decode, 162, 12, 7, // Opcode: X86AND_D +/* 2494 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 2503 +/* 2499 */ MCD_OPC_Decode, 188, 12, 7, // Opcode: X86OR_B +/* 2503 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 2512 +/* 2508 */ MCD_OPC_Decode, 190, 12, 7, // Opcode: X86OR_H +/* 2512 */ MCD_OPC_FilterValue, 22, 4, 0, 0, // Skip to: 2521 +/* 2517 */ MCD_OPC_Decode, 191, 12, 7, // Opcode: X86OR_W +/* 2521 */ MCD_OPC_FilterValue, 23, 9, 0, 0, // Skip to: 2535 +/* 2526 */ MCD_OPC_CheckPredicate, 0, 155, 85, 0, // Skip to: 24446 +/* 2531 */ MCD_OPC_Decode, 189, 12, 7, // Opcode: X86OR_D +/* 2535 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 2544 +/* 2540 */ MCD_OPC_Decode, 132, 13, 7, // Opcode: X86XOR_B +/* 2544 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 2553 +/* 2549 */ MCD_OPC_Decode, 134, 13, 7, // Opcode: X86XOR_H +/* 2553 */ MCD_OPC_FilterValue, 26, 4, 0, 0, // Skip to: 2562 +/* 2558 */ MCD_OPC_Decode, 135, 13, 7, // Opcode: X86XOR_W +/* 2562 */ MCD_OPC_FilterValue, 27, 9, 0, 0, // Skip to: 2576 +/* 2567 */ MCD_OPC_CheckPredicate, 0, 114, 85, 0, // Skip to: 24446 +/* 2572 */ MCD_OPC_Decode, 133, 13, 7, // Opcode: X86XOR_D +/* 2576 */ MCD_OPC_FilterValue, 28, 11, 0, 0, // Skip to: 2592 +/* 2581 */ MCD_OPC_CheckField, 14, 1, 1, 98, 85, 0, // Skip to: 24446 +/* 2588 */ MCD_OPC_Decode, 182, 3, 18, // Opcode: ARMNOT_W +/* 2592 */ MCD_OPC_FilterValue, 29, 11, 0, 0, // Skip to: 2608 +/* 2597 */ MCD_OPC_CheckField, 14, 1, 1, 82, 85, 0, // Skip to: 24446 +/* 2604 */ MCD_OPC_Decode, 180, 3, 18, // Opcode: ARMMOV_W +/* 2608 */ MCD_OPC_FilterValue, 30, 16, 0, 0, // Skip to: 2629 +/* 2613 */ MCD_OPC_CheckPredicate, 0, 68, 85, 0, // Skip to: 24446 +/* 2618 */ MCD_OPC_CheckField, 14, 1, 1, 61, 85, 0, // Skip to: 24446 +/* 2625 */ MCD_OPC_Decode, 179, 3, 18, // Opcode: ARMMOV_D +/* 2629 */ MCD_OPC_FilterValue, 31, 52, 85, 0, // Skip to: 24446 +/* 2634 */ MCD_OPC_CheckField, 14, 1, 1, 45, 85, 0, // Skip to: 24446 +/* 2641 */ MCD_OPC_Decode, 186, 3, 18, // Opcode: ARMRRX_W +/* 2645 */ MCD_OPC_FilterValue, 1, 71, 3, 0, // Skip to: 3489 +/* 2650 */ MCD_OPC_ExtractField, 21, 1, // Inst{21} ... +/* 2653 */ MCD_OPC_FilterValue, 0, 37, 3, 0, // Skip to: 3463 +/* 2658 */ MCD_OPC_ExtractField, 18, 3, // Inst{20-18} ... +/* 2661 */ MCD_OPC_FilterValue, 0, 33, 0, 0, // Skip to: 2699 +/* 2666 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 2669 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 2685 +/* 2674 */ MCD_OPC_CheckField, 15, 1, 1, 5, 85, 0, // Skip to: 24446 +/* 2681 */ MCD_OPC_Decode, 145, 6, 19, // Opcode: SLLI_W +/* 2685 */ MCD_OPC_FilterValue, 1, 252, 84, 0, // Skip to: 24446 +/* 2690 */ MCD_OPC_CheckPredicate, 0, 247, 84, 0, // Skip to: 24446 +/* 2695 */ MCD_OPC_Decode, 144, 6, 20, // Opcode: SLLI_D +/* 2699 */ MCD_OPC_FilterValue, 1, 33, 0, 0, // Skip to: 2737 +/* 2704 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 2707 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 2723 +/* 2712 */ MCD_OPC_CheckField, 15, 1, 1, 223, 84, 0, // Skip to: 24446 +/* 2719 */ MCD_OPC_Decode, 157, 6, 19, // Opcode: SRLI_W +/* 2723 */ MCD_OPC_FilterValue, 1, 214, 84, 0, // Skip to: 24446 +/* 2728 */ MCD_OPC_CheckPredicate, 0, 209, 84, 0, // Skip to: 24446 +/* 2733 */ MCD_OPC_Decode, 156, 6, 20, // Opcode: SRLI_D +/* 2737 */ MCD_OPC_FilterValue, 2, 33, 0, 0, // Skip to: 2775 +/* 2742 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 2745 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 2761 +/* 2750 */ MCD_OPC_CheckField, 15, 1, 1, 185, 84, 0, // Skip to: 24446 +/* 2757 */ MCD_OPC_Decode, 153, 6, 19, // Opcode: SRAI_W +/* 2761 */ MCD_OPC_FilterValue, 1, 176, 84, 0, // Skip to: 24446 +/* 2766 */ MCD_OPC_CheckPredicate, 0, 171, 84, 0, // Skip to: 24446 +/* 2771 */ MCD_OPC_Decode, 152, 6, 20, // Opcode: SRAI_D +/* 2775 */ MCD_OPC_FilterValue, 3, 67, 0, 0, // Skip to: 2847 +/* 2780 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 2783 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 2833 +/* 2788 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 2791 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 2824 +/* 2796 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 2799 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 2815 +/* 2804 */ MCD_OPC_CheckField, 13, 1, 1, 131, 84, 0, // Skip to: 24446 +/* 2811 */ MCD_OPC_Decode, 249, 5, 21, // Opcode: ROTRI_B +/* 2815 */ MCD_OPC_FilterValue, 1, 122, 84, 0, // Skip to: 24446 +/* 2820 */ MCD_OPC_Decode, 251, 5, 14, // Opcode: ROTRI_H +/* 2824 */ MCD_OPC_FilterValue, 1, 113, 84, 0, // Skip to: 24446 +/* 2829 */ MCD_OPC_Decode, 252, 5, 19, // Opcode: ROTRI_W +/* 2833 */ MCD_OPC_FilterValue, 1, 104, 84, 0, // Skip to: 24446 +/* 2838 */ MCD_OPC_CheckPredicate, 0, 99, 84, 0, // Skip to: 24446 +/* 2843 */ MCD_OPC_Decode, 250, 5, 20, // Opcode: ROTRI_D +/* 2847 */ MCD_OPC_FilterValue, 4, 67, 0, 0, // Skip to: 2919 +/* 2852 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 2855 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 2905 +/* 2860 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 2863 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 2896 +/* 2868 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 2871 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 2887 +/* 2876 */ MCD_OPC_CheckField, 13, 1, 1, 59, 84, 0, // Skip to: 24446 +/* 2883 */ MCD_OPC_Decode, 232, 5, 21, // Opcode: RCRI_B +/* 2887 */ MCD_OPC_FilterValue, 1, 50, 84, 0, // Skip to: 24446 +/* 2892 */ MCD_OPC_Decode, 234, 5, 14, // Opcode: RCRI_H +/* 2896 */ MCD_OPC_FilterValue, 1, 41, 84, 0, // Skip to: 24446 +/* 2901 */ MCD_OPC_Decode, 235, 5, 19, // Opcode: RCRI_W +/* 2905 */ MCD_OPC_FilterValue, 1, 32, 84, 0, // Skip to: 24446 +/* 2910 */ MCD_OPC_CheckPredicate, 0, 27, 84, 0, // Skip to: 24446 +/* 2915 */ MCD_OPC_Decode, 233, 5, 20, // Opcode: RCRI_D +/* 2919 */ MCD_OPC_FilterValue, 5, 230, 1, 0, // Skip to: 3410 +/* 2924 */ MCD_OPC_ExtractField, 0, 5, // Inst{4-0} ... +/* 2927 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 2943 +/* 2932 */ MCD_OPC_CheckField, 13, 5, 1, 3, 84, 0, // Skip to: 24446 +/* 2939 */ MCD_OPC_Decode, 230, 12, 22, // Opcode: X86SLLI_B +/* 2943 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 2959 +/* 2948 */ MCD_OPC_CheckField, 14, 4, 1, 243, 83, 0, // Skip to: 24446 +/* 2955 */ MCD_OPC_Decode, 232, 12, 18, // Opcode: X86SLLI_H +/* 2959 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 2975 +/* 2964 */ MCD_OPC_CheckField, 15, 3, 1, 227, 83, 0, // Skip to: 24446 +/* 2971 */ MCD_OPC_Decode, 233, 12, 23, // Opcode: X86SLLI_W +/* 2975 */ MCD_OPC_FilterValue, 3, 16, 0, 0, // Skip to: 2996 +/* 2980 */ MCD_OPC_CheckPredicate, 0, 213, 83, 0, // Skip to: 24446 +/* 2985 */ MCD_OPC_CheckField, 16, 2, 1, 206, 83, 0, // Skip to: 24446 +/* 2992 */ MCD_OPC_Decode, 231, 12, 24, // Opcode: X86SLLI_D +/* 2996 */ MCD_OPC_FilterValue, 4, 11, 0, 0, // Skip to: 3012 +/* 3001 */ MCD_OPC_CheckField, 13, 5, 1, 190, 83, 0, // Skip to: 24446 +/* 3008 */ MCD_OPC_Decode, 246, 12, 22, // Opcode: X86SRLI_B +/* 3012 */ MCD_OPC_FilterValue, 5, 11, 0, 0, // Skip to: 3028 +/* 3017 */ MCD_OPC_CheckField, 14, 4, 1, 174, 83, 0, // Skip to: 24446 +/* 3024 */ MCD_OPC_Decode, 248, 12, 18, // Opcode: X86SRLI_H +/* 3028 */ MCD_OPC_FilterValue, 6, 11, 0, 0, // Skip to: 3044 +/* 3033 */ MCD_OPC_CheckField, 15, 3, 1, 158, 83, 0, // Skip to: 24446 +/* 3040 */ MCD_OPC_Decode, 249, 12, 23, // Opcode: X86SRLI_W +/* 3044 */ MCD_OPC_FilterValue, 7, 16, 0, 0, // Skip to: 3065 +/* 3049 */ MCD_OPC_CheckPredicate, 0, 144, 83, 0, // Skip to: 24446 +/* 3054 */ MCD_OPC_CheckField, 16, 2, 1, 137, 83, 0, // Skip to: 24446 +/* 3061 */ MCD_OPC_Decode, 247, 12, 24, // Opcode: X86SRLI_D +/* 3065 */ MCD_OPC_FilterValue, 8, 11, 0, 0, // Skip to: 3081 +/* 3070 */ MCD_OPC_CheckField, 13, 5, 1, 121, 83, 0, // Skip to: 24446 +/* 3077 */ MCD_OPC_Decode, 238, 12, 22, // Opcode: X86SRAI_B +/* 3081 */ MCD_OPC_FilterValue, 9, 11, 0, 0, // Skip to: 3097 +/* 3086 */ MCD_OPC_CheckField, 14, 4, 1, 105, 83, 0, // Skip to: 24446 +/* 3093 */ MCD_OPC_Decode, 240, 12, 18, // Opcode: X86SRAI_H +/* 3097 */ MCD_OPC_FilterValue, 10, 11, 0, 0, // Skip to: 3113 +/* 3102 */ MCD_OPC_CheckField, 15, 3, 1, 89, 83, 0, // Skip to: 24446 +/* 3109 */ MCD_OPC_Decode, 241, 12, 23, // Opcode: X86SRAI_W +/* 3113 */ MCD_OPC_FilterValue, 11, 16, 0, 0, // Skip to: 3134 +/* 3118 */ MCD_OPC_CheckPredicate, 0, 75, 83, 0, // Skip to: 24446 +/* 3123 */ MCD_OPC_CheckField, 16, 2, 1, 68, 83, 0, // Skip to: 24446 +/* 3130 */ MCD_OPC_Decode, 239, 12, 24, // Opcode: X86SRAI_D +/* 3134 */ MCD_OPC_FilterValue, 12, 11, 0, 0, // Skip to: 3150 +/* 3139 */ MCD_OPC_CheckField, 13, 5, 1, 52, 83, 0, // Skip to: 24446 +/* 3146 */ MCD_OPC_Decode, 216, 12, 22, // Opcode: X86ROTRI_B +/* 3150 */ MCD_OPC_FilterValue, 13, 11, 0, 0, // Skip to: 3166 +/* 3155 */ MCD_OPC_CheckField, 14, 4, 1, 36, 83, 0, // Skip to: 24446 +/* 3162 */ MCD_OPC_Decode, 218, 12, 18, // Opcode: X86ROTRI_H +/* 3166 */ MCD_OPC_FilterValue, 14, 11, 0, 0, // Skip to: 3182 +/* 3171 */ MCD_OPC_CheckField, 15, 3, 1, 20, 83, 0, // Skip to: 24446 +/* 3178 */ MCD_OPC_Decode, 219, 12, 23, // Opcode: X86ROTRI_W +/* 3182 */ MCD_OPC_FilterValue, 15, 16, 0, 0, // Skip to: 3203 +/* 3187 */ MCD_OPC_CheckPredicate, 0, 6, 83, 0, // Skip to: 24446 +/* 3192 */ MCD_OPC_CheckField, 16, 2, 1, 255, 82, 0, // Skip to: 24446 +/* 3199 */ MCD_OPC_Decode, 217, 12, 24, // Opcode: X86ROTRI_D +/* 3203 */ MCD_OPC_FilterValue, 16, 11, 0, 0, // Skip to: 3219 +/* 3208 */ MCD_OPC_CheckField, 13, 5, 1, 239, 82, 0, // Skip to: 24446 +/* 3215 */ MCD_OPC_Decode, 200, 12, 22, // Opcode: X86RCRI_B +/* 3219 */ MCD_OPC_FilterValue, 17, 11, 0, 0, // Skip to: 3235 +/* 3224 */ MCD_OPC_CheckField, 14, 4, 1, 223, 82, 0, // Skip to: 24446 +/* 3231 */ MCD_OPC_Decode, 202, 12, 18, // Opcode: X86RCRI_H +/* 3235 */ MCD_OPC_FilterValue, 18, 11, 0, 0, // Skip to: 3251 +/* 3240 */ MCD_OPC_CheckField, 15, 3, 1, 207, 82, 0, // Skip to: 24446 +/* 3247 */ MCD_OPC_Decode, 203, 12, 23, // Opcode: X86RCRI_W +/* 3251 */ MCD_OPC_FilterValue, 19, 16, 0, 0, // Skip to: 3272 +/* 3256 */ MCD_OPC_CheckPredicate, 0, 193, 82, 0, // Skip to: 24446 +/* 3261 */ MCD_OPC_CheckField, 16, 2, 1, 186, 82, 0, // Skip to: 24446 +/* 3268 */ MCD_OPC_Decode, 201, 12, 24, // Opcode: X86RCRI_D +/* 3272 */ MCD_OPC_FilterValue, 20, 11, 0, 0, // Skip to: 3288 +/* 3277 */ MCD_OPC_CheckField, 13, 5, 1, 170, 82, 0, // Skip to: 24446 +/* 3284 */ MCD_OPC_Decode, 208, 12, 22, // Opcode: X86ROTLI_B +/* 3288 */ MCD_OPC_FilterValue, 21, 11, 0, 0, // Skip to: 3304 +/* 3293 */ MCD_OPC_CheckField, 14, 4, 1, 154, 82, 0, // Skip to: 24446 +/* 3300 */ MCD_OPC_Decode, 210, 12, 18, // Opcode: X86ROTLI_H +/* 3304 */ MCD_OPC_FilterValue, 22, 11, 0, 0, // Skip to: 3320 +/* 3309 */ MCD_OPC_CheckField, 15, 3, 1, 138, 82, 0, // Skip to: 24446 +/* 3316 */ MCD_OPC_Decode, 211, 12, 23, // Opcode: X86ROTLI_W +/* 3320 */ MCD_OPC_FilterValue, 23, 16, 0, 0, // Skip to: 3341 +/* 3325 */ MCD_OPC_CheckPredicate, 0, 124, 82, 0, // Skip to: 24446 +/* 3330 */ MCD_OPC_CheckField, 16, 2, 1, 117, 82, 0, // Skip to: 24446 +/* 3337 */ MCD_OPC_Decode, 209, 12, 24, // Opcode: X86ROTLI_D +/* 3341 */ MCD_OPC_FilterValue, 24, 11, 0, 0, // Skip to: 3357 +/* 3346 */ MCD_OPC_CheckField, 13, 5, 1, 101, 82, 0, // Skip to: 24446 +/* 3353 */ MCD_OPC_Decode, 192, 12, 22, // Opcode: X86RCLI_B +/* 3357 */ MCD_OPC_FilterValue, 25, 11, 0, 0, // Skip to: 3373 +/* 3362 */ MCD_OPC_CheckField, 14, 4, 1, 85, 82, 0, // Skip to: 24446 +/* 3369 */ MCD_OPC_Decode, 194, 12, 18, // Opcode: X86RCLI_H +/* 3373 */ MCD_OPC_FilterValue, 26, 11, 0, 0, // Skip to: 3389 +/* 3378 */ MCD_OPC_CheckField, 15, 3, 1, 69, 82, 0, // Skip to: 24446 +/* 3385 */ MCD_OPC_Decode, 195, 12, 23, // Opcode: X86RCLI_W +/* 3389 */ MCD_OPC_FilterValue, 27, 60, 82, 0, // Skip to: 24446 +/* 3394 */ MCD_OPC_CheckPredicate, 0, 55, 82, 0, // Skip to: 24446 +/* 3399 */ MCD_OPC_CheckField, 16, 2, 1, 48, 82, 0, // Skip to: 24446 +/* 3406 */ MCD_OPC_Decode, 193, 12, 24, // Opcode: X86RCLI_D +/* 3410 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 3419 +/* 3415 */ MCD_OPC_Decode, 228, 12, 25, // Opcode: X86SETTAG +/* 3419 */ MCD_OPC_FilterValue, 7, 30, 82, 0, // Skip to: 24446 +/* 3424 */ MCD_OPC_ExtractField, 5, 5, // Inst{9-5} ... +/* 3427 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 3436 +/* 3432 */ MCD_OPC_Decode, 176, 12, 26, // Opcode: X86MFFLAG +/* 3436 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 3445 +/* 3441 */ MCD_OPC_Decode, 178, 12, 26, // Opcode: X86MTFLAG +/* 3445 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 3454 +/* 3450 */ MCD_OPC_Decode, 177, 3, 26, // Opcode: ARMMFFLAG +/* 3454 */ MCD_OPC_FilterValue, 3, 251, 81, 0, // Skip to: 24446 +/* 3459 */ MCD_OPC_Decode, 181, 3, 26, // Opcode: ARMMTFLAG +/* 3463 */ MCD_OPC_FilterValue, 1, 242, 81, 0, // Skip to: 24446 +/* 3468 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 3471 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 3480 +/* 3476 */ MCD_OPC_Decode, 216, 3, 27, // Opcode: BSTRINS_W +/* 3480 */ MCD_OPC_FilterValue, 1, 225, 81, 0, // Skip to: 24446 +/* 3485 */ MCD_OPC_Decode, 218, 3, 28, // Opcode: BSTRPICK_W +/* 3489 */ MCD_OPC_FilterValue, 2, 9, 0, 0, // Skip to: 3503 +/* 3494 */ MCD_OPC_CheckPredicate, 0, 211, 81, 0, // Skip to: 24446 +/* 3499 */ MCD_OPC_Decode, 215, 3, 29, // Opcode: BSTRINS_D +/* 3503 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 3517 +/* 3508 */ MCD_OPC_CheckPredicate, 0, 197, 81, 0, // Skip to: 24446 +/* 3513 */ MCD_OPC_Decode, 217, 3, 30, // Opcode: BSTRPICK_D +/* 3517 */ MCD_OPC_FilterValue, 4, 56, 3, 0, // Skip to: 4346 +/* 3522 */ MCD_OPC_ExtractField, 15, 7, // Inst{21-15} ... +/* 3525 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 3534 +/* 3530 */ MCD_OPC_Decode, 254, 3, 31, // Opcode: FADD_S +/* 3534 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 3543 +/* 3539 */ MCD_OPC_Decode, 253, 3, 32, // Opcode: FADD_D +/* 3543 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 3552 +/* 3548 */ MCD_OPC_Decode, 243, 4, 31, // Opcode: FSUB_S +/* 3552 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 3561 +/* 3557 */ MCD_OPC_Decode, 242, 4, 32, // Opcode: FSUB_D +/* 3561 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 3570 +/* 3566 */ MCD_OPC_Decode, 211, 4, 31, // Opcode: FMUL_S +/* 3570 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 3579 +/* 3575 */ MCD_OPC_Decode, 210, 4, 32, // Opcode: FMUL_D +/* 3579 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 3588 +/* 3584 */ MCD_OPC_Decode, 181, 4, 31, // Opcode: FDIV_S +/* 3588 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 3597 +/* 3593 */ MCD_OPC_Decode, 180, 4, 32, // Opcode: FDIV_D +/* 3597 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 3606 +/* 3602 */ MCD_OPC_Decode, 201, 4, 31, // Opcode: FMAX_S +/* 3606 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 3615 +/* 3611 */ MCD_OPC_Decode, 200, 4, 32, // Opcode: FMAX_D +/* 3615 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 3624 +/* 3620 */ MCD_OPC_Decode, 205, 4, 31, // Opcode: FMIN_S +/* 3624 */ MCD_OPC_FilterValue, 22, 4, 0, 0, // Skip to: 3633 +/* 3629 */ MCD_OPC_Decode, 204, 4, 32, // Opcode: FMIN_D +/* 3633 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 3642 +/* 3638 */ MCD_OPC_Decode, 199, 4, 31, // Opcode: FMAXA_S +/* 3642 */ MCD_OPC_FilterValue, 26, 4, 0, 0, // Skip to: 3651 +/* 3647 */ MCD_OPC_Decode, 198, 4, 32, // Opcode: FMAXA_D +/* 3651 */ MCD_OPC_FilterValue, 29, 4, 0, 0, // Skip to: 3660 +/* 3656 */ MCD_OPC_Decode, 203, 4, 31, // Opcode: FMINA_S +/* 3660 */ MCD_OPC_FilterValue, 30, 4, 0, 0, // Skip to: 3669 +/* 3665 */ MCD_OPC_Decode, 202, 4, 32, // Opcode: FMINA_D +/* 3669 */ MCD_OPC_FilterValue, 33, 4, 0, 0, // Skip to: 3678 +/* 3674 */ MCD_OPC_Decode, 229, 4, 31, // Opcode: FSCALEB_S +/* 3678 */ MCD_OPC_FilterValue, 34, 4, 0, 0, // Skip to: 3687 +/* 3683 */ MCD_OPC_Decode, 228, 4, 32, // Opcode: FSCALEB_D +/* 3687 */ MCD_OPC_FilterValue, 37, 4, 0, 0, // Skip to: 3696 +/* 3692 */ MCD_OPC_Decode, 174, 4, 31, // Opcode: FCOPYSIGN_S +/* 3696 */ MCD_OPC_FilterValue, 38, 4, 0, 0, // Skip to: 3705 +/* 3701 */ MCD_OPC_Decode, 173, 4, 32, // Opcode: FCOPYSIGN_D +/* 3705 */ MCD_OPC_FilterValue, 40, 147, 0, 0, // Skip to: 3857 +/* 3710 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 3713 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 3722 +/* 3718 */ MCD_OPC_Decode, 252, 3, 33, // Opcode: FABS_S +/* 3722 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 3731 +/* 3727 */ MCD_OPC_Decode, 251, 3, 34, // Opcode: FABS_D +/* 3731 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 3740 +/* 3736 */ MCD_OPC_Decode, 213, 4, 33, // Opcode: FNEG_S +/* 3740 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 3749 +/* 3745 */ MCD_OPC_Decode, 212, 4, 34, // Opcode: FNEG_D +/* 3749 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 3758 +/* 3754 */ MCD_OPC_Decode, 195, 4, 33, // Opcode: FLOGB_S +/* 3758 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 3767 +/* 3763 */ MCD_OPC_Decode, 194, 4, 34, // Opcode: FLOGB_D +/* 3767 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 3776 +/* 3772 */ MCD_OPC_Decode, 128, 4, 33, // Opcode: FCLASS_S +/* 3776 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 3785 +/* 3781 */ MCD_OPC_Decode, 255, 3, 34, // Opcode: FCLASS_D +/* 3785 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 3794 +/* 3790 */ MCD_OPC_Decode, 233, 4, 33, // Opcode: FSQRT_S +/* 3794 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 3803 +/* 3799 */ MCD_OPC_Decode, 232, 4, 34, // Opcode: FSQRT_D +/* 3803 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 3812 +/* 3808 */ MCD_OPC_Decode, 221, 4, 33, // Opcode: FRECIP_S +/* 3812 */ MCD_OPC_FilterValue, 22, 4, 0, 0, // Skip to: 3821 +/* 3817 */ MCD_OPC_Decode, 220, 4, 34, // Opcode: FRECIP_D +/* 3821 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 3830 +/* 3826 */ MCD_OPC_Decode, 227, 4, 33, // Opcode: FRSQRT_S +/* 3830 */ MCD_OPC_FilterValue, 26, 4, 0, 0, // Skip to: 3839 +/* 3835 */ MCD_OPC_Decode, 226, 4, 34, // Opcode: FRSQRT_D +/* 3839 */ MCD_OPC_FilterValue, 29, 4, 0, 0, // Skip to: 3848 +/* 3844 */ MCD_OPC_Decode, 219, 4, 33, // Opcode: FRECIPE_S +/* 3848 */ MCD_OPC_FilterValue, 30, 113, 80, 0, // Skip to: 24446 +/* 3853 */ MCD_OPC_Decode, 218, 4, 34, // Opcode: FRECIPE_D +/* 3857 */ MCD_OPC_FilterValue, 41, 175, 0, 0, // Skip to: 4037 +/* 3862 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 3865 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 3874 +/* 3870 */ MCD_OPC_Decode, 225, 4, 33, // Opcode: FRSQRTE_S +/* 3874 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 3883 +/* 3879 */ MCD_OPC_Decode, 224, 4, 34, // Opcode: FRSQRTE_D +/* 3883 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 3892 +/* 3888 */ MCD_OPC_Decode, 207, 4, 33, // Opcode: FMOV_S +/* 3892 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 3901 +/* 3897 */ MCD_OPC_Decode, 206, 4, 34, // Opcode: FMOV_D +/* 3901 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 3910 +/* 3906 */ MCD_OPC_Decode, 210, 5, 35, // Opcode: MOVGR2FR_W +/* 3910 */ MCD_OPC_FilterValue, 10, 9, 0, 0, // Skip to: 3924 +/* 3915 */ MCD_OPC_CheckPredicate, 0, 46, 80, 0, // Skip to: 24446 +/* 3920 */ MCD_OPC_Decode, 209, 5, 36, // Opcode: MOVGR2FR_D +/* 3924 */ MCD_OPC_FilterValue, 11, 4, 0, 0, // Skip to: 3933 +/* 3929 */ MCD_OPC_Decode, 208, 5, 37, // Opcode: MOVGR2FRH_W +/* 3933 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 3942 +/* 3938 */ MCD_OPC_Decode, 203, 5, 38, // Opcode: MOVFR2GR_S +/* 3942 */ MCD_OPC_FilterValue, 14, 9, 0, 0, // Skip to: 3956 +/* 3947 */ MCD_OPC_CheckPredicate, 0, 14, 80, 0, // Skip to: 24446 +/* 3952 */ MCD_OPC_Decode, 202, 5, 39, // Opcode: MOVFR2GR_D +/* 3956 */ MCD_OPC_FilterValue, 15, 4, 0, 0, // Skip to: 3965 +/* 3961 */ MCD_OPC_Decode, 205, 5, 39, // Opcode: MOVFRH2GR_S +/* 3965 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 3974 +/* 3970 */ MCD_OPC_Decode, 207, 5, 40, // Opcode: MOVGR2FCSR +/* 3974 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 3983 +/* 3979 */ MCD_OPC_Decode, 200, 5, 41, // Opcode: MOVFCSR2GR +/* 3983 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 3992 +/* 3988 */ MCD_OPC_Decode, 201, 5, 42, // Opcode: MOVFR2CF_xS +/* 3992 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 4001 +/* 3997 */ MCD_OPC_Decode, 198, 5, 43, // Opcode: MOVCF2FR_xS +/* 4001 */ MCD_OPC_FilterValue, 22, 4, 0, 0, // Skip to: 4010 +/* 4006 */ MCD_OPC_Decode, 206, 5, 44, // Opcode: MOVGR2CF +/* 4010 */ MCD_OPC_FilterValue, 23, 4, 0, 0, // Skip to: 4019 +/* 4015 */ MCD_OPC_Decode, 199, 5, 45, // Opcode: MOVCF2GR +/* 4019 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 4028 +/* 4024 */ MCD_OPC_Decode, 177, 4, 33, // Opcode: FCVT_LD_D +/* 4028 */ MCD_OPC_FilterValue, 25, 189, 79, 0, // Skip to: 24446 +/* 4033 */ MCD_OPC_Decode, 179, 4, 33, // Opcode: FCVT_UD_D +/* 4037 */ MCD_OPC_FilterValue, 42, 4, 0, 0, // Skip to: 4046 +/* 4042 */ MCD_OPC_Decode, 175, 4, 31, // Opcode: FCVT_D_LD +/* 4046 */ MCD_OPC_FilterValue, 50, 21, 0, 0, // Skip to: 4072 +/* 4051 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 4054 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 4063 +/* 4059 */ MCD_OPC_Decode, 178, 4, 46, // Opcode: FCVT_S_D +/* 4063 */ MCD_OPC_FilterValue, 9, 154, 79, 0, // Skip to: 24446 +/* 4068 */ MCD_OPC_Decode, 176, 4, 47, // Opcode: FCVT_D_S +/* 4072 */ MCD_OPC_FilterValue, 52, 75, 0, 0, // Skip to: 4152 +/* 4077 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 4080 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 4089 +/* 4085 */ MCD_OPC_Decode, 247, 4, 33, // Opcode: FTINTRM_W_S +/* 4089 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 4098 +/* 4094 */ MCD_OPC_Decode, 246, 4, 46, // Opcode: FTINTRM_W_D +/* 4098 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 4107 +/* 4103 */ MCD_OPC_Decode, 245, 4, 47, // Opcode: FTINTRM_L_S +/* 4107 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 4116 +/* 4112 */ MCD_OPC_Decode, 244, 4, 34, // Opcode: FTINTRM_L_D +/* 4116 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 4125 +/* 4121 */ MCD_OPC_Decode, 255, 4, 33, // Opcode: FTINTRP_W_S +/* 4125 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 4134 +/* 4130 */ MCD_OPC_Decode, 254, 4, 46, // Opcode: FTINTRP_W_D +/* 4134 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 4143 +/* 4139 */ MCD_OPC_Decode, 253, 4, 47, // Opcode: FTINTRP_L_S +/* 4143 */ MCD_OPC_FilterValue, 26, 74, 79, 0, // Skip to: 24446 +/* 4148 */ MCD_OPC_Decode, 252, 4, 34, // Opcode: FTINTRP_L_D +/* 4152 */ MCD_OPC_FilterValue, 53, 75, 0, 0, // Skip to: 4232 +/* 4157 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 4160 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 4169 +/* 4165 */ MCD_OPC_Decode, 131, 5, 33, // Opcode: FTINTRZ_W_S +/* 4169 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 4178 +/* 4174 */ MCD_OPC_Decode, 130, 5, 46, // Opcode: FTINTRZ_W_D +/* 4178 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 4187 +/* 4183 */ MCD_OPC_Decode, 129, 5, 47, // Opcode: FTINTRZ_L_S +/* 4187 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 4196 +/* 4192 */ MCD_OPC_Decode, 128, 5, 34, // Opcode: FTINTRZ_L_D +/* 4196 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 4205 +/* 4201 */ MCD_OPC_Decode, 251, 4, 33, // Opcode: FTINTRNE_W_S +/* 4205 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 4214 +/* 4210 */ MCD_OPC_Decode, 250, 4, 46, // Opcode: FTINTRNE_W_D +/* 4214 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 4223 +/* 4219 */ MCD_OPC_Decode, 249, 4, 47, // Opcode: FTINTRNE_L_S +/* 4223 */ MCD_OPC_FilterValue, 26, 250, 78, 0, // Skip to: 24446 +/* 4228 */ MCD_OPC_Decode, 248, 4, 34, // Opcode: FTINTRNE_L_D +/* 4232 */ MCD_OPC_FilterValue, 54, 39, 0, 0, // Skip to: 4276 +/* 4237 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 4240 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 4249 +/* 4245 */ MCD_OPC_Decode, 135, 5, 33, // Opcode: FTINT_W_S +/* 4249 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 4258 +/* 4254 */ MCD_OPC_Decode, 134, 5, 46, // Opcode: FTINT_W_D +/* 4258 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 4267 +/* 4263 */ MCD_OPC_Decode, 133, 5, 47, // Opcode: FTINT_L_S +/* 4267 */ MCD_OPC_FilterValue, 10, 206, 78, 0, // Skip to: 24446 +/* 4272 */ MCD_OPC_Decode, 132, 5, 34, // Opcode: FTINT_L_D +/* 4276 */ MCD_OPC_FilterValue, 58, 39, 0, 0, // Skip to: 4320 +/* 4281 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 4284 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 4293 +/* 4289 */ MCD_OPC_Decode, 185, 4, 33, // Opcode: FFINT_S_W +/* 4293 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 4302 +/* 4298 */ MCD_OPC_Decode, 184, 4, 46, // Opcode: FFINT_S_L +/* 4302 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 4311 +/* 4307 */ MCD_OPC_Decode, 183, 4, 47, // Opcode: FFINT_D_W +/* 4311 */ MCD_OPC_FilterValue, 10, 162, 78, 0, // Skip to: 24446 +/* 4316 */ MCD_OPC_Decode, 182, 4, 34, // Opcode: FFINT_D_L +/* 4320 */ MCD_OPC_FilterValue, 60, 153, 78, 0, // Skip to: 24446 +/* 4325 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 4328 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 4337 +/* 4333 */ MCD_OPC_Decode, 223, 4, 33, // Opcode: FRINT_S +/* 4337 */ MCD_OPC_FilterValue, 18, 136, 78, 0, // Skip to: 24446 +/* 4342 */ MCD_OPC_Decode, 222, 4, 34, // Opcode: FRINT_D +/* 4346 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 4355 +/* 4351 */ MCD_OPC_Decode, 149, 6, 48, // Opcode: SLTI +/* 4355 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 4364 +/* 4360 */ MCD_OPC_Decode, 151, 6, 48, // Opcode: SLTUI +/* 4364 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 4373 +/* 4369 */ MCD_OPC_Decode, 238, 2, 48, // Opcode: ADDI_W +/* 4373 */ MCD_OPC_FilterValue, 11, 9, 0, 0, // Skip to: 4387 +/* 4378 */ MCD_OPC_CheckPredicate, 0, 95, 78, 0, // Skip to: 24446 +/* 4383 */ MCD_OPC_Decode, 237, 2, 48, // Opcode: ADDI_D +/* 4387 */ MCD_OPC_FilterValue, 12, 9, 0, 0, // Skip to: 4401 +/* 4392 */ MCD_OPC_CheckPredicate, 0, 81, 78, 0, // Skip to: 24446 +/* 4397 */ MCD_OPC_Decode, 191, 5, 48, // Opcode: LU52I_D +/* 4401 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 4410 +/* 4406 */ MCD_OPC_Decode, 172, 3, 49, // Opcode: ANDI +/* 4410 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 4419 +/* 4415 */ MCD_OPC_Decode, 224, 5, 49, // Opcode: ORI +/* 4419 */ MCD_OPC_FilterValue, 15, 54, 78, 0, // Skip to: 24446 +/* 4424 */ MCD_OPC_Decode, 137, 13, 49, // Opcode: XORI +/* 4428 */ MCD_OPC_FilterValue, 1, 95, 1, 0, // Skip to: 4784 +/* 4433 */ MCD_OPC_ExtractField, 24, 2, // Inst{25-24} ... +/* 4436 */ MCD_OPC_FilterValue, 0, 25, 0, 0, // Skip to: 4466 +/* 4441 */ MCD_OPC_ExtractField, 5, 5, // Inst{9-5} ... +/* 4444 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 4453 +/* 4449 */ MCD_OPC_Decode, 235, 3, 50, // Opcode: CSRRD +/* 4453 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 4462 +/* 4458 */ MCD_OPC_Decode, 236, 3, 51, // Opcode: CSRWR +/* 4462 */ MCD_OPC_Decode, 237, 3, 52, // Opcode: CSRXCHG +/* 4466 */ MCD_OPC_FilterValue, 1, 25, 0, 0, // Skip to: 4496 +/* 4471 */ MCD_OPC_ExtractField, 5, 5, // Inst{9-5} ... +/* 4474 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 4483 +/* 4479 */ MCD_OPC_Decode, 136, 5, 50, // Opcode: GCSRRD +/* 4483 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 4492 +/* 4488 */ MCD_OPC_Decode, 137, 5, 51, // Opcode: GCSRWR +/* 4492 */ MCD_OPC_Decode, 138, 5, 52, // Opcode: GCSRXCHG +/* 4496 */ MCD_OPC_FilterValue, 2, 233, 77, 0, // Skip to: 24446 +/* 4501 */ MCD_OPC_ExtractField, 22, 2, // Inst{23-22} ... +/* 4504 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 4513 +/* 4509 */ MCD_OPC_Decode, 221, 3, 53, // Opcode: CACOP +/* 4513 */ MCD_OPC_FilterValue, 1, 216, 77, 0, // Skip to: 24446 +/* 4518 */ MCD_OPC_ExtractField, 18, 4, // Inst{21-18} ... +/* 4521 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 4530 +/* 4526 */ MCD_OPC_Decode, 155, 5, 54, // Opcode: LDDIR +/* 4530 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 4546 +/* 4535 */ MCD_OPC_CheckField, 0, 5, 0, 192, 77, 0, // Skip to: 24446 +/* 4542 */ MCD_OPC_Decode, 166, 5, 55, // Opcode: LDPTE +/* 4546 */ MCD_OPC_FilterValue, 2, 183, 77, 0, // Skip to: 24446 +/* 4551 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 4554 */ MCD_OPC_FilterValue, 0, 207, 0, 0, // Skip to: 4766 +/* 4559 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 4562 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 4571 +/* 4567 */ MCD_OPC_Decode, 144, 5, 2, // Opcode: IOCSRRD_B +/* 4571 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 4580 +/* 4576 */ MCD_OPC_Decode, 146, 5, 2, // Opcode: IOCSRRD_H +/* 4580 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 4589 +/* 4585 */ MCD_OPC_Decode, 147, 5, 2, // Opcode: IOCSRRD_W +/* 4589 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 4603 +/* 4594 */ MCD_OPC_CheckPredicate, 0, 135, 77, 0, // Skip to: 24446 +/* 4599 */ MCD_OPC_Decode, 145, 5, 2, // Opcode: IOCSRRD_D +/* 4603 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 4612 +/* 4608 */ MCD_OPC_Decode, 148, 5, 2, // Opcode: IOCSRWR_B +/* 4612 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 4621 +/* 4617 */ MCD_OPC_Decode, 150, 5, 2, // Opcode: IOCSRWR_H +/* 4621 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 4630 +/* 4626 */ MCD_OPC_Decode, 151, 5, 2, // Opcode: IOCSRWR_W +/* 4630 */ MCD_OPC_FilterValue, 7, 9, 0, 0, // Skip to: 4644 +/* 4635 */ MCD_OPC_CheckPredicate, 0, 94, 77, 0, // Skip to: 24446 +/* 4640 */ MCD_OPC_Decode, 149, 5, 2, // Opcode: IOCSRWR_D +/* 4644 */ MCD_OPC_FilterValue, 8, 11, 0, 0, // Skip to: 4660 +/* 4649 */ MCD_OPC_CheckField, 0, 10, 0, 78, 77, 0, // Skip to: 24446 +/* 4656 */ MCD_OPC_Decode, 185, 6, 6, // Opcode: TLBCLR +/* 4660 */ MCD_OPC_FilterValue, 9, 21, 0, 0, // Skip to: 4686 +/* 4665 */ MCD_OPC_ExtractField, 0, 10, // Inst{9-0} ... +/* 4668 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 4677 +/* 4673 */ MCD_OPC_Decode, 187, 6, 6, // Opcode: TLBFLUSH +/* 4677 */ MCD_OPC_FilterValue, 1, 52, 77, 0, // Skip to: 24446 +/* 4682 */ MCD_OPC_Decode, 139, 5, 6, // Opcode: GTLBFLUSH +/* 4686 */ MCD_OPC_FilterValue, 10, 11, 0, 0, // Skip to: 4702 +/* 4691 */ MCD_OPC_CheckField, 0, 10, 0, 36, 77, 0, // Skip to: 24446 +/* 4698 */ MCD_OPC_Decode, 189, 6, 6, // Opcode: TLBSRCH +/* 4702 */ MCD_OPC_FilterValue, 11, 11, 0, 0, // Skip to: 4718 +/* 4707 */ MCD_OPC_CheckField, 0, 10, 0, 20, 77, 0, // Skip to: 24446 +/* 4714 */ MCD_OPC_Decode, 188, 6, 6, // Opcode: TLBRD +/* 4718 */ MCD_OPC_FilterValue, 12, 11, 0, 0, // Skip to: 4734 +/* 4723 */ MCD_OPC_CheckField, 0, 10, 0, 4, 77, 0, // Skip to: 24446 +/* 4730 */ MCD_OPC_Decode, 190, 6, 6, // Opcode: TLBWR +/* 4734 */ MCD_OPC_FilterValue, 13, 11, 0, 0, // Skip to: 4750 +/* 4739 */ MCD_OPC_CheckField, 0, 10, 0, 244, 76, 0, // Skip to: 24446 +/* 4746 */ MCD_OPC_Decode, 186, 6, 6, // Opcode: TLBFILL +/* 4750 */ MCD_OPC_FilterValue, 14, 235, 76, 0, // Skip to: 24446 +/* 4755 */ MCD_OPC_CheckField, 0, 10, 0, 228, 76, 0, // Skip to: 24446 +/* 4762 */ MCD_OPC_Decode, 248, 3, 6, // Opcode: ERTN +/* 4766 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 4775 +/* 4771 */ MCD_OPC_Decode, 142, 5, 13, // Opcode: IDLE +/* 4775 */ MCD_OPC_FilterValue, 3, 210, 76, 0, // Skip to: 24446 +/* 4780 */ MCD_OPC_Decode, 143, 5, 56, // Opcode: INVTLB +/* 4784 */ MCD_OPC_FilterValue, 2, 219, 0, 0, // Skip to: 5008 +/* 4789 */ MCD_OPC_ExtractField, 20, 6, // Inst{25-20} ... +/* 4792 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 4801 +/* 4797 */ MCD_OPC_Decode, 197, 4, 57, // Opcode: FMADD_S +/* 4801 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 4810 +/* 4806 */ MCD_OPC_Decode, 196, 4, 58, // Opcode: FMADD_D +/* 4810 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 4819 +/* 4815 */ MCD_OPC_Decode, 209, 4, 57, // Opcode: FMSUB_S +/* 4819 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 4828 +/* 4824 */ MCD_OPC_Decode, 208, 4, 58, // Opcode: FMSUB_D +/* 4828 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 4837 +/* 4833 */ MCD_OPC_Decode, 215, 4, 57, // Opcode: FNMADD_S +/* 4837 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 4846 +/* 4842 */ MCD_OPC_Decode, 214, 4, 58, // Opcode: FNMADD_D +/* 4846 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 4855 +/* 4851 */ MCD_OPC_Decode, 217, 4, 57, // Opcode: FNMSUB_S +/* 4855 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 4864 +/* 4860 */ MCD_OPC_Decode, 216, 4, 58, // Opcode: FNMSUB_D +/* 4864 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 4873 +/* 4869 */ MCD_OPC_Decode, 135, 8, 59, // Opcode: VFMADD_S +/* 4873 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 4882 +/* 4878 */ MCD_OPC_Decode, 134, 8, 59, // Opcode: VFMADD_D +/* 4882 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 4891 +/* 4887 */ MCD_OPC_Decode, 145, 8, 59, // Opcode: VFMSUB_S +/* 4891 */ MCD_OPC_FilterValue, 22, 4, 0, 0, // Skip to: 4900 +/* 4896 */ MCD_OPC_Decode, 144, 8, 59, // Opcode: VFMSUB_D +/* 4900 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 4909 +/* 4905 */ MCD_OPC_Decode, 149, 8, 59, // Opcode: VFNMADD_S +/* 4909 */ MCD_OPC_FilterValue, 26, 4, 0, 0, // Skip to: 4918 +/* 4914 */ MCD_OPC_Decode, 148, 8, 59, // Opcode: VFNMADD_D +/* 4918 */ MCD_OPC_FilterValue, 29, 4, 0, 0, // Skip to: 4927 +/* 4923 */ MCD_OPC_Decode, 151, 8, 59, // Opcode: VFNMSUB_S +/* 4927 */ MCD_OPC_FilterValue, 30, 4, 0, 0, // Skip to: 4936 +/* 4932 */ MCD_OPC_Decode, 150, 8, 59, // Opcode: VFNMSUB_D +/* 4936 */ MCD_OPC_FilterValue, 33, 4, 0, 0, // Skip to: 4945 +/* 4941 */ MCD_OPC_Decode, 198, 14, 60, // Opcode: XVFMADD_S +/* 4945 */ MCD_OPC_FilterValue, 34, 4, 0, 0, // Skip to: 4954 +/* 4950 */ MCD_OPC_Decode, 197, 14, 60, // Opcode: XVFMADD_D +/* 4954 */ MCD_OPC_FilterValue, 37, 4, 0, 0, // Skip to: 4963 +/* 4959 */ MCD_OPC_Decode, 208, 14, 60, // Opcode: XVFMSUB_S +/* 4963 */ MCD_OPC_FilterValue, 38, 4, 0, 0, // Skip to: 4972 +/* 4968 */ MCD_OPC_Decode, 207, 14, 60, // Opcode: XVFMSUB_D +/* 4972 */ MCD_OPC_FilterValue, 41, 4, 0, 0, // Skip to: 4981 +/* 4977 */ MCD_OPC_Decode, 212, 14, 60, // Opcode: XVFNMADD_S +/* 4981 */ MCD_OPC_FilterValue, 42, 4, 0, 0, // Skip to: 4990 +/* 4986 */ MCD_OPC_Decode, 211, 14, 60, // Opcode: XVFNMADD_D +/* 4990 */ MCD_OPC_FilterValue, 45, 4, 0, 0, // Skip to: 4999 +/* 4995 */ MCD_OPC_Decode, 214, 14, 60, // Opcode: XVFNMSUB_S +/* 4999 */ MCD_OPC_FilterValue, 46, 242, 75, 0, // Skip to: 24446 +/* 5004 */ MCD_OPC_Decode, 213, 14, 60, // Opcode: XVFNMSUB_D +/* 5008 */ MCD_OPC_FilterValue, 3, 63, 6, 0, // Skip to: 6612 +/* 5013 */ MCD_OPC_ExtractField, 20, 6, // Inst{25-20} ... +/* 5016 */ MCD_OPC_FilterValue, 1, 99, 1, 0, // Skip to: 5376 +/* 5021 */ MCD_OPC_ExtractField, 15, 5, // Inst{19-15} ... +/* 5024 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 5040 +/* 5029 */ MCD_OPC_CheckField, 3, 2, 0, 210, 75, 0, // Skip to: 24446 +/* 5036 */ MCD_OPC_Decode, 130, 4, 61, // Opcode: FCMP_CAF_S +/* 5040 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 5056 +/* 5045 */ MCD_OPC_CheckField, 3, 2, 0, 194, 75, 0, // Skip to: 24446 +/* 5052 */ MCD_OPC_Decode, 152, 4, 61, // Opcode: FCMP_SAF_S +/* 5056 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 5072 +/* 5061 */ MCD_OPC_CheckField, 3, 2, 0, 178, 75, 0, // Skip to: 24446 +/* 5068 */ MCD_OPC_Decode, 136, 4, 61, // Opcode: FCMP_CLT_S +/* 5072 */ MCD_OPC_FilterValue, 3, 11, 0, 0, // Skip to: 5088 +/* 5077 */ MCD_OPC_CheckField, 3, 2, 0, 162, 75, 0, // Skip to: 24446 +/* 5084 */ MCD_OPC_Decode, 158, 4, 61, // Opcode: FCMP_SLT_S +/* 5088 */ MCD_OPC_FilterValue, 4, 11, 0, 0, // Skip to: 5104 +/* 5093 */ MCD_OPC_CheckField, 3, 2, 0, 146, 75, 0, // Skip to: 24446 +/* 5100 */ MCD_OPC_Decode, 132, 4, 61, // Opcode: FCMP_CEQ_S +/* 5104 */ MCD_OPC_FilterValue, 5, 11, 0, 0, // Skip to: 5120 +/* 5109 */ MCD_OPC_CheckField, 3, 2, 0, 130, 75, 0, // Skip to: 24446 +/* 5116 */ MCD_OPC_Decode, 154, 4, 61, // Opcode: FCMP_SEQ_S +/* 5120 */ MCD_OPC_FilterValue, 6, 11, 0, 0, // Skip to: 5136 +/* 5125 */ MCD_OPC_CheckField, 3, 2, 0, 114, 75, 0, // Skip to: 24446 +/* 5132 */ MCD_OPC_Decode, 134, 4, 61, // Opcode: FCMP_CLE_S +/* 5136 */ MCD_OPC_FilterValue, 7, 11, 0, 0, // Skip to: 5152 +/* 5141 */ MCD_OPC_CheckField, 3, 2, 0, 98, 75, 0, // Skip to: 24446 +/* 5148 */ MCD_OPC_Decode, 156, 4, 61, // Opcode: FCMP_SLE_S +/* 5152 */ MCD_OPC_FilterValue, 8, 11, 0, 0, // Skip to: 5168 +/* 5157 */ MCD_OPC_CheckField, 3, 2, 0, 82, 75, 0, // Skip to: 24446 +/* 5164 */ MCD_OPC_Decode, 150, 4, 61, // Opcode: FCMP_CUN_S +/* 5168 */ MCD_OPC_FilterValue, 9, 11, 0, 0, // Skip to: 5184 +/* 5173 */ MCD_OPC_CheckField, 3, 2, 0, 66, 75, 0, // Skip to: 24446 +/* 5180 */ MCD_OPC_Decode, 172, 4, 61, // Opcode: FCMP_SUN_S +/* 5184 */ MCD_OPC_FilterValue, 10, 11, 0, 0, // Skip to: 5200 +/* 5189 */ MCD_OPC_CheckField, 3, 2, 0, 50, 75, 0, // Skip to: 24446 +/* 5196 */ MCD_OPC_Decode, 146, 4, 61, // Opcode: FCMP_CULT_S +/* 5200 */ MCD_OPC_FilterValue, 11, 11, 0, 0, // Skip to: 5216 +/* 5205 */ MCD_OPC_CheckField, 3, 2, 0, 34, 75, 0, // Skip to: 24446 +/* 5212 */ MCD_OPC_Decode, 168, 4, 61, // Opcode: FCMP_SULT_S +/* 5216 */ MCD_OPC_FilterValue, 12, 11, 0, 0, // Skip to: 5232 +/* 5221 */ MCD_OPC_CheckField, 3, 2, 0, 18, 75, 0, // Skip to: 24446 +/* 5228 */ MCD_OPC_Decode, 142, 4, 61, // Opcode: FCMP_CUEQ_S +/* 5232 */ MCD_OPC_FilterValue, 13, 11, 0, 0, // Skip to: 5248 +/* 5237 */ MCD_OPC_CheckField, 3, 2, 0, 2, 75, 0, // Skip to: 24446 +/* 5244 */ MCD_OPC_Decode, 164, 4, 61, // Opcode: FCMP_SUEQ_S +/* 5248 */ MCD_OPC_FilterValue, 14, 11, 0, 0, // Skip to: 5264 +/* 5253 */ MCD_OPC_CheckField, 3, 2, 0, 242, 74, 0, // Skip to: 24446 +/* 5260 */ MCD_OPC_Decode, 144, 4, 61, // Opcode: FCMP_CULE_S +/* 5264 */ MCD_OPC_FilterValue, 15, 11, 0, 0, // Skip to: 5280 +/* 5269 */ MCD_OPC_CheckField, 3, 2, 0, 226, 74, 0, // Skip to: 24446 +/* 5276 */ MCD_OPC_Decode, 166, 4, 61, // Opcode: FCMP_SULE_S +/* 5280 */ MCD_OPC_FilterValue, 16, 11, 0, 0, // Skip to: 5296 +/* 5285 */ MCD_OPC_CheckField, 3, 2, 0, 210, 74, 0, // Skip to: 24446 +/* 5292 */ MCD_OPC_Decode, 138, 4, 61, // Opcode: FCMP_CNE_S +/* 5296 */ MCD_OPC_FilterValue, 17, 11, 0, 0, // Skip to: 5312 +/* 5301 */ MCD_OPC_CheckField, 3, 2, 0, 194, 74, 0, // Skip to: 24446 +/* 5308 */ MCD_OPC_Decode, 160, 4, 61, // Opcode: FCMP_SNE_S +/* 5312 */ MCD_OPC_FilterValue, 20, 11, 0, 0, // Skip to: 5328 +/* 5317 */ MCD_OPC_CheckField, 3, 2, 0, 178, 74, 0, // Skip to: 24446 +/* 5324 */ MCD_OPC_Decode, 140, 4, 61, // Opcode: FCMP_COR_S +/* 5328 */ MCD_OPC_FilterValue, 21, 11, 0, 0, // Skip to: 5344 +/* 5333 */ MCD_OPC_CheckField, 3, 2, 0, 162, 74, 0, // Skip to: 24446 +/* 5340 */ MCD_OPC_Decode, 162, 4, 61, // Opcode: FCMP_SOR_S +/* 5344 */ MCD_OPC_FilterValue, 24, 11, 0, 0, // Skip to: 5360 +/* 5349 */ MCD_OPC_CheckField, 3, 2, 0, 146, 74, 0, // Skip to: 24446 +/* 5356 */ MCD_OPC_Decode, 148, 4, 61, // Opcode: FCMP_CUNE_S +/* 5360 */ MCD_OPC_FilterValue, 25, 137, 74, 0, // Skip to: 24446 +/* 5365 */ MCD_OPC_CheckField, 3, 2, 0, 130, 74, 0, // Skip to: 24446 +/* 5372 */ MCD_OPC_Decode, 170, 4, 61, // Opcode: FCMP_SUNE_S +/* 5376 */ MCD_OPC_FilterValue, 2, 99, 1, 0, // Skip to: 5736 +/* 5381 */ MCD_OPC_ExtractField, 15, 5, // Inst{19-15} ... +/* 5384 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 5400 +/* 5389 */ MCD_OPC_CheckField, 3, 2, 0, 106, 74, 0, // Skip to: 24446 +/* 5396 */ MCD_OPC_Decode, 129, 4, 62, // Opcode: FCMP_CAF_D +/* 5400 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 5416 +/* 5405 */ MCD_OPC_CheckField, 3, 2, 0, 90, 74, 0, // Skip to: 24446 +/* 5412 */ MCD_OPC_Decode, 151, 4, 62, // Opcode: FCMP_SAF_D +/* 5416 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 5432 +/* 5421 */ MCD_OPC_CheckField, 3, 2, 0, 74, 74, 0, // Skip to: 24446 +/* 5428 */ MCD_OPC_Decode, 135, 4, 62, // Opcode: FCMP_CLT_D +/* 5432 */ MCD_OPC_FilterValue, 3, 11, 0, 0, // Skip to: 5448 +/* 5437 */ MCD_OPC_CheckField, 3, 2, 0, 58, 74, 0, // Skip to: 24446 +/* 5444 */ MCD_OPC_Decode, 157, 4, 62, // Opcode: FCMP_SLT_D +/* 5448 */ MCD_OPC_FilterValue, 4, 11, 0, 0, // Skip to: 5464 +/* 5453 */ MCD_OPC_CheckField, 3, 2, 0, 42, 74, 0, // Skip to: 24446 +/* 5460 */ MCD_OPC_Decode, 131, 4, 62, // Opcode: FCMP_CEQ_D +/* 5464 */ MCD_OPC_FilterValue, 5, 11, 0, 0, // Skip to: 5480 +/* 5469 */ MCD_OPC_CheckField, 3, 2, 0, 26, 74, 0, // Skip to: 24446 +/* 5476 */ MCD_OPC_Decode, 153, 4, 62, // Opcode: FCMP_SEQ_D +/* 5480 */ MCD_OPC_FilterValue, 6, 11, 0, 0, // Skip to: 5496 +/* 5485 */ MCD_OPC_CheckField, 3, 2, 0, 10, 74, 0, // Skip to: 24446 +/* 5492 */ MCD_OPC_Decode, 133, 4, 62, // Opcode: FCMP_CLE_D +/* 5496 */ MCD_OPC_FilterValue, 7, 11, 0, 0, // Skip to: 5512 +/* 5501 */ MCD_OPC_CheckField, 3, 2, 0, 250, 73, 0, // Skip to: 24446 +/* 5508 */ MCD_OPC_Decode, 155, 4, 62, // Opcode: FCMP_SLE_D +/* 5512 */ MCD_OPC_FilterValue, 8, 11, 0, 0, // Skip to: 5528 +/* 5517 */ MCD_OPC_CheckField, 3, 2, 0, 234, 73, 0, // Skip to: 24446 +/* 5524 */ MCD_OPC_Decode, 149, 4, 62, // Opcode: FCMP_CUN_D +/* 5528 */ MCD_OPC_FilterValue, 9, 11, 0, 0, // Skip to: 5544 +/* 5533 */ MCD_OPC_CheckField, 3, 2, 0, 218, 73, 0, // Skip to: 24446 +/* 5540 */ MCD_OPC_Decode, 171, 4, 62, // Opcode: FCMP_SUN_D +/* 5544 */ MCD_OPC_FilterValue, 10, 11, 0, 0, // Skip to: 5560 +/* 5549 */ MCD_OPC_CheckField, 3, 2, 0, 202, 73, 0, // Skip to: 24446 +/* 5556 */ MCD_OPC_Decode, 145, 4, 62, // Opcode: FCMP_CULT_D +/* 5560 */ MCD_OPC_FilterValue, 11, 11, 0, 0, // Skip to: 5576 +/* 5565 */ MCD_OPC_CheckField, 3, 2, 0, 186, 73, 0, // Skip to: 24446 +/* 5572 */ MCD_OPC_Decode, 167, 4, 62, // Opcode: FCMP_SULT_D +/* 5576 */ MCD_OPC_FilterValue, 12, 11, 0, 0, // Skip to: 5592 +/* 5581 */ MCD_OPC_CheckField, 3, 2, 0, 170, 73, 0, // Skip to: 24446 +/* 5588 */ MCD_OPC_Decode, 141, 4, 62, // Opcode: FCMP_CUEQ_D +/* 5592 */ MCD_OPC_FilterValue, 13, 11, 0, 0, // Skip to: 5608 +/* 5597 */ MCD_OPC_CheckField, 3, 2, 0, 154, 73, 0, // Skip to: 24446 +/* 5604 */ MCD_OPC_Decode, 163, 4, 62, // Opcode: FCMP_SUEQ_D +/* 5608 */ MCD_OPC_FilterValue, 14, 11, 0, 0, // Skip to: 5624 +/* 5613 */ MCD_OPC_CheckField, 3, 2, 0, 138, 73, 0, // Skip to: 24446 +/* 5620 */ MCD_OPC_Decode, 143, 4, 62, // Opcode: FCMP_CULE_D +/* 5624 */ MCD_OPC_FilterValue, 15, 11, 0, 0, // Skip to: 5640 +/* 5629 */ MCD_OPC_CheckField, 3, 2, 0, 122, 73, 0, // Skip to: 24446 +/* 5636 */ MCD_OPC_Decode, 165, 4, 62, // Opcode: FCMP_SULE_D +/* 5640 */ MCD_OPC_FilterValue, 16, 11, 0, 0, // Skip to: 5656 +/* 5645 */ MCD_OPC_CheckField, 3, 2, 0, 106, 73, 0, // Skip to: 24446 +/* 5652 */ MCD_OPC_Decode, 137, 4, 62, // Opcode: FCMP_CNE_D +/* 5656 */ MCD_OPC_FilterValue, 17, 11, 0, 0, // Skip to: 5672 +/* 5661 */ MCD_OPC_CheckField, 3, 2, 0, 90, 73, 0, // Skip to: 24446 +/* 5668 */ MCD_OPC_Decode, 159, 4, 62, // Opcode: FCMP_SNE_D +/* 5672 */ MCD_OPC_FilterValue, 20, 11, 0, 0, // Skip to: 5688 +/* 5677 */ MCD_OPC_CheckField, 3, 2, 0, 74, 73, 0, // Skip to: 24446 +/* 5684 */ MCD_OPC_Decode, 139, 4, 62, // Opcode: FCMP_COR_D +/* 5688 */ MCD_OPC_FilterValue, 21, 11, 0, 0, // Skip to: 5704 +/* 5693 */ MCD_OPC_CheckField, 3, 2, 0, 58, 73, 0, // Skip to: 24446 +/* 5700 */ MCD_OPC_Decode, 161, 4, 62, // Opcode: FCMP_SOR_D +/* 5704 */ MCD_OPC_FilterValue, 24, 11, 0, 0, // Skip to: 5720 +/* 5709 */ MCD_OPC_CheckField, 3, 2, 0, 42, 73, 0, // Skip to: 24446 +/* 5716 */ MCD_OPC_Decode, 147, 4, 62, // Opcode: FCMP_CUNE_D +/* 5720 */ MCD_OPC_FilterValue, 25, 33, 73, 0, // Skip to: 24446 +/* 5725 */ MCD_OPC_CheckField, 3, 2, 0, 26, 73, 0, // Skip to: 24446 +/* 5732 */ MCD_OPC_Decode, 169, 4, 62, // Opcode: FCMP_SUNE_D +/* 5736 */ MCD_OPC_FilterValue, 5, 201, 0, 0, // Skip to: 5942 +/* 5741 */ MCD_OPC_ExtractField, 15, 5, // Inst{19-15} ... +/* 5744 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 5753 +/* 5749 */ MCD_OPC_Decode, 202, 7, 63, // Opcode: VFCMP_CAF_S +/* 5753 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 5762 +/* 5758 */ MCD_OPC_Decode, 224, 7, 63, // Opcode: VFCMP_SAF_S +/* 5762 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 5771 +/* 5767 */ MCD_OPC_Decode, 208, 7, 63, // Opcode: VFCMP_CLT_S +/* 5771 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 5780 +/* 5776 */ MCD_OPC_Decode, 230, 7, 63, // Opcode: VFCMP_SLT_S +/* 5780 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 5789 +/* 5785 */ MCD_OPC_Decode, 204, 7, 63, // Opcode: VFCMP_CEQ_S +/* 5789 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 5798 +/* 5794 */ MCD_OPC_Decode, 226, 7, 63, // Opcode: VFCMP_SEQ_S +/* 5798 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 5807 +/* 5803 */ MCD_OPC_Decode, 206, 7, 63, // Opcode: VFCMP_CLE_S +/* 5807 */ MCD_OPC_FilterValue, 7, 4, 0, 0, // Skip to: 5816 +/* 5812 */ MCD_OPC_Decode, 228, 7, 63, // Opcode: VFCMP_SLE_S +/* 5816 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 5825 +/* 5821 */ MCD_OPC_Decode, 222, 7, 63, // Opcode: VFCMP_CUN_S +/* 5825 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 5834 +/* 5830 */ MCD_OPC_Decode, 244, 7, 63, // Opcode: VFCMP_SUN_S +/* 5834 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 5843 +/* 5839 */ MCD_OPC_Decode, 218, 7, 63, // Opcode: VFCMP_CULT_S +/* 5843 */ MCD_OPC_FilterValue, 11, 4, 0, 0, // Skip to: 5852 +/* 5848 */ MCD_OPC_Decode, 240, 7, 63, // Opcode: VFCMP_SULT_S +/* 5852 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 5861 +/* 5857 */ MCD_OPC_Decode, 214, 7, 63, // Opcode: VFCMP_CUEQ_S +/* 5861 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 5870 +/* 5866 */ MCD_OPC_Decode, 236, 7, 63, // Opcode: VFCMP_SUEQ_S +/* 5870 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 5879 +/* 5875 */ MCD_OPC_Decode, 216, 7, 63, // Opcode: VFCMP_CULE_S +/* 5879 */ MCD_OPC_FilterValue, 15, 4, 0, 0, // Skip to: 5888 +/* 5884 */ MCD_OPC_Decode, 238, 7, 63, // Opcode: VFCMP_SULE_S +/* 5888 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 5897 +/* 5893 */ MCD_OPC_Decode, 210, 7, 63, // Opcode: VFCMP_CNE_S +/* 5897 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 5906 +/* 5902 */ MCD_OPC_Decode, 232, 7, 63, // Opcode: VFCMP_SNE_S +/* 5906 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 5915 +/* 5911 */ MCD_OPC_Decode, 212, 7, 63, // Opcode: VFCMP_COR_S +/* 5915 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 5924 +/* 5920 */ MCD_OPC_Decode, 234, 7, 63, // Opcode: VFCMP_SOR_S +/* 5924 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 5933 +/* 5929 */ MCD_OPC_Decode, 220, 7, 63, // Opcode: VFCMP_CUNE_S +/* 5933 */ MCD_OPC_FilterValue, 25, 76, 72, 0, // Skip to: 24446 +/* 5938 */ MCD_OPC_Decode, 242, 7, 63, // Opcode: VFCMP_SUNE_S +/* 5942 */ MCD_OPC_FilterValue, 6, 201, 0, 0, // Skip to: 6148 +/* 5947 */ MCD_OPC_ExtractField, 15, 5, // Inst{19-15} ... +/* 5950 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 5959 +/* 5955 */ MCD_OPC_Decode, 201, 7, 63, // Opcode: VFCMP_CAF_D +/* 5959 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 5968 +/* 5964 */ MCD_OPC_Decode, 223, 7, 63, // Opcode: VFCMP_SAF_D +/* 5968 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 5977 +/* 5973 */ MCD_OPC_Decode, 207, 7, 63, // Opcode: VFCMP_CLT_D +/* 5977 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 5986 +/* 5982 */ MCD_OPC_Decode, 229, 7, 63, // Opcode: VFCMP_SLT_D +/* 5986 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 5995 +/* 5991 */ MCD_OPC_Decode, 203, 7, 63, // Opcode: VFCMP_CEQ_D +/* 5995 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 6004 +/* 6000 */ MCD_OPC_Decode, 225, 7, 63, // Opcode: VFCMP_SEQ_D +/* 6004 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 6013 +/* 6009 */ MCD_OPC_Decode, 205, 7, 63, // Opcode: VFCMP_CLE_D +/* 6013 */ MCD_OPC_FilterValue, 7, 4, 0, 0, // Skip to: 6022 +/* 6018 */ MCD_OPC_Decode, 227, 7, 63, // Opcode: VFCMP_SLE_D +/* 6022 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 6031 +/* 6027 */ MCD_OPC_Decode, 221, 7, 63, // Opcode: VFCMP_CUN_D +/* 6031 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 6040 +/* 6036 */ MCD_OPC_Decode, 243, 7, 63, // Opcode: VFCMP_SUN_D +/* 6040 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 6049 +/* 6045 */ MCD_OPC_Decode, 217, 7, 63, // Opcode: VFCMP_CULT_D +/* 6049 */ MCD_OPC_FilterValue, 11, 4, 0, 0, // Skip to: 6058 +/* 6054 */ MCD_OPC_Decode, 239, 7, 63, // Opcode: VFCMP_SULT_D +/* 6058 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 6067 +/* 6063 */ MCD_OPC_Decode, 213, 7, 63, // Opcode: VFCMP_CUEQ_D +/* 6067 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 6076 +/* 6072 */ MCD_OPC_Decode, 235, 7, 63, // Opcode: VFCMP_SUEQ_D +/* 6076 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 6085 +/* 6081 */ MCD_OPC_Decode, 215, 7, 63, // Opcode: VFCMP_CULE_D +/* 6085 */ MCD_OPC_FilterValue, 15, 4, 0, 0, // Skip to: 6094 +/* 6090 */ MCD_OPC_Decode, 237, 7, 63, // Opcode: VFCMP_SULE_D +/* 6094 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 6103 +/* 6099 */ MCD_OPC_Decode, 209, 7, 63, // Opcode: VFCMP_CNE_D +/* 6103 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 6112 +/* 6108 */ MCD_OPC_Decode, 231, 7, 63, // Opcode: VFCMP_SNE_D +/* 6112 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 6121 +/* 6117 */ MCD_OPC_Decode, 211, 7, 63, // Opcode: VFCMP_COR_D +/* 6121 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 6130 +/* 6126 */ MCD_OPC_Decode, 233, 7, 63, // Opcode: VFCMP_SOR_D +/* 6130 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 6139 +/* 6135 */ MCD_OPC_Decode, 219, 7, 63, // Opcode: VFCMP_CUNE_D +/* 6139 */ MCD_OPC_FilterValue, 25, 126, 71, 0, // Skip to: 24446 +/* 6144 */ MCD_OPC_Decode, 241, 7, 63, // Opcode: VFCMP_SUNE_D +/* 6148 */ MCD_OPC_FilterValue, 9, 201, 0, 0, // Skip to: 6354 +/* 6153 */ MCD_OPC_ExtractField, 15, 5, // Inst{19-15} ... +/* 6156 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 6165 +/* 6161 */ MCD_OPC_Decode, 137, 14, 64, // Opcode: XVFCMP_CAF_S +/* 6165 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 6174 +/* 6170 */ MCD_OPC_Decode, 159, 14, 64, // Opcode: XVFCMP_SAF_S +/* 6174 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 6183 +/* 6179 */ MCD_OPC_Decode, 143, 14, 64, // Opcode: XVFCMP_CLT_S +/* 6183 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 6192 +/* 6188 */ MCD_OPC_Decode, 165, 14, 64, // Opcode: XVFCMP_SLT_S +/* 6192 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 6201 +/* 6197 */ MCD_OPC_Decode, 139, 14, 64, // Opcode: XVFCMP_CEQ_S +/* 6201 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 6210 +/* 6206 */ MCD_OPC_Decode, 161, 14, 64, // Opcode: XVFCMP_SEQ_S +/* 6210 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 6219 +/* 6215 */ MCD_OPC_Decode, 141, 14, 64, // Opcode: XVFCMP_CLE_S +/* 6219 */ MCD_OPC_FilterValue, 7, 4, 0, 0, // Skip to: 6228 +/* 6224 */ MCD_OPC_Decode, 163, 14, 64, // Opcode: XVFCMP_SLE_S +/* 6228 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 6237 +/* 6233 */ MCD_OPC_Decode, 157, 14, 64, // Opcode: XVFCMP_CUN_S +/* 6237 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 6246 +/* 6242 */ MCD_OPC_Decode, 179, 14, 64, // Opcode: XVFCMP_SUN_S +/* 6246 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 6255 +/* 6251 */ MCD_OPC_Decode, 153, 14, 64, // Opcode: XVFCMP_CULT_S +/* 6255 */ MCD_OPC_FilterValue, 11, 4, 0, 0, // Skip to: 6264 +/* 6260 */ MCD_OPC_Decode, 175, 14, 64, // Opcode: XVFCMP_SULT_S +/* 6264 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 6273 +/* 6269 */ MCD_OPC_Decode, 149, 14, 64, // Opcode: XVFCMP_CUEQ_S +/* 6273 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 6282 +/* 6278 */ MCD_OPC_Decode, 171, 14, 64, // Opcode: XVFCMP_SUEQ_S +/* 6282 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 6291 +/* 6287 */ MCD_OPC_Decode, 151, 14, 64, // Opcode: XVFCMP_CULE_S +/* 6291 */ MCD_OPC_FilterValue, 15, 4, 0, 0, // Skip to: 6300 +/* 6296 */ MCD_OPC_Decode, 173, 14, 64, // Opcode: XVFCMP_SULE_S +/* 6300 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 6309 +/* 6305 */ MCD_OPC_Decode, 145, 14, 64, // Opcode: XVFCMP_CNE_S +/* 6309 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 6318 +/* 6314 */ MCD_OPC_Decode, 167, 14, 64, // Opcode: XVFCMP_SNE_S +/* 6318 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 6327 +/* 6323 */ MCD_OPC_Decode, 147, 14, 64, // Opcode: XVFCMP_COR_S +/* 6327 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 6336 +/* 6332 */ MCD_OPC_Decode, 169, 14, 64, // Opcode: XVFCMP_SOR_S +/* 6336 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 6345 +/* 6341 */ MCD_OPC_Decode, 155, 14, 64, // Opcode: XVFCMP_CUNE_S +/* 6345 */ MCD_OPC_FilterValue, 25, 176, 70, 0, // Skip to: 24446 +/* 6350 */ MCD_OPC_Decode, 177, 14, 64, // Opcode: XVFCMP_SUNE_S +/* 6354 */ MCD_OPC_FilterValue, 10, 201, 0, 0, // Skip to: 6560 +/* 6359 */ MCD_OPC_ExtractField, 15, 5, // Inst{19-15} ... +/* 6362 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 6371 +/* 6367 */ MCD_OPC_Decode, 136, 14, 64, // Opcode: XVFCMP_CAF_D +/* 6371 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 6380 +/* 6376 */ MCD_OPC_Decode, 158, 14, 64, // Opcode: XVFCMP_SAF_D +/* 6380 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 6389 +/* 6385 */ MCD_OPC_Decode, 142, 14, 64, // Opcode: XVFCMP_CLT_D +/* 6389 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 6398 +/* 6394 */ MCD_OPC_Decode, 164, 14, 64, // Opcode: XVFCMP_SLT_D +/* 6398 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 6407 +/* 6403 */ MCD_OPC_Decode, 138, 14, 64, // Opcode: XVFCMP_CEQ_D +/* 6407 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 6416 +/* 6412 */ MCD_OPC_Decode, 160, 14, 64, // Opcode: XVFCMP_SEQ_D +/* 6416 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 6425 +/* 6421 */ MCD_OPC_Decode, 140, 14, 64, // Opcode: XVFCMP_CLE_D +/* 6425 */ MCD_OPC_FilterValue, 7, 4, 0, 0, // Skip to: 6434 +/* 6430 */ MCD_OPC_Decode, 162, 14, 64, // Opcode: XVFCMP_SLE_D +/* 6434 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 6443 +/* 6439 */ MCD_OPC_Decode, 156, 14, 64, // Opcode: XVFCMP_CUN_D +/* 6443 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 6452 +/* 6448 */ MCD_OPC_Decode, 178, 14, 64, // Opcode: XVFCMP_SUN_D +/* 6452 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 6461 +/* 6457 */ MCD_OPC_Decode, 152, 14, 64, // Opcode: XVFCMP_CULT_D +/* 6461 */ MCD_OPC_FilterValue, 11, 4, 0, 0, // Skip to: 6470 +/* 6466 */ MCD_OPC_Decode, 174, 14, 64, // Opcode: XVFCMP_SULT_D +/* 6470 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 6479 +/* 6475 */ MCD_OPC_Decode, 148, 14, 64, // Opcode: XVFCMP_CUEQ_D +/* 6479 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 6488 +/* 6484 */ MCD_OPC_Decode, 170, 14, 64, // Opcode: XVFCMP_SUEQ_D +/* 6488 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 6497 +/* 6493 */ MCD_OPC_Decode, 150, 14, 64, // Opcode: XVFCMP_CULE_D +/* 6497 */ MCD_OPC_FilterValue, 15, 4, 0, 0, // Skip to: 6506 +/* 6502 */ MCD_OPC_Decode, 172, 14, 64, // Opcode: XVFCMP_SULE_D +/* 6506 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 6515 +/* 6511 */ MCD_OPC_Decode, 144, 14, 64, // Opcode: XVFCMP_CNE_D +/* 6515 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 6524 +/* 6520 */ MCD_OPC_Decode, 166, 14, 64, // Opcode: XVFCMP_SNE_D +/* 6524 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 6533 +/* 6529 */ MCD_OPC_Decode, 146, 14, 64, // Opcode: XVFCMP_COR_D +/* 6533 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 6542 +/* 6538 */ MCD_OPC_Decode, 168, 14, 64, // Opcode: XVFCMP_SOR_D +/* 6542 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 6551 +/* 6547 */ MCD_OPC_Decode, 154, 14, 64, // Opcode: XVFCMP_CUNE_D +/* 6551 */ MCD_OPC_FilterValue, 25, 226, 69, 0, // Skip to: 24446 +/* 6556 */ MCD_OPC_Decode, 176, 14, 64, // Opcode: XVFCMP_SUNE_D +/* 6560 */ MCD_OPC_FilterValue, 16, 11, 0, 0, // Skip to: 6576 +/* 6565 */ MCD_OPC_CheckField, 18, 2, 0, 210, 69, 0, // Skip to: 24446 +/* 6572 */ MCD_OPC_Decode, 231, 4, 65, // Opcode: FSEL_xS +/* 6576 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 6585 +/* 6581 */ MCD_OPC_Decode, 144, 7, 59, // Opcode: VBITSEL_V +/* 6585 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 6594 +/* 6590 */ MCD_OPC_Decode, 219, 13, 60, // Opcode: XVBITSEL_V +/* 6594 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 6603 +/* 6599 */ MCD_OPC_Decode, 196, 10, 59, // Opcode: VSHUF_B +/* 6603 */ MCD_OPC_FilterValue, 22, 174, 69, 0, // Skip to: 24446 +/* 6608 */ MCD_OPC_Decode, 138, 17, 60, // Opcode: XVSHUF_B +/* 6612 */ MCD_OPC_FilterValue, 4, 9, 0, 0, // Skip to: 6626 +/* 6617 */ MCD_OPC_CheckPredicate, 0, 160, 69, 0, // Skip to: 24446 +/* 6622 */ MCD_OPC_Decode, 241, 2, 66, // Opcode: ADDU16I_D +/* 6626 */ MCD_OPC_FilterValue, 5, 26, 0, 0, // Skip to: 6657 +/* 6631 */ MCD_OPC_ExtractField, 25, 1, // Inst{25} ... +/* 6634 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 6643 +/* 6639 */ MCD_OPC_Decode, 189, 5, 67, // Opcode: LU12I_W +/* 6643 */ MCD_OPC_FilterValue, 1, 134, 69, 0, // Skip to: 24446 +/* 6648 */ MCD_OPC_CheckPredicate, 0, 129, 69, 0, // Skip to: 24446 +/* 6653 */ MCD_OPC_Decode, 190, 5, 68, // Opcode: LU32I_D +/* 6657 */ MCD_OPC_FilterValue, 6, 21, 0, 0, // Skip to: 6683 +/* 6662 */ MCD_OPC_ExtractField, 25, 1, // Inst{25} ... +/* 6665 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 6674 +/* 6670 */ MCD_OPC_Decode, 226, 5, 67, // Opcode: PCADDI +/* 6674 */ MCD_OPC_FilterValue, 1, 103, 69, 0, // Skip to: 24446 +/* 6679 */ MCD_OPC_Decode, 229, 5, 67, // Opcode: PCALAU12I +/* 6683 */ MCD_OPC_FilterValue, 7, 26, 0, 0, // Skip to: 6714 +/* 6688 */ MCD_OPC_ExtractField, 25, 1, // Inst{25} ... +/* 6691 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 6700 +/* 6696 */ MCD_OPC_Decode, 227, 5, 67, // Opcode: PCADDU12I +/* 6700 */ MCD_OPC_FilterValue, 1, 77, 69, 0, // Skip to: 24446 +/* 6705 */ MCD_OPC_CheckPredicate, 0, 72, 69, 0, // Skip to: 24446 +/* 6710 */ MCD_OPC_Decode, 228, 5, 67, // Opcode: PCADDU18I +/* 6714 */ MCD_OPC_FilterValue, 8, 49, 0, 0, // Skip to: 6768 +/* 6719 */ MCD_OPC_ExtractField, 24, 2, // Inst{25-24} ... +/* 6722 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 6731 +/* 6727 */ MCD_OPC_Decode, 188, 5, 69, // Opcode: LL_W +/* 6731 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 6740 +/* 6736 */ MCD_OPC_Decode, 137, 6, 70, // Opcode: SC_W +/* 6740 */ MCD_OPC_FilterValue, 2, 9, 0, 0, // Skip to: 6754 +/* 6745 */ MCD_OPC_CheckPredicate, 0, 32, 69, 0, // Skip to: 24446 +/* 6750 */ MCD_OPC_Decode, 187, 5, 69, // Opcode: LL_D +/* 6754 */ MCD_OPC_FilterValue, 3, 23, 69, 0, // Skip to: 24446 +/* 6759 */ MCD_OPC_CheckPredicate, 0, 18, 69, 0, // Skip to: 24446 +/* 6764 */ MCD_OPC_Decode, 135, 6, 70, // Opcode: SC_D +/* 6768 */ MCD_OPC_FilterValue, 9, 59, 0, 0, // Skip to: 6832 +/* 6773 */ MCD_OPC_ExtractField, 24, 2, // Inst{25-24} ... +/* 6776 */ MCD_OPC_FilterValue, 0, 9, 0, 0, // Skip to: 6790 +/* 6781 */ MCD_OPC_CheckPredicate, 0, 252, 68, 0, // Skip to: 24446 +/* 6786 */ MCD_OPC_Decode, 168, 5, 69, // Opcode: LDPTR_W +/* 6790 */ MCD_OPC_FilterValue, 1, 9, 0, 0, // Skip to: 6804 +/* 6795 */ MCD_OPC_CheckPredicate, 0, 238, 68, 0, // Skip to: 24446 +/* 6800 */ MCD_OPC_Decode, 171, 6, 69, // Opcode: STPTR_W +/* 6804 */ MCD_OPC_FilterValue, 2, 9, 0, 0, // Skip to: 6818 +/* 6809 */ MCD_OPC_CheckPredicate, 0, 224, 68, 0, // Skip to: 24446 +/* 6814 */ MCD_OPC_Decode, 167, 5, 69, // Opcode: LDPTR_D +/* 6818 */ MCD_OPC_FilterValue, 3, 215, 68, 0, // Skip to: 24446 +/* 6823 */ MCD_OPC_CheckPredicate, 0, 210, 68, 0, // Skip to: 24446 +/* 6828 */ MCD_OPC_Decode, 170, 6, 69, // Opcode: STPTR_D +/* 6832 */ MCD_OPC_FilterValue, 10, 162, 0, 0, // Skip to: 6999 +/* 6837 */ MCD_OPC_ExtractField, 22, 4, // Inst{25-22} ... +/* 6840 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 6849 +/* 6845 */ MCD_OPC_Decode, 178, 5, 48, // Opcode: LD_B +/* 6849 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 6858 +/* 6854 */ MCD_OPC_Decode, 181, 5, 48, // Opcode: LD_H +/* 6858 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 6867 +/* 6863 */ MCD_OPC_Decode, 183, 5, 48, // Opcode: LD_W +/* 6867 */ MCD_OPC_FilterValue, 3, 9, 0, 0, // Skip to: 6881 +/* 6872 */ MCD_OPC_CheckPredicate, 0, 161, 68, 0, // Skip to: 24446 +/* 6877 */ MCD_OPC_Decode, 180, 5, 48, // Opcode: LD_D +/* 6881 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 6890 +/* 6886 */ MCD_OPC_Decode, 178, 6, 48, // Opcode: ST_B +/* 6890 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 6899 +/* 6895 */ MCD_OPC_Decode, 180, 6, 48, // Opcode: ST_H +/* 6899 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 6908 +/* 6904 */ MCD_OPC_Decode, 181, 6, 48, // Opcode: ST_W +/* 6908 */ MCD_OPC_FilterValue, 7, 9, 0, 0, // Skip to: 6922 +/* 6913 */ MCD_OPC_CheckPredicate, 0, 120, 68, 0, // Skip to: 24446 +/* 6918 */ MCD_OPC_Decode, 179, 6, 48, // Opcode: ST_D +/* 6922 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 6931 +/* 6927 */ MCD_OPC_Decode, 179, 5, 48, // Opcode: LD_BU +/* 6931 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 6940 +/* 6936 */ MCD_OPC_Decode, 182, 5, 48, // Opcode: LD_HU +/* 6940 */ MCD_OPC_FilterValue, 10, 9, 0, 0, // Skip to: 6954 +/* 6945 */ MCD_OPC_CheckPredicate, 0, 88, 68, 0, // Skip to: 24446 +/* 6950 */ MCD_OPC_Decode, 184, 5, 48, // Opcode: LD_WU +/* 6954 */ MCD_OPC_FilterValue, 11, 4, 0, 0, // Skip to: 6963 +/* 6959 */ MCD_OPC_Decode, 230, 5, 53, // Opcode: PRELD +/* 6963 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 6972 +/* 6968 */ MCD_OPC_Decode, 193, 4, 71, // Opcode: FLD_S +/* 6972 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 6981 +/* 6977 */ MCD_OPC_Decode, 241, 4, 71, // Opcode: FST_S +/* 6981 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 6990 +/* 6986 */ MCD_OPC_Decode, 192, 4, 72, // Opcode: FLD_D +/* 6990 */ MCD_OPC_FilterValue, 15, 43, 68, 0, // Skip to: 24446 +/* 6995 */ MCD_OPC_Decode, 240, 4, 72, // Opcode: FST_D +/* 6999 */ MCD_OPC_FilterValue, 11, 131, 0, 0, // Skip to: 7135 +/* 7004 */ MCD_OPC_ExtractField, 22, 4, // Inst{25-22} ... +/* 7007 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 7016 +/* 7012 */ MCD_OPC_Decode, 235, 8, 73, // Opcode: VLD +/* 7016 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 7025 +/* 7021 */ MCD_OPC_Decode, 246, 11, 73, // Opcode: VST +/* 7025 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 7034 +/* 7030 */ MCD_OPC_Decode, 171, 15, 74, // Opcode: XVLD +/* 7034 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 7043 +/* 7039 */ MCD_OPC_Decode, 188, 18, 74, // Opcode: XVST +/* 7043 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 7052 +/* 7048 */ MCD_OPC_Decode, 165, 5, 48, // Opcode: LDL_W +/* 7052 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 7061 +/* 7057 */ MCD_OPC_Decode, 170, 5, 48, // Opcode: LDR_W +/* 7061 */ MCD_OPC_FilterValue, 10, 9, 0, 0, // Skip to: 7075 +/* 7066 */ MCD_OPC_CheckPredicate, 0, 223, 67, 0, // Skip to: 24446 +/* 7071 */ MCD_OPC_Decode, 164, 5, 48, // Opcode: LDL_D +/* 7075 */ MCD_OPC_FilterValue, 11, 9, 0, 0, // Skip to: 7089 +/* 7080 */ MCD_OPC_CheckPredicate, 0, 209, 67, 0, // Skip to: 24446 +/* 7085 */ MCD_OPC_Decode, 169, 5, 48, // Opcode: LDR_D +/* 7089 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 7098 +/* 7094 */ MCD_OPC_Decode, 169, 6, 48, // Opcode: STL_W +/* 7098 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 7107 +/* 7103 */ MCD_OPC_Decode, 173, 6, 48, // Opcode: STR_W +/* 7107 */ MCD_OPC_FilterValue, 14, 9, 0, 0, // Skip to: 7121 +/* 7112 */ MCD_OPC_CheckPredicate, 0, 177, 67, 0, // Skip to: 24446 +/* 7117 */ MCD_OPC_Decode, 168, 6, 48, // Opcode: STL_D +/* 7121 */ MCD_OPC_FilterValue, 15, 168, 67, 0, // Skip to: 24446 +/* 7126 */ MCD_OPC_CheckPredicate, 0, 163, 67, 0, // Skip to: 24446 +/* 7131 */ MCD_OPC_Decode, 172, 6, 48, // Opcode: STR_D +/* 7135 */ MCD_OPC_FilterValue, 12, 1, 1, 0, // Skip to: 7397 +/* 7140 */ MCD_OPC_ExtractField, 23, 3, // Inst{25-23} ... +/* 7143 */ MCD_OPC_FilterValue, 0, 44, 0, 0, // Skip to: 7192 +/* 7148 */ MCD_OPC_ExtractField, 21, 2, // Inst{22-21} ... +/* 7151 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 7167 +/* 7156 */ MCD_OPC_CheckField, 19, 2, 2, 131, 67, 0, // Skip to: 24446 +/* 7163 */ MCD_OPC_Decode, 238, 8, 75, // Opcode: VLDREPL_D +/* 7167 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 7183 +/* 7172 */ MCD_OPC_CheckField, 20, 1, 0, 115, 67, 0, // Skip to: 24446 +/* 7179 */ MCD_OPC_Decode, 240, 8, 76, // Opcode: VLDREPL_W +/* 7183 */ MCD_OPC_FilterValue, 2, 106, 67, 0, // Skip to: 24446 +/* 7188 */ MCD_OPC_Decode, 239, 8, 77, // Opcode: VLDREPL_H +/* 7192 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 7208 +/* 7197 */ MCD_OPC_CheckField, 22, 1, 0, 90, 67, 0, // Skip to: 24446 +/* 7204 */ MCD_OPC_Decode, 237, 8, 73, // Opcode: VLDREPL_B +/* 7208 */ MCD_OPC_FilterValue, 2, 44, 0, 0, // Skip to: 7257 +/* 7213 */ MCD_OPC_ExtractField, 21, 2, // Inst{22-21} ... +/* 7216 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 7232 +/* 7221 */ MCD_OPC_CheckField, 19, 2, 2, 66, 67, 0, // Skip to: 24446 +/* 7228 */ MCD_OPC_Decode, 248, 11, 78, // Opcode: VSTELM_D +/* 7232 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 7248 +/* 7237 */ MCD_OPC_CheckField, 20, 1, 0, 50, 67, 0, // Skip to: 24446 +/* 7244 */ MCD_OPC_Decode, 250, 11, 79, // Opcode: VSTELM_W +/* 7248 */ MCD_OPC_FilterValue, 2, 41, 67, 0, // Skip to: 24446 +/* 7253 */ MCD_OPC_Decode, 249, 11, 80, // Opcode: VSTELM_H +/* 7257 */ MCD_OPC_FilterValue, 3, 11, 0, 0, // Skip to: 7273 +/* 7262 */ MCD_OPC_CheckField, 22, 1, 0, 25, 67, 0, // Skip to: 24446 +/* 7269 */ MCD_OPC_Decode, 247, 11, 81, // Opcode: VSTELM_B +/* 7273 */ MCD_OPC_FilterValue, 4, 44, 0, 0, // Skip to: 7322 +/* 7278 */ MCD_OPC_ExtractField, 21, 2, // Inst{22-21} ... +/* 7281 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 7297 +/* 7286 */ MCD_OPC_CheckField, 19, 2, 2, 1, 67, 0, // Skip to: 24446 +/* 7293 */ MCD_OPC_Decode, 174, 15, 82, // Opcode: XVLDREPL_D +/* 7297 */ MCD_OPC_FilterValue, 1, 11, 0, 0, // Skip to: 7313 +/* 7302 */ MCD_OPC_CheckField, 20, 1, 0, 241, 66, 0, // Skip to: 24446 +/* 7309 */ MCD_OPC_Decode, 176, 15, 83, // Opcode: XVLDREPL_W +/* 7313 */ MCD_OPC_FilterValue, 2, 232, 66, 0, // Skip to: 24446 +/* 7318 */ MCD_OPC_Decode, 175, 15, 84, // Opcode: XVLDREPL_H +/* 7322 */ MCD_OPC_FilterValue, 5, 11, 0, 0, // Skip to: 7338 +/* 7327 */ MCD_OPC_CheckField, 22, 1, 0, 216, 66, 0, // Skip to: 24446 +/* 7334 */ MCD_OPC_Decode, 173, 15, 74, // Opcode: XVLDREPL_B +/* 7338 */ MCD_OPC_FilterValue, 6, 45, 0, 0, // Skip to: 7388 +/* 7343 */ MCD_OPC_ExtractField, 22, 1, // Inst{22} ... +/* 7346 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 7379 +/* 7351 */ MCD_OPC_ExtractField, 21, 1, // Inst{21} ... +/* 7354 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 7370 +/* 7359 */ MCD_OPC_CheckField, 20, 1, 1, 184, 66, 0, // Skip to: 24446 +/* 7366 */ MCD_OPC_Decode, 190, 18, 85, // Opcode: XVSTELM_D +/* 7370 */ MCD_OPC_FilterValue, 1, 175, 66, 0, // Skip to: 24446 +/* 7375 */ MCD_OPC_Decode, 192, 18, 86, // Opcode: XVSTELM_W +/* 7379 */ MCD_OPC_FilterValue, 1, 166, 66, 0, // Skip to: 24446 +/* 7384 */ MCD_OPC_Decode, 191, 18, 87, // Opcode: XVSTELM_H +/* 7388 */ MCD_OPC_FilterValue, 7, 157, 66, 0, // Skip to: 24446 +/* 7393 */ MCD_OPC_Decode, 189, 18, 88, // Opcode: XVSTELM_B +/* 7397 */ MCD_OPC_FilterValue, 14, 157, 5, 0, // Skip to: 8839 +/* 7402 */ MCD_OPC_ExtractField, 15, 11, // Inst{25-15} ... +/* 7405 */ MCD_OPC_FilterValue, 0, 9, 0, 0, // Skip to: 7419 +/* 7410 */ MCD_OPC_CheckPredicate, 0, 135, 66, 0, // Skip to: 24446 +/* 7415 */ MCD_OPC_Decode, 171, 5, 11, // Opcode: LDX_B +/* 7419 */ MCD_OPC_FilterValue, 8, 9, 0, 0, // Skip to: 7433 +/* 7424 */ MCD_OPC_CheckPredicate, 0, 121, 66, 0, // Skip to: 24446 +/* 7429 */ MCD_OPC_Decode, 174, 5, 11, // Opcode: LDX_H +/* 7433 */ MCD_OPC_FilterValue, 16, 9, 0, 0, // Skip to: 7447 +/* 7438 */ MCD_OPC_CheckPredicate, 0, 107, 66, 0, // Skip to: 24446 +/* 7443 */ MCD_OPC_Decode, 176, 5, 11, // Opcode: LDX_W +/* 7447 */ MCD_OPC_FilterValue, 24, 9, 0, 0, // Skip to: 7461 +/* 7452 */ MCD_OPC_CheckPredicate, 0, 93, 66, 0, // Skip to: 24446 +/* 7457 */ MCD_OPC_Decode, 173, 5, 11, // Opcode: LDX_D +/* 7461 */ MCD_OPC_FilterValue, 32, 9, 0, 0, // Skip to: 7475 +/* 7466 */ MCD_OPC_CheckPredicate, 0, 79, 66, 0, // Skip to: 24446 +/* 7471 */ MCD_OPC_Decode, 174, 6, 11, // Opcode: STX_B +/* 7475 */ MCD_OPC_FilterValue, 40, 9, 0, 0, // Skip to: 7489 +/* 7480 */ MCD_OPC_CheckPredicate, 0, 65, 66, 0, // Skip to: 24446 +/* 7485 */ MCD_OPC_Decode, 176, 6, 11, // Opcode: STX_H +/* 7489 */ MCD_OPC_FilterValue, 48, 9, 0, 0, // Skip to: 7503 +/* 7494 */ MCD_OPC_CheckPredicate, 0, 51, 66, 0, // Skip to: 24446 +/* 7499 */ MCD_OPC_Decode, 177, 6, 11, // Opcode: STX_W +/* 7503 */ MCD_OPC_FilterValue, 56, 9, 0, 0, // Skip to: 7517 +/* 7508 */ MCD_OPC_CheckPredicate, 0, 37, 66, 0, // Skip to: 24446 +/* 7513 */ MCD_OPC_Decode, 175, 6, 11, // Opcode: STX_D +/* 7517 */ MCD_OPC_FilterValue, 64, 9, 0, 0, // Skip to: 7531 +/* 7522 */ MCD_OPC_CheckPredicate, 0, 23, 66, 0, // Skip to: 24446 +/* 7527 */ MCD_OPC_Decode, 172, 5, 11, // Opcode: LDX_BU +/* 7531 */ MCD_OPC_FilterValue, 72, 9, 0, 0, // Skip to: 7545 +/* 7536 */ MCD_OPC_CheckPredicate, 0, 9, 66, 0, // Skip to: 24446 +/* 7541 */ MCD_OPC_Decode, 175, 5, 11, // Opcode: LDX_HU +/* 7545 */ MCD_OPC_FilterValue, 80, 9, 0, 0, // Skip to: 7559 +/* 7550 */ MCD_OPC_CheckPredicate, 0, 251, 65, 0, // Skip to: 24446 +/* 7555 */ MCD_OPC_Decode, 177, 5, 11, // Opcode: LDX_WU +/* 7559 */ MCD_OPC_FilterValue, 88, 9, 0, 0, // Skip to: 7573 +/* 7564 */ MCD_OPC_CheckPredicate, 0, 237, 65, 0, // Skip to: 24446 +/* 7569 */ MCD_OPC_Decode, 231, 5, 89, // Opcode: PRELDX +/* 7573 */ MCD_OPC_FilterValue, 96, 4, 0, 0, // Skip to: 7582 +/* 7578 */ MCD_OPC_Decode, 191, 4, 90, // Opcode: FLDX_S +/* 7582 */ MCD_OPC_FilterValue, 104, 4, 0, 0, // Skip to: 7591 +/* 7587 */ MCD_OPC_Decode, 190, 4, 91, // Opcode: FLDX_D +/* 7591 */ MCD_OPC_FilterValue, 112, 4, 0, 0, // Skip to: 7600 +/* 7596 */ MCD_OPC_Decode, 239, 4, 90, // Opcode: FSTX_S +/* 7600 */ MCD_OPC_FilterValue, 120, 4, 0, 0, // Skip to: 7609 +/* 7605 */ MCD_OPC_Decode, 238, 4, 91, // Opcode: FSTX_D +/* 7609 */ MCD_OPC_FilterValue, 128, 1, 4, 0, 0, // Skip to: 7619 +/* 7615 */ MCD_OPC_Decode, 241, 8, 92, // Opcode: VLDX +/* 7619 */ MCD_OPC_FilterValue, 136, 1, 4, 0, 0, // Skip to: 7629 +/* 7625 */ MCD_OPC_Decode, 251, 11, 92, // Opcode: VSTX +/* 7629 */ MCD_OPC_FilterValue, 144, 1, 4, 0, 0, // Skip to: 7639 +/* 7635 */ MCD_OPC_Decode, 177, 15, 93, // Opcode: XVLDX +/* 7639 */ MCD_OPC_FilterValue, 152, 1, 4, 0, 0, // Skip to: 7649 +/* 7645 */ MCD_OPC_Decode, 193, 18, 93, // Opcode: XVSTX +/* 7649 */ MCD_OPC_FilterValue, 174, 1, 9, 0, 0, // Skip to: 7664 +/* 7655 */ MCD_OPC_CheckPredicate, 0, 146, 65, 0, // Skip to: 24446 +/* 7660 */ MCD_OPC_Decode, 136, 6, 94, // Opcode: SC_Q +/* 7664 */ MCD_OPC_FilterValue, 175, 1, 49, 0, 0, // Skip to: 7719 +/* 7670 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 7673 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 7682 +/* 7678 */ MCD_OPC_Decode, 186, 5, 2, // Opcode: LLACQ_W +/* 7682 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 7691 +/* 7687 */ MCD_OPC_Decode, 134, 6, 95, // Opcode: SCREL_W +/* 7691 */ MCD_OPC_FilterValue, 2, 9, 0, 0, // Skip to: 7705 +/* 7696 */ MCD_OPC_CheckPredicate, 0, 105, 65, 0, // Skip to: 24446 +/* 7701 */ MCD_OPC_Decode, 185, 5, 2, // Opcode: LLACQ_D +/* 7705 */ MCD_OPC_FilterValue, 3, 96, 65, 0, // Skip to: 24446 +/* 7710 */ MCD_OPC_CheckPredicate, 0, 91, 65, 0, // Skip to: 24446 +/* 7715 */ MCD_OPC_Decode, 133, 6, 95, // Opcode: SCREL_D +/* 7719 */ MCD_OPC_FilterValue, 176, 1, 9, 0, 0, // Skip to: 7734 +/* 7725 */ MCD_OPC_CheckPredicate, 0, 76, 65, 0, // Skip to: 24446 +/* 7730 */ MCD_OPC_Decode, 131, 3, 96, // Opcode: AMCAS_B +/* 7734 */ MCD_OPC_FilterValue, 177, 1, 9, 0, 0, // Skip to: 7749 +/* 7740 */ MCD_OPC_CheckPredicate, 0, 61, 65, 0, // Skip to: 24446 +/* 7745 */ MCD_OPC_Decode, 133, 3, 96, // Opcode: AMCAS_H +/* 7749 */ MCD_OPC_FilterValue, 178, 1, 9, 0, 0, // Skip to: 7764 +/* 7755 */ MCD_OPC_CheckPredicate, 0, 46, 65, 0, // Skip to: 24446 +/* 7760 */ MCD_OPC_Decode, 134, 3, 96, // Opcode: AMCAS_W +/* 7764 */ MCD_OPC_FilterValue, 179, 1, 9, 0, 0, // Skip to: 7779 +/* 7770 */ MCD_OPC_CheckPredicate, 0, 31, 65, 0, // Skip to: 24446 +/* 7775 */ MCD_OPC_Decode, 132, 3, 96, // Opcode: AMCAS_D +/* 7779 */ MCD_OPC_FilterValue, 180, 1, 9, 0, 0, // Skip to: 7794 +/* 7785 */ MCD_OPC_CheckPredicate, 0, 16, 65, 0, // Skip to: 24446 +/* 7790 */ MCD_OPC_Decode, 135, 3, 96, // Opcode: AMCAS__DB_B +/* 7794 */ MCD_OPC_FilterValue, 181, 1, 9, 0, 0, // Skip to: 7809 +/* 7800 */ MCD_OPC_CheckPredicate, 0, 1, 65, 0, // Skip to: 24446 +/* 7805 */ MCD_OPC_Decode, 137, 3, 96, // Opcode: AMCAS__DB_H +/* 7809 */ MCD_OPC_FilterValue, 182, 1, 9, 0, 0, // Skip to: 7824 +/* 7815 */ MCD_OPC_CheckPredicate, 0, 242, 64, 0, // Skip to: 24446 +/* 7820 */ MCD_OPC_Decode, 138, 3, 96, // Opcode: AMCAS__DB_W +/* 7824 */ MCD_OPC_FilterValue, 183, 1, 9, 0, 0, // Skip to: 7839 +/* 7830 */ MCD_OPC_CheckPredicate, 0, 227, 64, 0, // Skip to: 24446 +/* 7835 */ MCD_OPC_Decode, 136, 3, 96, // Opcode: AMCAS__DB_D +/* 7839 */ MCD_OPC_FilterValue, 184, 1, 9, 0, 0, // Skip to: 7854 +/* 7845 */ MCD_OPC_CheckPredicate, 0, 212, 64, 0, // Skip to: 24446 +/* 7850 */ MCD_OPC_Decode, 159, 3, 96, // Opcode: AMSWAP_B +/* 7854 */ MCD_OPC_FilterValue, 185, 1, 9, 0, 0, // Skip to: 7869 +/* 7860 */ MCD_OPC_CheckPredicate, 0, 197, 64, 0, // Skip to: 24446 +/* 7865 */ MCD_OPC_Decode, 161, 3, 96, // Opcode: AMSWAP_H +/* 7869 */ MCD_OPC_FilterValue, 186, 1, 9, 0, 0, // Skip to: 7884 +/* 7875 */ MCD_OPC_CheckPredicate, 0, 182, 64, 0, // Skip to: 24446 +/* 7880 */ MCD_OPC_Decode, 247, 2, 96, // Opcode: AMADD_B +/* 7884 */ MCD_OPC_FilterValue, 187, 1, 9, 0, 0, // Skip to: 7899 +/* 7890 */ MCD_OPC_CheckPredicate, 0, 167, 64, 0, // Skip to: 24446 +/* 7895 */ MCD_OPC_Decode, 249, 2, 96, // Opcode: AMADD_H +/* 7899 */ MCD_OPC_FilterValue, 188, 1, 9, 0, 0, // Skip to: 7914 +/* 7905 */ MCD_OPC_CheckPredicate, 0, 152, 64, 0, // Skip to: 24446 +/* 7910 */ MCD_OPC_Decode, 163, 3, 96, // Opcode: AMSWAP__DB_B +/* 7914 */ MCD_OPC_FilterValue, 189, 1, 9, 0, 0, // Skip to: 7929 +/* 7920 */ MCD_OPC_CheckPredicate, 0, 137, 64, 0, // Skip to: 24446 +/* 7925 */ MCD_OPC_Decode, 165, 3, 96, // Opcode: AMSWAP__DB_H +/* 7929 */ MCD_OPC_FilterValue, 190, 1, 9, 0, 0, // Skip to: 7944 +/* 7935 */ MCD_OPC_CheckPredicate, 0, 122, 64, 0, // Skip to: 24446 +/* 7940 */ MCD_OPC_Decode, 251, 2, 96, // Opcode: AMADD__DB_B +/* 7944 */ MCD_OPC_FilterValue, 191, 1, 9, 0, 0, // Skip to: 7959 +/* 7950 */ MCD_OPC_CheckPredicate, 0, 107, 64, 0, // Skip to: 24446 +/* 7955 */ MCD_OPC_Decode, 253, 2, 96, // Opcode: AMADD__DB_H +/* 7959 */ MCD_OPC_FilterValue, 192, 1, 9, 0, 0, // Skip to: 7974 +/* 7965 */ MCD_OPC_CheckPredicate, 0, 92, 64, 0, // Skip to: 24446 +/* 7970 */ MCD_OPC_Decode, 162, 3, 96, // Opcode: AMSWAP_W +/* 7974 */ MCD_OPC_FilterValue, 193, 1, 9, 0, 0, // Skip to: 7989 +/* 7980 */ MCD_OPC_CheckPredicate, 0, 77, 64, 0, // Skip to: 24446 +/* 7985 */ MCD_OPC_Decode, 160, 3, 96, // Opcode: AMSWAP_D +/* 7989 */ MCD_OPC_FilterValue, 194, 1, 9, 0, 0, // Skip to: 8004 +/* 7995 */ MCD_OPC_CheckPredicate, 0, 62, 64, 0, // Skip to: 24446 +/* 8000 */ MCD_OPC_Decode, 250, 2, 96, // Opcode: AMADD_W +/* 8004 */ MCD_OPC_FilterValue, 195, 1, 9, 0, 0, // Skip to: 8019 +/* 8010 */ MCD_OPC_CheckPredicate, 0, 47, 64, 0, // Skip to: 24446 +/* 8015 */ MCD_OPC_Decode, 248, 2, 96, // Opcode: AMADD_D +/* 8019 */ MCD_OPC_FilterValue, 196, 1, 9, 0, 0, // Skip to: 8034 +/* 8025 */ MCD_OPC_CheckPredicate, 0, 32, 64, 0, // Skip to: 24446 +/* 8030 */ MCD_OPC_Decode, 128, 3, 96, // Opcode: AMAND_W +/* 8034 */ MCD_OPC_FilterValue, 197, 1, 9, 0, 0, // Skip to: 8049 +/* 8040 */ MCD_OPC_CheckPredicate, 0, 17, 64, 0, // Skip to: 24446 +/* 8045 */ MCD_OPC_Decode, 255, 2, 96, // Opcode: AMAND_D +/* 8049 */ MCD_OPC_FilterValue, 198, 1, 9, 0, 0, // Skip to: 8064 +/* 8055 */ MCD_OPC_CheckPredicate, 0, 2, 64, 0, // Skip to: 24446 +/* 8060 */ MCD_OPC_Decode, 156, 3, 96, // Opcode: AMOR_W +/* 8064 */ MCD_OPC_FilterValue, 199, 1, 9, 0, 0, // Skip to: 8079 +/* 8070 */ MCD_OPC_CheckPredicate, 0, 243, 63, 0, // Skip to: 24446 +/* 8075 */ MCD_OPC_Decode, 155, 3, 96, // Opcode: AMOR_D +/* 8079 */ MCD_OPC_FilterValue, 200, 1, 9, 0, 0, // Skip to: 8094 +/* 8085 */ MCD_OPC_CheckPredicate, 0, 228, 63, 0, // Skip to: 24446 +/* 8090 */ MCD_OPC_Decode, 168, 3, 96, // Opcode: AMXOR_W +/* 8094 */ MCD_OPC_FilterValue, 201, 1, 9, 0, 0, // Skip to: 8109 +/* 8100 */ MCD_OPC_CheckPredicate, 0, 213, 63, 0, // Skip to: 24446 +/* 8105 */ MCD_OPC_Decode, 167, 3, 96, // Opcode: AMXOR_D +/* 8109 */ MCD_OPC_FilterValue, 202, 1, 9, 0, 0, // Skip to: 8124 +/* 8115 */ MCD_OPC_CheckPredicate, 0, 198, 63, 0, // Skip to: 24446 +/* 8120 */ MCD_OPC_Decode, 141, 3, 96, // Opcode: AMMAX_W +/* 8124 */ MCD_OPC_FilterValue, 203, 1, 9, 0, 0, // Skip to: 8139 +/* 8130 */ MCD_OPC_CheckPredicate, 0, 183, 63, 0, // Skip to: 24446 +/* 8135 */ MCD_OPC_Decode, 139, 3, 96, // Opcode: AMMAX_D +/* 8139 */ MCD_OPC_FilterValue, 204, 1, 9, 0, 0, // Skip to: 8154 +/* 8145 */ MCD_OPC_CheckPredicate, 0, 168, 63, 0, // Skip to: 24446 +/* 8150 */ MCD_OPC_Decode, 149, 3, 96, // Opcode: AMMIN_W +/* 8154 */ MCD_OPC_FilterValue, 205, 1, 9, 0, 0, // Skip to: 8169 +/* 8160 */ MCD_OPC_CheckPredicate, 0, 153, 63, 0, // Skip to: 24446 +/* 8165 */ MCD_OPC_Decode, 147, 3, 96, // Opcode: AMMIN_D +/* 8169 */ MCD_OPC_FilterValue, 206, 1, 9, 0, 0, // Skip to: 8184 +/* 8175 */ MCD_OPC_CheckPredicate, 0, 138, 63, 0, // Skip to: 24446 +/* 8180 */ MCD_OPC_Decode, 142, 3, 96, // Opcode: AMMAX_WU +/* 8184 */ MCD_OPC_FilterValue, 207, 1, 9, 0, 0, // Skip to: 8199 +/* 8190 */ MCD_OPC_CheckPredicate, 0, 123, 63, 0, // Skip to: 24446 +/* 8195 */ MCD_OPC_Decode, 140, 3, 96, // Opcode: AMMAX_DU +/* 8199 */ MCD_OPC_FilterValue, 208, 1, 9, 0, 0, // Skip to: 8214 +/* 8205 */ MCD_OPC_CheckPredicate, 0, 108, 63, 0, // Skip to: 24446 +/* 8210 */ MCD_OPC_Decode, 150, 3, 96, // Opcode: AMMIN_WU +/* 8214 */ MCD_OPC_FilterValue, 209, 1, 9, 0, 0, // Skip to: 8229 +/* 8220 */ MCD_OPC_CheckPredicate, 0, 93, 63, 0, // Skip to: 24446 +/* 8225 */ MCD_OPC_Decode, 148, 3, 96, // Opcode: AMMIN_DU +/* 8229 */ MCD_OPC_FilterValue, 210, 1, 9, 0, 0, // Skip to: 8244 +/* 8235 */ MCD_OPC_CheckPredicate, 0, 78, 63, 0, // Skip to: 24446 +/* 8240 */ MCD_OPC_Decode, 166, 3, 96, // Opcode: AMSWAP__DB_W +/* 8244 */ MCD_OPC_FilterValue, 211, 1, 9, 0, 0, // Skip to: 8259 +/* 8250 */ MCD_OPC_CheckPredicate, 0, 63, 63, 0, // Skip to: 24446 +/* 8255 */ MCD_OPC_Decode, 164, 3, 96, // Opcode: AMSWAP__DB_D +/* 8259 */ MCD_OPC_FilterValue, 212, 1, 9, 0, 0, // Skip to: 8274 +/* 8265 */ MCD_OPC_CheckPredicate, 0, 48, 63, 0, // Skip to: 24446 +/* 8270 */ MCD_OPC_Decode, 254, 2, 96, // Opcode: AMADD__DB_W +/* 8274 */ MCD_OPC_FilterValue, 213, 1, 9, 0, 0, // Skip to: 8289 +/* 8280 */ MCD_OPC_CheckPredicate, 0, 33, 63, 0, // Skip to: 24446 +/* 8285 */ MCD_OPC_Decode, 252, 2, 96, // Opcode: AMADD__DB_D +/* 8289 */ MCD_OPC_FilterValue, 214, 1, 9, 0, 0, // Skip to: 8304 +/* 8295 */ MCD_OPC_CheckPredicate, 0, 18, 63, 0, // Skip to: 24446 +/* 8300 */ MCD_OPC_Decode, 130, 3, 96, // Opcode: AMAND__DB_W +/* 8304 */ MCD_OPC_FilterValue, 215, 1, 9, 0, 0, // Skip to: 8319 +/* 8310 */ MCD_OPC_CheckPredicate, 0, 3, 63, 0, // Skip to: 24446 +/* 8315 */ MCD_OPC_Decode, 129, 3, 96, // Opcode: AMAND__DB_D +/* 8319 */ MCD_OPC_FilterValue, 216, 1, 9, 0, 0, // Skip to: 8334 +/* 8325 */ MCD_OPC_CheckPredicate, 0, 244, 62, 0, // Skip to: 24446 +/* 8330 */ MCD_OPC_Decode, 158, 3, 96, // Opcode: AMOR__DB_W +/* 8334 */ MCD_OPC_FilterValue, 217, 1, 9, 0, 0, // Skip to: 8349 +/* 8340 */ MCD_OPC_CheckPredicate, 0, 229, 62, 0, // Skip to: 24446 +/* 8345 */ MCD_OPC_Decode, 157, 3, 96, // Opcode: AMOR__DB_D +/* 8349 */ MCD_OPC_FilterValue, 218, 1, 9, 0, 0, // Skip to: 8364 +/* 8355 */ MCD_OPC_CheckPredicate, 0, 214, 62, 0, // Skip to: 24446 +/* 8360 */ MCD_OPC_Decode, 170, 3, 96, // Opcode: AMXOR__DB_W +/* 8364 */ MCD_OPC_FilterValue, 219, 1, 9, 0, 0, // Skip to: 8379 +/* 8370 */ MCD_OPC_CheckPredicate, 0, 199, 62, 0, // Skip to: 24446 +/* 8375 */ MCD_OPC_Decode, 169, 3, 96, // Opcode: AMXOR__DB_D +/* 8379 */ MCD_OPC_FilterValue, 220, 1, 9, 0, 0, // Skip to: 8394 +/* 8385 */ MCD_OPC_CheckPredicate, 0, 184, 62, 0, // Skip to: 24446 +/* 8390 */ MCD_OPC_Decode, 145, 3, 96, // Opcode: AMMAX__DB_W +/* 8394 */ MCD_OPC_FilterValue, 221, 1, 9, 0, 0, // Skip to: 8409 +/* 8400 */ MCD_OPC_CheckPredicate, 0, 169, 62, 0, // Skip to: 24446 +/* 8405 */ MCD_OPC_Decode, 143, 3, 96, // Opcode: AMMAX__DB_D +/* 8409 */ MCD_OPC_FilterValue, 222, 1, 9, 0, 0, // Skip to: 8424 +/* 8415 */ MCD_OPC_CheckPredicate, 0, 154, 62, 0, // Skip to: 24446 +/* 8420 */ MCD_OPC_Decode, 153, 3, 96, // Opcode: AMMIN__DB_W +/* 8424 */ MCD_OPC_FilterValue, 223, 1, 9, 0, 0, // Skip to: 8439 +/* 8430 */ MCD_OPC_CheckPredicate, 0, 139, 62, 0, // Skip to: 24446 +/* 8435 */ MCD_OPC_Decode, 151, 3, 96, // Opcode: AMMIN__DB_D +/* 8439 */ MCD_OPC_FilterValue, 224, 1, 9, 0, 0, // Skip to: 8454 +/* 8445 */ MCD_OPC_CheckPredicate, 0, 124, 62, 0, // Skip to: 24446 +/* 8450 */ MCD_OPC_Decode, 146, 3, 96, // Opcode: AMMAX__DB_WU +/* 8454 */ MCD_OPC_FilterValue, 225, 1, 9, 0, 0, // Skip to: 8469 +/* 8460 */ MCD_OPC_CheckPredicate, 0, 109, 62, 0, // Skip to: 24446 +/* 8465 */ MCD_OPC_Decode, 144, 3, 96, // Opcode: AMMAX__DB_DU +/* 8469 */ MCD_OPC_FilterValue, 226, 1, 9, 0, 0, // Skip to: 8484 +/* 8475 */ MCD_OPC_CheckPredicate, 0, 94, 62, 0, // Skip to: 24446 +/* 8480 */ MCD_OPC_Decode, 154, 3, 96, // Opcode: AMMIN__DB_WU +/* 8484 */ MCD_OPC_FilterValue, 227, 1, 9, 0, 0, // Skip to: 8499 +/* 8490 */ MCD_OPC_CheckPredicate, 0, 79, 62, 0, // Skip to: 24446 +/* 8495 */ MCD_OPC_Decode, 152, 3, 96, // Opcode: AMMIN__DB_DU +/* 8499 */ MCD_OPC_FilterValue, 228, 1, 4, 0, 0, // Skip to: 8509 +/* 8505 */ MCD_OPC_Decode, 242, 3, 13, // Opcode: DBAR +/* 8509 */ MCD_OPC_FilterValue, 229, 1, 4, 0, 0, // Skip to: 8519 +/* 8515 */ MCD_OPC_Decode, 141, 5, 13, // Opcode: IBAR +/* 8519 */ MCD_OPC_FilterValue, 232, 1, 4, 0, 0, // Skip to: 8529 +/* 8525 */ MCD_OPC_Decode, 187, 4, 90, // Opcode: FLDGT_S +/* 8529 */ MCD_OPC_FilterValue, 233, 1, 4, 0, 0, // Skip to: 8539 +/* 8535 */ MCD_OPC_Decode, 186, 4, 91, // Opcode: FLDGT_D +/* 8539 */ MCD_OPC_FilterValue, 234, 1, 4, 0, 0, // Skip to: 8549 +/* 8545 */ MCD_OPC_Decode, 189, 4, 90, // Opcode: FLDLE_S +/* 8549 */ MCD_OPC_FilterValue, 235, 1, 4, 0, 0, // Skip to: 8559 +/* 8555 */ MCD_OPC_Decode, 188, 4, 91, // Opcode: FLDLE_D +/* 8559 */ MCD_OPC_FilterValue, 236, 1, 4, 0, 0, // Skip to: 8569 +/* 8565 */ MCD_OPC_Decode, 235, 4, 90, // Opcode: FSTGT_S +/* 8569 */ MCD_OPC_FilterValue, 237, 1, 4, 0, 0, // Skip to: 8579 +/* 8575 */ MCD_OPC_Decode, 234, 4, 91, // Opcode: FSTGT_D +/* 8579 */ MCD_OPC_FilterValue, 238, 1, 4, 0, 0, // Skip to: 8589 +/* 8585 */ MCD_OPC_Decode, 237, 4, 90, // Opcode: FSTLE_S +/* 8589 */ MCD_OPC_FilterValue, 239, 1, 4, 0, 0, // Skip to: 8599 +/* 8595 */ MCD_OPC_Decode, 236, 4, 91, // Opcode: FSTLE_D +/* 8599 */ MCD_OPC_FilterValue, 240, 1, 9, 0, 0, // Skip to: 8614 +/* 8605 */ MCD_OPC_CheckPredicate, 0, 220, 61, 0, // Skip to: 24446 +/* 8610 */ MCD_OPC_Decode, 156, 5, 11, // Opcode: LDGT_B +/* 8614 */ MCD_OPC_FilterValue, 241, 1, 9, 0, 0, // Skip to: 8629 +/* 8620 */ MCD_OPC_CheckPredicate, 0, 205, 61, 0, // Skip to: 24446 +/* 8625 */ MCD_OPC_Decode, 158, 5, 11, // Opcode: LDGT_H +/* 8629 */ MCD_OPC_FilterValue, 242, 1, 9, 0, 0, // Skip to: 8644 +/* 8635 */ MCD_OPC_CheckPredicate, 0, 190, 61, 0, // Skip to: 24446 +/* 8640 */ MCD_OPC_Decode, 159, 5, 11, // Opcode: LDGT_W +/* 8644 */ MCD_OPC_FilterValue, 243, 1, 9, 0, 0, // Skip to: 8659 +/* 8650 */ MCD_OPC_CheckPredicate, 0, 175, 61, 0, // Skip to: 24446 +/* 8655 */ MCD_OPC_Decode, 157, 5, 11, // Opcode: LDGT_D +/* 8659 */ MCD_OPC_FilterValue, 244, 1, 9, 0, 0, // Skip to: 8674 +/* 8665 */ MCD_OPC_CheckPredicate, 0, 160, 61, 0, // Skip to: 24446 +/* 8670 */ MCD_OPC_Decode, 160, 5, 11, // Opcode: LDLE_B +/* 8674 */ MCD_OPC_FilterValue, 245, 1, 9, 0, 0, // Skip to: 8689 +/* 8680 */ MCD_OPC_CheckPredicate, 0, 145, 61, 0, // Skip to: 24446 +/* 8685 */ MCD_OPC_Decode, 162, 5, 11, // Opcode: LDLE_H +/* 8689 */ MCD_OPC_FilterValue, 246, 1, 9, 0, 0, // Skip to: 8704 +/* 8695 */ MCD_OPC_CheckPredicate, 0, 130, 61, 0, // Skip to: 24446 +/* 8700 */ MCD_OPC_Decode, 163, 5, 11, // Opcode: LDLE_W +/* 8704 */ MCD_OPC_FilterValue, 247, 1, 9, 0, 0, // Skip to: 8719 +/* 8710 */ MCD_OPC_CheckPredicate, 0, 115, 61, 0, // Skip to: 24446 +/* 8715 */ MCD_OPC_Decode, 161, 5, 11, // Opcode: LDLE_D +/* 8719 */ MCD_OPC_FilterValue, 248, 1, 9, 0, 0, // Skip to: 8734 +/* 8725 */ MCD_OPC_CheckPredicate, 0, 100, 61, 0, // Skip to: 24446 +/* 8730 */ MCD_OPC_Decode, 160, 6, 11, // Opcode: STGT_B +/* 8734 */ MCD_OPC_FilterValue, 249, 1, 9, 0, 0, // Skip to: 8749 +/* 8740 */ MCD_OPC_CheckPredicate, 0, 85, 61, 0, // Skip to: 24446 +/* 8745 */ MCD_OPC_Decode, 162, 6, 11, // Opcode: STGT_H +/* 8749 */ MCD_OPC_FilterValue, 250, 1, 9, 0, 0, // Skip to: 8764 +/* 8755 */ MCD_OPC_CheckPredicate, 0, 70, 61, 0, // Skip to: 24446 +/* 8760 */ MCD_OPC_Decode, 163, 6, 11, // Opcode: STGT_W +/* 8764 */ MCD_OPC_FilterValue, 251, 1, 9, 0, 0, // Skip to: 8779 +/* 8770 */ MCD_OPC_CheckPredicate, 0, 55, 61, 0, // Skip to: 24446 +/* 8775 */ MCD_OPC_Decode, 161, 6, 11, // Opcode: STGT_D +/* 8779 */ MCD_OPC_FilterValue, 252, 1, 9, 0, 0, // Skip to: 8794 +/* 8785 */ MCD_OPC_CheckPredicate, 0, 40, 61, 0, // Skip to: 24446 +/* 8790 */ MCD_OPC_Decode, 164, 6, 11, // Opcode: STLE_B +/* 8794 */ MCD_OPC_FilterValue, 253, 1, 9, 0, 0, // Skip to: 8809 +/* 8800 */ MCD_OPC_CheckPredicate, 0, 25, 61, 0, // Skip to: 24446 +/* 8805 */ MCD_OPC_Decode, 166, 6, 11, // Opcode: STLE_H +/* 8809 */ MCD_OPC_FilterValue, 254, 1, 9, 0, 0, // Skip to: 8824 +/* 8815 */ MCD_OPC_CheckPredicate, 0, 10, 61, 0, // Skip to: 24446 +/* 8820 */ MCD_OPC_Decode, 167, 6, 11, // Opcode: STLE_W +/* 8824 */ MCD_OPC_FilterValue, 255, 1, 0, 61, 0, // Skip to: 24446 +/* 8830 */ MCD_OPC_CheckPredicate, 0, 251, 60, 0, // Skip to: 24446 +/* 8835 */ MCD_OPC_Decode, 165, 6, 11, // Opcode: STLE_D +/* 8839 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 8848 +/* 8844 */ MCD_OPC_Decode, 202, 3, 97, // Opcode: BEQZ +/* 8848 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 8857 +/* 8853 */ MCD_OPC_Decode, 213, 3, 97, // Opcode: BNEZ +/* 8857 */ MCD_OPC_FilterValue, 18, 53, 0, 0, // Skip to: 8915 +/* 8862 */ MCD_OPC_ExtractField, 8, 2, // Inst{9-8} ... +/* 8865 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 8874 +/* 8870 */ MCD_OPC_Decode, 199, 3, 98, // Opcode: BCEQZ +/* 8874 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 8883 +/* 8879 */ MCD_OPC_Decode, 200, 3, 98, // Opcode: BCNEZ +/* 8883 */ MCD_OPC_FilterValue, 2, 11, 0, 0, // Skip to: 8899 +/* 8888 */ MCD_OPC_CheckField, 5, 3, 0, 191, 60, 0, // Skip to: 24446 +/* 8895 */ MCD_OPC_Decode, 153, 5, 99, // Opcode: JISCR0 +/* 8899 */ MCD_OPC_FilterValue, 3, 182, 60, 0, // Skip to: 24446 +/* 8904 */ MCD_OPC_CheckField, 5, 3, 0, 175, 60, 0, // Skip to: 24446 +/* 8911 */ MCD_OPC_Decode, 154, 5, 99, // Opcode: JISCR1 +/* 8915 */ MCD_OPC_FilterValue, 19, 4, 0, 0, // Skip to: 8924 +/* 8920 */ MCD_OPC_Decode, 152, 5, 100, // Opcode: JIRL +/* 8924 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 8933 +/* 8929 */ MCD_OPC_Decode, 198, 3, 101, // Opcode: B +/* 8933 */ MCD_OPC_FilterValue, 21, 4, 0, 0, // Skip to: 8942 +/* 8938 */ MCD_OPC_Decode, 209, 3, 101, // Opcode: BL +/* 8942 */ MCD_OPC_FilterValue, 22, 4, 0, 0, // Skip to: 8951 +/* 8947 */ MCD_OPC_Decode, 201, 3, 102, // Opcode: BEQ +/* 8951 */ MCD_OPC_FilterValue, 23, 4, 0, 0, // Skip to: 8960 +/* 8956 */ MCD_OPC_Decode, 212, 3, 102, // Opcode: BNE +/* 8960 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 8969 +/* 8965 */ MCD_OPC_Decode, 210, 3, 102, // Opcode: BLT +/* 8969 */ MCD_OPC_FilterValue, 25, 4, 0, 0, // Skip to: 8978 +/* 8974 */ MCD_OPC_Decode, 203, 3, 102, // Opcode: BGE +/* 8978 */ MCD_OPC_FilterValue, 26, 4, 0, 0, // Skip to: 8987 +/* 8983 */ MCD_OPC_Decode, 211, 3, 102, // Opcode: BLTU +/* 8987 */ MCD_OPC_FilterValue, 27, 4, 0, 0, // Skip to: 8996 +/* 8992 */ MCD_OPC_Decode, 204, 3, 102, // Opcode: BGEU +/* 8996 */ MCD_OPC_FilterValue, 28, 34, 29, 0, // Skip to: 16459 +/* 9001 */ MCD_OPC_ExtractField, 18, 8, // Inst{25-18} ... +/* 9004 */ MCD_OPC_FilterValue, 0, 75, 0, 0, // Skip to: 9084 +/* 9009 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9012 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9021 +/* 9017 */ MCD_OPC_Decode, 178, 10, 63, // Opcode: VSEQ_B +/* 9021 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9030 +/* 9026 */ MCD_OPC_Decode, 180, 10, 63, // Opcode: VSEQ_H +/* 9030 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9039 +/* 9035 */ MCD_OPC_Decode, 181, 10, 63, // Opcode: VSEQ_W +/* 9039 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 9048 +/* 9044 */ MCD_OPC_Decode, 179, 10, 63, // Opcode: VSEQ_D +/* 9048 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9057 +/* 9053 */ MCD_OPC_Decode, 212, 10, 63, // Opcode: VSLE_B +/* 9057 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9066 +/* 9062 */ MCD_OPC_Decode, 216, 10, 63, // Opcode: VSLE_H +/* 9066 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9075 +/* 9071 */ MCD_OPC_Decode, 218, 10, 63, // Opcode: VSLE_W +/* 9075 */ MCD_OPC_FilterValue, 7, 6, 60, 0, // Skip to: 24446 +/* 9080 */ MCD_OPC_Decode, 214, 10, 63, // Opcode: VSLE_D +/* 9084 */ MCD_OPC_FilterValue, 1, 75, 0, 0, // Skip to: 9164 +/* 9089 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9092 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9101 +/* 9097 */ MCD_OPC_Decode, 213, 10, 63, // Opcode: VSLE_BU +/* 9101 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9110 +/* 9106 */ MCD_OPC_Decode, 217, 10, 63, // Opcode: VSLE_HU +/* 9110 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9119 +/* 9115 */ MCD_OPC_Decode, 219, 10, 63, // Opcode: VSLE_WU +/* 9119 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 9128 +/* 9124 */ MCD_OPC_Decode, 215, 10, 63, // Opcode: VSLE_DU +/* 9128 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9137 +/* 9133 */ MCD_OPC_Decode, 242, 10, 63, // Opcode: VSLT_B +/* 9137 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9146 +/* 9142 */ MCD_OPC_Decode, 246, 10, 63, // Opcode: VSLT_H +/* 9146 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9155 +/* 9151 */ MCD_OPC_Decode, 248, 10, 63, // Opcode: VSLT_W +/* 9155 */ MCD_OPC_FilterValue, 7, 182, 59, 0, // Skip to: 24446 +/* 9160 */ MCD_OPC_Decode, 244, 10, 63, // Opcode: VSLT_D +/* 9164 */ MCD_OPC_FilterValue, 2, 75, 0, 0, // Skip to: 9244 +/* 9169 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9172 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9181 +/* 9177 */ MCD_OPC_Decode, 243, 10, 63, // Opcode: VSLT_BU +/* 9181 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9190 +/* 9186 */ MCD_OPC_Decode, 247, 10, 63, // Opcode: VSLT_HU +/* 9190 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9199 +/* 9195 */ MCD_OPC_Decode, 249, 10, 63, // Opcode: VSLT_WU +/* 9199 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 9208 +/* 9204 */ MCD_OPC_Decode, 245, 10, 63, // Opcode: VSLT_DU +/* 9208 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9217 +/* 9213 */ MCD_OPC_Decode, 231, 6, 63, // Opcode: VADD_B +/* 9217 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9226 +/* 9222 */ MCD_OPC_Decode, 233, 6, 63, // Opcode: VADD_H +/* 9226 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9235 +/* 9231 */ MCD_OPC_Decode, 235, 6, 63, // Opcode: VADD_W +/* 9235 */ MCD_OPC_FilterValue, 7, 102, 59, 0, // Skip to: 24446 +/* 9240 */ MCD_OPC_Decode, 232, 6, 63, // Opcode: VADD_D +/* 9244 */ MCD_OPC_FilterValue, 3, 39, 0, 0, // Skip to: 9288 +/* 9249 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9252 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9261 +/* 9257 */ MCD_OPC_Decode, 144, 12, 63, // Opcode: VSUB_B +/* 9261 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9270 +/* 9266 */ MCD_OPC_Decode, 146, 12, 63, // Opcode: VSUB_H +/* 9270 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9279 +/* 9275 */ MCD_OPC_Decode, 148, 12, 63, // Opcode: VSUB_W +/* 9279 */ MCD_OPC_FilterValue, 3, 58, 59, 0, // Skip to: 24446 +/* 9284 */ MCD_OPC_Decode, 145, 12, 63, // Opcode: VSUB_D +/* 9288 */ MCD_OPC_FilterValue, 7, 39, 0, 0, // Skip to: 9332 +/* 9293 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9296 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9305 +/* 9301 */ MCD_OPC_Decode, 210, 6, 63, // Opcode: VADDWEV_H_B +/* 9305 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9314 +/* 9310 */ MCD_OPC_Decode, 216, 6, 63, // Opcode: VADDWEV_W_H +/* 9314 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9323 +/* 9319 */ MCD_OPC_Decode, 207, 6, 63, // Opcode: VADDWEV_D_W +/* 9323 */ MCD_OPC_FilterValue, 7, 14, 59, 0, // Skip to: 24446 +/* 9328 */ MCD_OPC_Decode, 213, 6, 63, // Opcode: VADDWEV_Q_D +/* 9332 */ MCD_OPC_FilterValue, 8, 75, 0, 0, // Skip to: 9412 +/* 9337 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9340 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9349 +/* 9345 */ MCD_OPC_Decode, 130, 12, 63, // Opcode: VSUBWEV_H_B +/* 9349 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9358 +/* 9354 */ MCD_OPC_Decode, 134, 12, 63, // Opcode: VSUBWEV_W_H +/* 9358 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9367 +/* 9363 */ MCD_OPC_Decode, 128, 12, 63, // Opcode: VSUBWEV_D_W +/* 9367 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 9376 +/* 9372 */ MCD_OPC_Decode, 132, 12, 63, // Opcode: VSUBWEV_Q_D +/* 9376 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9385 +/* 9381 */ MCD_OPC_Decode, 222, 6, 63, // Opcode: VADDWOD_H_B +/* 9385 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9394 +/* 9390 */ MCD_OPC_Decode, 228, 6, 63, // Opcode: VADDWOD_W_H +/* 9394 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9403 +/* 9399 */ MCD_OPC_Decode, 219, 6, 63, // Opcode: VADDWOD_D_W +/* 9403 */ MCD_OPC_FilterValue, 7, 190, 58, 0, // Skip to: 24446 +/* 9408 */ MCD_OPC_Decode, 225, 6, 63, // Opcode: VADDWOD_Q_D +/* 9412 */ MCD_OPC_FilterValue, 9, 39, 0, 0, // Skip to: 9456 +/* 9417 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9420 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9429 +/* 9425 */ MCD_OPC_Decode, 138, 12, 63, // Opcode: VSUBWOD_H_B +/* 9429 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9438 +/* 9434 */ MCD_OPC_Decode, 142, 12, 63, // Opcode: VSUBWOD_W_H +/* 9438 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9447 +/* 9443 */ MCD_OPC_Decode, 136, 12, 63, // Opcode: VSUBWOD_D_W +/* 9447 */ MCD_OPC_FilterValue, 3, 146, 58, 0, // Skip to: 24446 +/* 9452 */ MCD_OPC_Decode, 140, 12, 63, // Opcode: VSUBWOD_Q_D +/* 9456 */ MCD_OPC_FilterValue, 11, 39, 0, 0, // Skip to: 9500 +/* 9461 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9464 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9473 +/* 9469 */ MCD_OPC_Decode, 211, 6, 63, // Opcode: VADDWEV_H_BU +/* 9473 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9482 +/* 9478 */ MCD_OPC_Decode, 217, 6, 63, // Opcode: VADDWEV_W_HU +/* 9482 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9491 +/* 9487 */ MCD_OPC_Decode, 208, 6, 63, // Opcode: VADDWEV_D_WU +/* 9491 */ MCD_OPC_FilterValue, 7, 102, 58, 0, // Skip to: 24446 +/* 9496 */ MCD_OPC_Decode, 214, 6, 63, // Opcode: VADDWEV_Q_DU +/* 9500 */ MCD_OPC_FilterValue, 12, 75, 0, 0, // Skip to: 9580 +/* 9505 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9508 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9517 +/* 9513 */ MCD_OPC_Decode, 131, 12, 63, // Opcode: VSUBWEV_H_BU +/* 9517 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9526 +/* 9522 */ MCD_OPC_Decode, 135, 12, 63, // Opcode: VSUBWEV_W_HU +/* 9526 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9535 +/* 9531 */ MCD_OPC_Decode, 129, 12, 63, // Opcode: VSUBWEV_D_WU +/* 9535 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 9544 +/* 9540 */ MCD_OPC_Decode, 133, 12, 63, // Opcode: VSUBWEV_Q_DU +/* 9544 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9553 +/* 9549 */ MCD_OPC_Decode, 223, 6, 63, // Opcode: VADDWOD_H_BU +/* 9553 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9562 +/* 9558 */ MCD_OPC_Decode, 229, 6, 63, // Opcode: VADDWOD_W_HU +/* 9562 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9571 +/* 9567 */ MCD_OPC_Decode, 220, 6, 63, // Opcode: VADDWOD_D_WU +/* 9571 */ MCD_OPC_FilterValue, 7, 22, 58, 0, // Skip to: 24446 +/* 9576 */ MCD_OPC_Decode, 226, 6, 63, // Opcode: VADDWOD_Q_DU +/* 9580 */ MCD_OPC_FilterValue, 13, 39, 0, 0, // Skip to: 9624 +/* 9585 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9588 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9597 +/* 9593 */ MCD_OPC_Decode, 139, 12, 63, // Opcode: VSUBWOD_H_BU +/* 9597 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9606 +/* 9602 */ MCD_OPC_Decode, 143, 12, 63, // Opcode: VSUBWOD_W_HU +/* 9606 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9615 +/* 9611 */ MCD_OPC_Decode, 137, 12, 63, // Opcode: VSUBWOD_D_WU +/* 9615 */ MCD_OPC_FilterValue, 3, 234, 57, 0, // Skip to: 24446 +/* 9620 */ MCD_OPC_Decode, 141, 12, 63, // Opcode: VSUBWOD_Q_DU +/* 9624 */ MCD_OPC_FilterValue, 15, 39, 0, 0, // Skip to: 9668 +/* 9629 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9632 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9641 +/* 9637 */ MCD_OPC_Decode, 212, 6, 63, // Opcode: VADDWEV_H_BU_B +/* 9641 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9650 +/* 9646 */ MCD_OPC_Decode, 218, 6, 63, // Opcode: VADDWEV_W_HU_H +/* 9650 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9659 +/* 9655 */ MCD_OPC_Decode, 209, 6, 63, // Opcode: VADDWEV_D_WU_W +/* 9659 */ MCD_OPC_FilterValue, 7, 190, 57, 0, // Skip to: 24446 +/* 9664 */ MCD_OPC_Decode, 215, 6, 63, // Opcode: VADDWEV_Q_DU_D +/* 9668 */ MCD_OPC_FilterValue, 16, 39, 0, 0, // Skip to: 9712 +/* 9673 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9676 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9685 +/* 9681 */ MCD_OPC_Decode, 224, 6, 63, // Opcode: VADDWOD_H_BU_B +/* 9685 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9694 +/* 9690 */ MCD_OPC_Decode, 230, 6, 63, // Opcode: VADDWOD_W_HU_H +/* 9694 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9703 +/* 9699 */ MCD_OPC_Decode, 221, 6, 63, // Opcode: VADDWOD_D_WU_W +/* 9703 */ MCD_OPC_FilterValue, 3, 146, 57, 0, // Skip to: 24446 +/* 9708 */ MCD_OPC_Decode, 227, 6, 63, // Opcode: VADDWOD_Q_DU_D +/* 9712 */ MCD_OPC_FilterValue, 17, 39, 0, 0, // Skip to: 9756 +/* 9717 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9720 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9729 +/* 9725 */ MCD_OPC_Decode, 158, 10, 63, // Opcode: VSADD_B +/* 9729 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9738 +/* 9734 */ MCD_OPC_Decode, 162, 10, 63, // Opcode: VSADD_H +/* 9738 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9747 +/* 9743 */ MCD_OPC_Decode, 164, 10, 63, // Opcode: VSADD_W +/* 9747 */ MCD_OPC_FilterValue, 7, 102, 57, 0, // Skip to: 24446 +/* 9752 */ MCD_OPC_Decode, 160, 10, 63, // Opcode: VSADD_D +/* 9756 */ MCD_OPC_FilterValue, 18, 75, 0, 0, // Skip to: 9836 +/* 9761 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9764 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9773 +/* 9769 */ MCD_OPC_Decode, 238, 11, 63, // Opcode: VSSUB_B +/* 9773 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9782 +/* 9778 */ MCD_OPC_Decode, 242, 11, 63, // Opcode: VSSUB_H +/* 9782 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9791 +/* 9787 */ MCD_OPC_Decode, 244, 11, 63, // Opcode: VSSUB_W +/* 9791 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 9800 +/* 9796 */ MCD_OPC_Decode, 240, 11, 63, // Opcode: VSSUB_D +/* 9800 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9809 +/* 9805 */ MCD_OPC_Decode, 159, 10, 63, // Opcode: VSADD_BU +/* 9809 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9818 +/* 9814 */ MCD_OPC_Decode, 163, 10, 63, // Opcode: VSADD_HU +/* 9818 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9827 +/* 9823 */ MCD_OPC_Decode, 165, 10, 63, // Opcode: VSADD_WU +/* 9827 */ MCD_OPC_FilterValue, 7, 22, 57, 0, // Skip to: 24446 +/* 9832 */ MCD_OPC_Decode, 161, 10, 63, // Opcode: VSADD_DU +/* 9836 */ MCD_OPC_FilterValue, 19, 39, 0, 0, // Skip to: 9880 +/* 9841 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9844 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9853 +/* 9849 */ MCD_OPC_Decode, 239, 11, 63, // Opcode: VSSUB_BU +/* 9853 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9862 +/* 9858 */ MCD_OPC_Decode, 243, 11, 63, // Opcode: VSSUB_HU +/* 9862 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9871 +/* 9867 */ MCD_OPC_Decode, 245, 11, 63, // Opcode: VSSUB_WU +/* 9871 */ MCD_OPC_FilterValue, 3, 234, 56, 0, // Skip to: 24446 +/* 9876 */ MCD_OPC_Decode, 241, 11, 63, // Opcode: VSSUB_DU +/* 9880 */ MCD_OPC_FilterValue, 21, 75, 0, 0, // Skip to: 9960 +/* 9885 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9888 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9897 +/* 9893 */ MCD_OPC_Decode, 210, 8, 63, // Opcode: VHADDW_H_B +/* 9897 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9906 +/* 9902 */ MCD_OPC_Decode, 214, 8, 63, // Opcode: VHADDW_W_H +/* 9906 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9915 +/* 9911 */ MCD_OPC_Decode, 208, 8, 63, // Opcode: VHADDW_D_W +/* 9915 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 9924 +/* 9920 */ MCD_OPC_Decode, 212, 8, 63, // Opcode: VHADDW_Q_D +/* 9924 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 9933 +/* 9929 */ MCD_OPC_Decode, 218, 8, 63, // Opcode: VHSUBW_H_B +/* 9933 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 9942 +/* 9938 */ MCD_OPC_Decode, 222, 8, 63, // Opcode: VHSUBW_W_H +/* 9942 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 9951 +/* 9947 */ MCD_OPC_Decode, 216, 8, 63, // Opcode: VHSUBW_D_W +/* 9951 */ MCD_OPC_FilterValue, 7, 154, 56, 0, // Skip to: 24446 +/* 9956 */ MCD_OPC_Decode, 220, 8, 63, // Opcode: VHSUBW_Q_D +/* 9960 */ MCD_OPC_FilterValue, 22, 75, 0, 0, // Skip to: 10040 +/* 9965 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 9968 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 9977 +/* 9973 */ MCD_OPC_Decode, 209, 8, 63, // Opcode: VHADDW_HU_BU +/* 9977 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 9986 +/* 9982 */ MCD_OPC_Decode, 213, 8, 63, // Opcode: VHADDW_WU_HU +/* 9986 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 9995 +/* 9991 */ MCD_OPC_Decode, 207, 8, 63, // Opcode: VHADDW_DU_WU +/* 9995 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10004 +/* 10000 */ MCD_OPC_Decode, 211, 8, 63, // Opcode: VHADDW_QU_DU +/* 10004 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10013 +/* 10009 */ MCD_OPC_Decode, 217, 8, 63, // Opcode: VHSUBW_HU_BU +/* 10013 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10022 +/* 10018 */ MCD_OPC_Decode, 221, 8, 63, // Opcode: VHSUBW_WU_HU +/* 10022 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10031 +/* 10027 */ MCD_OPC_Decode, 215, 8, 63, // Opcode: VHSUBW_DU_WU +/* 10031 */ MCD_OPC_FilterValue, 7, 74, 56, 0, // Skip to: 24446 +/* 10036 */ MCD_OPC_Decode, 219, 8, 63, // Opcode: VHSUBW_QU_DU +/* 10040 */ MCD_OPC_FilterValue, 23, 39, 0, 0, // Skip to: 10084 +/* 10045 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10048 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10057 +/* 10053 */ MCD_OPC_Decode, 199, 6, 63, // Opcode: VADDA_B +/* 10057 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10066 +/* 10062 */ MCD_OPC_Decode, 201, 6, 63, // Opcode: VADDA_H +/* 10066 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10075 +/* 10071 */ MCD_OPC_Decode, 202, 6, 63, // Opcode: VADDA_W +/* 10075 */ MCD_OPC_FilterValue, 3, 30, 56, 0, // Skip to: 24446 +/* 10080 */ MCD_OPC_Decode, 200, 6, 63, // Opcode: VADDA_D +/* 10084 */ MCD_OPC_FilterValue, 24, 75, 0, 0, // Skip to: 10164 +/* 10089 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10092 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10101 +/* 10097 */ MCD_OPC_Decode, 191, 6, 63, // Opcode: VABSD_B +/* 10101 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10110 +/* 10106 */ MCD_OPC_Decode, 195, 6, 63, // Opcode: VABSD_H +/* 10110 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10119 +/* 10115 */ MCD_OPC_Decode, 197, 6, 63, // Opcode: VABSD_W +/* 10119 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10128 +/* 10124 */ MCD_OPC_Decode, 193, 6, 63, // Opcode: VABSD_D +/* 10128 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10137 +/* 10133 */ MCD_OPC_Decode, 192, 6, 63, // Opcode: VABSD_BU +/* 10137 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10146 +/* 10142 */ MCD_OPC_Decode, 196, 6, 63, // Opcode: VABSD_HU +/* 10146 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10155 +/* 10151 */ MCD_OPC_Decode, 198, 6, 63, // Opcode: VABSD_WU +/* 10155 */ MCD_OPC_FilterValue, 7, 206, 55, 0, // Skip to: 24446 +/* 10160 */ MCD_OPC_Decode, 194, 6, 63, // Opcode: VABSD_DU +/* 10164 */ MCD_OPC_FilterValue, 25, 75, 0, 0, // Skip to: 10244 +/* 10169 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10172 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10181 +/* 10177 */ MCD_OPC_Decode, 247, 6, 63, // Opcode: VAVG_B +/* 10181 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10190 +/* 10186 */ MCD_OPC_Decode, 251, 6, 63, // Opcode: VAVG_H +/* 10190 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10199 +/* 10195 */ MCD_OPC_Decode, 253, 6, 63, // Opcode: VAVG_W +/* 10199 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10208 +/* 10204 */ MCD_OPC_Decode, 249, 6, 63, // Opcode: VAVG_D +/* 10208 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10217 +/* 10213 */ MCD_OPC_Decode, 248, 6, 63, // Opcode: VAVG_BU +/* 10217 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10226 +/* 10222 */ MCD_OPC_Decode, 252, 6, 63, // Opcode: VAVG_HU +/* 10226 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10235 +/* 10231 */ MCD_OPC_Decode, 254, 6, 63, // Opcode: VAVG_WU +/* 10235 */ MCD_OPC_FilterValue, 7, 126, 55, 0, // Skip to: 24446 +/* 10240 */ MCD_OPC_Decode, 250, 6, 63, // Opcode: VAVG_DU +/* 10244 */ MCD_OPC_FilterValue, 26, 75, 0, 0, // Skip to: 10324 +/* 10249 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10252 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10261 +/* 10257 */ MCD_OPC_Decode, 239, 6, 63, // Opcode: VAVGR_B +/* 10261 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10270 +/* 10266 */ MCD_OPC_Decode, 243, 6, 63, // Opcode: VAVGR_H +/* 10270 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10279 +/* 10275 */ MCD_OPC_Decode, 245, 6, 63, // Opcode: VAVGR_W +/* 10279 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10288 +/* 10284 */ MCD_OPC_Decode, 241, 6, 63, // Opcode: VAVGR_D +/* 10288 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10297 +/* 10293 */ MCD_OPC_Decode, 240, 6, 63, // Opcode: VAVGR_BU +/* 10297 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10306 +/* 10302 */ MCD_OPC_Decode, 244, 6, 63, // Opcode: VAVGR_HU +/* 10306 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10315 +/* 10311 */ MCD_OPC_Decode, 246, 6, 63, // Opcode: VAVGR_WU +/* 10315 */ MCD_OPC_FilterValue, 7, 46, 55, 0, // Skip to: 24446 +/* 10320 */ MCD_OPC_Decode, 242, 6, 63, // Opcode: VAVGR_DU +/* 10324 */ MCD_OPC_FilterValue, 28, 75, 0, 0, // Skip to: 10404 +/* 10329 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10332 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10341 +/* 10337 */ MCD_OPC_Decode, 150, 9, 63, // Opcode: VMAX_B +/* 10341 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10350 +/* 10346 */ MCD_OPC_Decode, 154, 9, 63, // Opcode: VMAX_H +/* 10350 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10359 +/* 10355 */ MCD_OPC_Decode, 156, 9, 63, // Opcode: VMAX_W +/* 10359 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10368 +/* 10364 */ MCD_OPC_Decode, 152, 9, 63, // Opcode: VMAX_D +/* 10368 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10377 +/* 10373 */ MCD_OPC_Decode, 166, 9, 63, // Opcode: VMIN_B +/* 10377 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10386 +/* 10382 */ MCD_OPC_Decode, 170, 9, 63, // Opcode: VMIN_H +/* 10386 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10395 +/* 10391 */ MCD_OPC_Decode, 172, 9, 63, // Opcode: VMIN_W +/* 10395 */ MCD_OPC_FilterValue, 7, 222, 54, 0, // Skip to: 24446 +/* 10400 */ MCD_OPC_Decode, 168, 9, 63, // Opcode: VMIN_D +/* 10404 */ MCD_OPC_FilterValue, 29, 75, 0, 0, // Skip to: 10484 +/* 10409 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10412 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10421 +/* 10417 */ MCD_OPC_Decode, 151, 9, 63, // Opcode: VMAX_BU +/* 10421 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10430 +/* 10426 */ MCD_OPC_Decode, 155, 9, 63, // Opcode: VMAX_HU +/* 10430 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10439 +/* 10435 */ MCD_OPC_Decode, 157, 9, 63, // Opcode: VMAX_WU +/* 10439 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10448 +/* 10444 */ MCD_OPC_Decode, 153, 9, 63, // Opcode: VMAX_DU +/* 10448 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10457 +/* 10453 */ MCD_OPC_Decode, 167, 9, 63, // Opcode: VMIN_BU +/* 10457 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10466 +/* 10462 */ MCD_OPC_Decode, 171, 9, 63, // Opcode: VMIN_HU +/* 10466 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10475 +/* 10471 */ MCD_OPC_Decode, 173, 9, 63, // Opcode: VMIN_WU +/* 10475 */ MCD_OPC_FilterValue, 7, 142, 54, 0, // Skip to: 24446 +/* 10480 */ MCD_OPC_Decode, 169, 9, 63, // Opcode: VMIN_DU +/* 10484 */ MCD_OPC_FilterValue, 33, 75, 0, 0, // Skip to: 10564 +/* 10489 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10492 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10501 +/* 10497 */ MCD_OPC_Decode, 224, 9, 63, // Opcode: VMUL_B +/* 10501 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10510 +/* 10506 */ MCD_OPC_Decode, 226, 9, 63, // Opcode: VMUL_H +/* 10510 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10519 +/* 10515 */ MCD_OPC_Decode, 227, 9, 63, // Opcode: VMUL_W +/* 10519 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10528 +/* 10524 */ MCD_OPC_Decode, 225, 9, 63, // Opcode: VMUL_D +/* 10528 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10537 +/* 10533 */ MCD_OPC_Decode, 192, 9, 63, // Opcode: VMUH_B +/* 10537 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10546 +/* 10542 */ MCD_OPC_Decode, 196, 9, 63, // Opcode: VMUH_H +/* 10546 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10555 +/* 10551 */ MCD_OPC_Decode, 198, 9, 63, // Opcode: VMUH_W +/* 10555 */ MCD_OPC_FilterValue, 7, 62, 54, 0, // Skip to: 24446 +/* 10560 */ MCD_OPC_Decode, 194, 9, 63, // Opcode: VMUH_D +/* 10564 */ MCD_OPC_FilterValue, 34, 39, 0, 0, // Skip to: 10608 +/* 10569 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10572 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10581 +/* 10577 */ MCD_OPC_Decode, 193, 9, 63, // Opcode: VMUH_BU +/* 10581 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10590 +/* 10586 */ MCD_OPC_Decode, 197, 9, 63, // Opcode: VMUH_HU +/* 10590 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10599 +/* 10595 */ MCD_OPC_Decode, 199, 9, 63, // Opcode: VMUH_WU +/* 10599 */ MCD_OPC_FilterValue, 3, 18, 54, 0, // Skip to: 24446 +/* 10604 */ MCD_OPC_Decode, 195, 9, 63, // Opcode: VMUH_DU +/* 10608 */ MCD_OPC_FilterValue, 36, 75, 0, 0, // Skip to: 10688 +/* 10613 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10616 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10625 +/* 10621 */ MCD_OPC_Decode, 203, 9, 63, // Opcode: VMULWEV_H_B +/* 10625 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10634 +/* 10630 */ MCD_OPC_Decode, 209, 9, 63, // Opcode: VMULWEV_W_H +/* 10634 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10643 +/* 10639 */ MCD_OPC_Decode, 200, 9, 63, // Opcode: VMULWEV_D_W +/* 10643 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10652 +/* 10648 */ MCD_OPC_Decode, 206, 9, 63, // Opcode: VMULWEV_Q_D +/* 10652 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10661 +/* 10657 */ MCD_OPC_Decode, 215, 9, 63, // Opcode: VMULWOD_H_B +/* 10661 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10670 +/* 10666 */ MCD_OPC_Decode, 221, 9, 63, // Opcode: VMULWOD_W_H +/* 10670 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10679 +/* 10675 */ MCD_OPC_Decode, 212, 9, 63, // Opcode: VMULWOD_D_W +/* 10679 */ MCD_OPC_FilterValue, 7, 194, 53, 0, // Skip to: 24446 +/* 10684 */ MCD_OPC_Decode, 218, 9, 63, // Opcode: VMULWOD_Q_D +/* 10688 */ MCD_OPC_FilterValue, 38, 75, 0, 0, // Skip to: 10768 +/* 10693 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10696 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10705 +/* 10701 */ MCD_OPC_Decode, 204, 9, 63, // Opcode: VMULWEV_H_BU +/* 10705 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10714 +/* 10710 */ MCD_OPC_Decode, 210, 9, 63, // Opcode: VMULWEV_W_HU +/* 10714 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10723 +/* 10719 */ MCD_OPC_Decode, 201, 9, 63, // Opcode: VMULWEV_D_WU +/* 10723 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10732 +/* 10728 */ MCD_OPC_Decode, 207, 9, 63, // Opcode: VMULWEV_Q_DU +/* 10732 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10741 +/* 10737 */ MCD_OPC_Decode, 216, 9, 63, // Opcode: VMULWOD_H_BU +/* 10741 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10750 +/* 10746 */ MCD_OPC_Decode, 222, 9, 63, // Opcode: VMULWOD_W_HU +/* 10750 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10759 +/* 10755 */ MCD_OPC_Decode, 213, 9, 63, // Opcode: VMULWOD_D_WU +/* 10759 */ MCD_OPC_FilterValue, 7, 114, 53, 0, // Skip to: 24446 +/* 10764 */ MCD_OPC_Decode, 219, 9, 63, // Opcode: VMULWOD_Q_DU +/* 10768 */ MCD_OPC_FilterValue, 40, 75, 0, 0, // Skip to: 10848 +/* 10773 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10776 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10785 +/* 10781 */ MCD_OPC_Decode, 205, 9, 63, // Opcode: VMULWEV_H_BU_B +/* 10785 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10794 +/* 10790 */ MCD_OPC_Decode, 211, 9, 63, // Opcode: VMULWEV_W_HU_H +/* 10794 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10803 +/* 10799 */ MCD_OPC_Decode, 202, 9, 63, // Opcode: VMULWEV_D_WU_W +/* 10803 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10812 +/* 10808 */ MCD_OPC_Decode, 208, 9, 63, // Opcode: VMULWEV_Q_DU_D +/* 10812 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10821 +/* 10817 */ MCD_OPC_Decode, 217, 9, 63, // Opcode: VMULWOD_H_BU_B +/* 10821 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10830 +/* 10826 */ MCD_OPC_Decode, 223, 9, 63, // Opcode: VMULWOD_W_HU_H +/* 10830 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10839 +/* 10835 */ MCD_OPC_Decode, 214, 9, 63, // Opcode: VMULWOD_D_WU_W +/* 10839 */ MCD_OPC_FilterValue, 7, 34, 53, 0, // Skip to: 24446 +/* 10844 */ MCD_OPC_Decode, 220, 9, 63, // Opcode: VMULWOD_Q_DU_D +/* 10848 */ MCD_OPC_FilterValue, 42, 75, 0, 0, // Skip to: 10928 +/* 10853 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10856 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10865 +/* 10861 */ MCD_OPC_Decode, 138, 9, 103, // Opcode: VMADD_B +/* 10865 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10874 +/* 10870 */ MCD_OPC_Decode, 140, 9, 103, // Opcode: VMADD_H +/* 10874 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10883 +/* 10879 */ MCD_OPC_Decode, 141, 9, 103, // Opcode: VMADD_W +/* 10883 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10892 +/* 10888 */ MCD_OPC_Decode, 139, 9, 103, // Opcode: VMADD_D +/* 10892 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10901 +/* 10897 */ MCD_OPC_Decode, 188, 9, 103, // Opcode: VMSUB_B +/* 10901 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10910 +/* 10906 */ MCD_OPC_Decode, 190, 9, 103, // Opcode: VMSUB_H +/* 10910 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10919 +/* 10915 */ MCD_OPC_Decode, 191, 9, 103, // Opcode: VMSUB_W +/* 10919 */ MCD_OPC_FilterValue, 7, 210, 52, 0, // Skip to: 24446 +/* 10924 */ MCD_OPC_Decode, 189, 9, 103, // Opcode: VMSUB_D +/* 10928 */ MCD_OPC_FilterValue, 43, 75, 0, 0, // Skip to: 11008 +/* 10933 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 10936 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 10945 +/* 10941 */ MCD_OPC_Decode, 245, 8, 103, // Opcode: VMADDWEV_H_B +/* 10945 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 10954 +/* 10950 */ MCD_OPC_Decode, 251, 8, 103, // Opcode: VMADDWEV_W_H +/* 10954 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 10963 +/* 10959 */ MCD_OPC_Decode, 242, 8, 103, // Opcode: VMADDWEV_D_W +/* 10963 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 10972 +/* 10968 */ MCD_OPC_Decode, 248, 8, 103, // Opcode: VMADDWEV_Q_D +/* 10972 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 10981 +/* 10977 */ MCD_OPC_Decode, 129, 9, 103, // Opcode: VMADDWOD_H_B +/* 10981 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 10990 +/* 10986 */ MCD_OPC_Decode, 135, 9, 103, // Opcode: VMADDWOD_W_H +/* 10990 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 10999 +/* 10995 */ MCD_OPC_Decode, 254, 8, 103, // Opcode: VMADDWOD_D_W +/* 10999 */ MCD_OPC_FilterValue, 7, 130, 52, 0, // Skip to: 24446 +/* 11004 */ MCD_OPC_Decode, 132, 9, 103, // Opcode: VMADDWOD_Q_D +/* 11008 */ MCD_OPC_FilterValue, 45, 75, 0, 0, // Skip to: 11088 +/* 11013 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11016 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 11025 +/* 11021 */ MCD_OPC_Decode, 246, 8, 103, // Opcode: VMADDWEV_H_BU +/* 11025 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11034 +/* 11030 */ MCD_OPC_Decode, 252, 8, 103, // Opcode: VMADDWEV_W_HU +/* 11034 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11043 +/* 11039 */ MCD_OPC_Decode, 243, 8, 103, // Opcode: VMADDWEV_D_WU +/* 11043 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11052 +/* 11048 */ MCD_OPC_Decode, 249, 8, 103, // Opcode: VMADDWEV_Q_DU +/* 11052 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 11061 +/* 11057 */ MCD_OPC_Decode, 130, 9, 103, // Opcode: VMADDWOD_H_BU +/* 11061 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11070 +/* 11066 */ MCD_OPC_Decode, 136, 9, 103, // Opcode: VMADDWOD_W_HU +/* 11070 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11079 +/* 11075 */ MCD_OPC_Decode, 255, 8, 103, // Opcode: VMADDWOD_D_WU +/* 11079 */ MCD_OPC_FilterValue, 7, 50, 52, 0, // Skip to: 24446 +/* 11084 */ MCD_OPC_Decode, 133, 9, 103, // Opcode: VMADDWOD_Q_DU +/* 11088 */ MCD_OPC_FilterValue, 47, 75, 0, 0, // Skip to: 11168 +/* 11093 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11096 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 11105 +/* 11101 */ MCD_OPC_Decode, 247, 8, 103, // Opcode: VMADDWEV_H_BU_B +/* 11105 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11114 +/* 11110 */ MCD_OPC_Decode, 253, 8, 103, // Opcode: VMADDWEV_W_HU_H +/* 11114 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11123 +/* 11119 */ MCD_OPC_Decode, 244, 8, 103, // Opcode: VMADDWEV_D_WU_W +/* 11123 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11132 +/* 11128 */ MCD_OPC_Decode, 250, 8, 103, // Opcode: VMADDWEV_Q_DU_D +/* 11132 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 11141 +/* 11137 */ MCD_OPC_Decode, 131, 9, 103, // Opcode: VMADDWOD_H_BU_B +/* 11141 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11150 +/* 11146 */ MCD_OPC_Decode, 137, 9, 103, // Opcode: VMADDWOD_W_HU_H +/* 11150 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11159 +/* 11155 */ MCD_OPC_Decode, 128, 9, 103, // Opcode: VMADDWOD_D_WU_W +/* 11159 */ MCD_OPC_FilterValue, 7, 226, 51, 0, // Skip to: 24446 +/* 11164 */ MCD_OPC_Decode, 134, 9, 103, // Opcode: VMADDWOD_Q_DU_D +/* 11168 */ MCD_OPC_FilterValue, 56, 75, 0, 0, // Skip to: 11248 +/* 11173 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11176 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 11185 +/* 11181 */ MCD_OPC_Decode, 163, 7, 63, // Opcode: VDIV_B +/* 11185 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11194 +/* 11190 */ MCD_OPC_Decode, 167, 7, 63, // Opcode: VDIV_H +/* 11194 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11203 +/* 11199 */ MCD_OPC_Decode, 169, 7, 63, // Opcode: VDIV_W +/* 11203 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11212 +/* 11208 */ MCD_OPC_Decode, 165, 7, 63, // Opcode: VDIV_D +/* 11212 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 11221 +/* 11217 */ MCD_OPC_Decode, 174, 9, 63, // Opcode: VMOD_B +/* 11221 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11230 +/* 11226 */ MCD_OPC_Decode, 178, 9, 63, // Opcode: VMOD_H +/* 11230 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11239 +/* 11235 */ MCD_OPC_Decode, 180, 9, 63, // Opcode: VMOD_W +/* 11239 */ MCD_OPC_FilterValue, 7, 146, 51, 0, // Skip to: 24446 +/* 11244 */ MCD_OPC_Decode, 176, 9, 63, // Opcode: VMOD_D +/* 11248 */ MCD_OPC_FilterValue, 57, 75, 0, 0, // Skip to: 11328 +/* 11253 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11256 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 11265 +/* 11261 */ MCD_OPC_Decode, 164, 7, 63, // Opcode: VDIV_BU +/* 11265 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11274 +/* 11270 */ MCD_OPC_Decode, 168, 7, 63, // Opcode: VDIV_HU +/* 11274 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11283 +/* 11279 */ MCD_OPC_Decode, 170, 7, 63, // Opcode: VDIV_WU +/* 11283 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11292 +/* 11288 */ MCD_OPC_Decode, 166, 7, 63, // Opcode: VDIV_DU +/* 11292 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 11301 +/* 11297 */ MCD_OPC_Decode, 175, 9, 63, // Opcode: VMOD_BU +/* 11301 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11310 +/* 11306 */ MCD_OPC_Decode, 179, 9, 63, // Opcode: VMOD_HU +/* 11310 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11319 +/* 11315 */ MCD_OPC_Decode, 181, 9, 63, // Opcode: VMOD_WU +/* 11319 */ MCD_OPC_FilterValue, 7, 66, 51, 0, // Skip to: 24446 +/* 11324 */ MCD_OPC_Decode, 177, 9, 63, // Opcode: VMOD_DU +/* 11328 */ MCD_OPC_FilterValue, 58, 75, 0, 0, // Skip to: 11408 +/* 11333 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11336 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 11345 +/* 11341 */ MCD_OPC_Decode, 230, 10, 63, // Opcode: VSLL_B +/* 11345 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11354 +/* 11350 */ MCD_OPC_Decode, 232, 10, 63, // Opcode: VSLL_H +/* 11354 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11363 +/* 11359 */ MCD_OPC_Decode, 233, 10, 63, // Opcode: VSLL_W +/* 11363 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11372 +/* 11368 */ MCD_OPC_Decode, 231, 10, 63, // Opcode: VSLL_D +/* 11372 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 11381 +/* 11377 */ MCD_OPC_Decode, 178, 11, 63, // Opcode: VSRL_B +/* 11381 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11390 +/* 11386 */ MCD_OPC_Decode, 180, 11, 63, // Opcode: VSRL_H +/* 11390 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11399 +/* 11395 */ MCD_OPC_Decode, 181, 11, 63, // Opcode: VSRL_W +/* 11399 */ MCD_OPC_FilterValue, 7, 242, 50, 0, // Skip to: 24446 +/* 11404 */ MCD_OPC_Decode, 179, 11, 63, // Opcode: VSRL_D +/* 11408 */ MCD_OPC_FilterValue, 59, 75, 0, 0, // Skip to: 11488 +/* 11413 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11416 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 11425 +/* 11421 */ MCD_OPC_Decode, 148, 11, 63, // Opcode: VSRA_B +/* 11425 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11434 +/* 11430 */ MCD_OPC_Decode, 150, 11, 63, // Opcode: VSRA_H +/* 11434 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11443 +/* 11439 */ MCD_OPC_Decode, 151, 11, 63, // Opcode: VSRA_W +/* 11443 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11452 +/* 11448 */ MCD_OPC_Decode, 149, 11, 63, // Opcode: VSRA_D +/* 11452 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 11461 +/* 11457 */ MCD_OPC_Decode, 154, 10, 63, // Opcode: VROTR_B +/* 11461 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11470 +/* 11466 */ MCD_OPC_Decode, 156, 10, 63, // Opcode: VROTR_H +/* 11470 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11479 +/* 11475 */ MCD_OPC_Decode, 157, 10, 63, // Opcode: VROTR_W +/* 11479 */ MCD_OPC_FilterValue, 7, 162, 50, 0, // Skip to: 24446 +/* 11484 */ MCD_OPC_Decode, 155, 10, 63, // Opcode: VROTR_D +/* 11488 */ MCD_OPC_FilterValue, 60, 75, 0, 0, // Skip to: 11568 +/* 11493 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11496 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 11505 +/* 11501 */ MCD_OPC_Decode, 174, 11, 63, // Opcode: VSRLR_B +/* 11505 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11514 +/* 11510 */ MCD_OPC_Decode, 176, 11, 63, // Opcode: VSRLR_H +/* 11514 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11523 +/* 11519 */ MCD_OPC_Decode, 177, 11, 63, // Opcode: VSRLR_W +/* 11523 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11532 +/* 11528 */ MCD_OPC_Decode, 175, 11, 63, // Opcode: VSRLR_D +/* 11532 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 11541 +/* 11537 */ MCD_OPC_Decode, 144, 11, 63, // Opcode: VSRAR_B +/* 11541 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11550 +/* 11546 */ MCD_OPC_Decode, 146, 11, 63, // Opcode: VSRAR_H +/* 11550 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11559 +/* 11555 */ MCD_OPC_Decode, 147, 11, 63, // Opcode: VSRAR_W +/* 11559 */ MCD_OPC_FilterValue, 7, 82, 50, 0, // Skip to: 24446 +/* 11564 */ MCD_OPC_Decode, 145, 11, 63, // Opcode: VSRAR_D +/* 11568 */ MCD_OPC_FilterValue, 61, 57, 0, 0, // Skip to: 11630 +/* 11573 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11576 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11585 +/* 11581 */ MCD_OPC_Decode, 160, 11, 63, // Opcode: VSRLN_B_H +/* 11585 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11594 +/* 11590 */ MCD_OPC_Decode, 161, 11, 63, // Opcode: VSRLN_H_W +/* 11594 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11603 +/* 11599 */ MCD_OPC_Decode, 162, 11, 63, // Opcode: VSRLN_W_D +/* 11603 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11612 +/* 11608 */ MCD_OPC_Decode, 130, 11, 63, // Opcode: VSRAN_B_H +/* 11612 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11621 +/* 11617 */ MCD_OPC_Decode, 131, 11, 63, // Opcode: VSRAN_H_W +/* 11621 */ MCD_OPC_FilterValue, 7, 20, 50, 0, // Skip to: 24446 +/* 11626 */ MCD_OPC_Decode, 132, 11, 63, // Opcode: VSRAN_W_D +/* 11630 */ MCD_OPC_FilterValue, 62, 57, 0, 0, // Skip to: 11692 +/* 11635 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11638 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11647 +/* 11643 */ MCD_OPC_Decode, 171, 11, 63, // Opcode: VSRLRN_B_H +/* 11647 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11656 +/* 11652 */ MCD_OPC_Decode, 172, 11, 63, // Opcode: VSRLRN_H_W +/* 11656 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11665 +/* 11661 */ MCD_OPC_Decode, 173, 11, 63, // Opcode: VSRLRN_W_D +/* 11665 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11674 +/* 11670 */ MCD_OPC_Decode, 141, 11, 63, // Opcode: VSRARN_B_H +/* 11674 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11683 +/* 11679 */ MCD_OPC_Decode, 142, 11, 63, // Opcode: VSRARN_H_W +/* 11683 */ MCD_OPC_FilterValue, 7, 214, 49, 0, // Skip to: 24446 +/* 11688 */ MCD_OPC_Decode, 143, 11, 63, // Opcode: VSRARN_W_D +/* 11692 */ MCD_OPC_FilterValue, 63, 57, 0, 0, // Skip to: 11754 +/* 11697 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11700 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11709 +/* 11705 */ MCD_OPC_Decode, 219, 11, 63, // Opcode: VSSRLN_B_H +/* 11709 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11718 +/* 11714 */ MCD_OPC_Decode, 221, 11, 63, // Opcode: VSSRLN_H_W +/* 11718 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11727 +/* 11723 */ MCD_OPC_Decode, 223, 11, 63, // Opcode: VSSRLN_W_D +/* 11727 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11736 +/* 11732 */ MCD_OPC_Decode, 191, 11, 63, // Opcode: VSSRAN_B_H +/* 11736 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11745 +/* 11741 */ MCD_OPC_Decode, 193, 11, 63, // Opcode: VSSRAN_H_W +/* 11745 */ MCD_OPC_FilterValue, 7, 152, 49, 0, // Skip to: 24446 +/* 11750 */ MCD_OPC_Decode, 195, 11, 63, // Opcode: VSSRAN_W_D +/* 11754 */ MCD_OPC_FilterValue, 64, 57, 0, 0, // Skip to: 11816 +/* 11759 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11762 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11771 +/* 11767 */ MCD_OPC_Decode, 233, 11, 63, // Opcode: VSSRLRN_B_H +/* 11771 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11780 +/* 11776 */ MCD_OPC_Decode, 235, 11, 63, // Opcode: VSSRLRN_H_W +/* 11780 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11789 +/* 11785 */ MCD_OPC_Decode, 237, 11, 63, // Opcode: VSSRLRN_W_D +/* 11789 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11798 +/* 11794 */ MCD_OPC_Decode, 205, 11, 63, // Opcode: VSSRARN_B_H +/* 11798 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11807 +/* 11803 */ MCD_OPC_Decode, 207, 11, 63, // Opcode: VSSRARN_H_W +/* 11807 */ MCD_OPC_FilterValue, 7, 90, 49, 0, // Skip to: 24446 +/* 11812 */ MCD_OPC_Decode, 209, 11, 63, // Opcode: VSSRARN_W_D +/* 11816 */ MCD_OPC_FilterValue, 65, 57, 0, 0, // Skip to: 11878 +/* 11821 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11824 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11833 +/* 11829 */ MCD_OPC_Decode, 218, 11, 63, // Opcode: VSSRLN_BU_H +/* 11833 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11842 +/* 11838 */ MCD_OPC_Decode, 220, 11, 63, // Opcode: VSSRLN_HU_W +/* 11842 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11851 +/* 11847 */ MCD_OPC_Decode, 222, 11, 63, // Opcode: VSSRLN_WU_D +/* 11851 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11860 +/* 11856 */ MCD_OPC_Decode, 190, 11, 63, // Opcode: VSSRAN_BU_H +/* 11860 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11869 +/* 11865 */ MCD_OPC_Decode, 192, 11, 63, // Opcode: VSSRAN_HU_W +/* 11869 */ MCD_OPC_FilterValue, 7, 28, 49, 0, // Skip to: 24446 +/* 11874 */ MCD_OPC_Decode, 194, 11, 63, // Opcode: VSSRAN_WU_D +/* 11878 */ MCD_OPC_FilterValue, 66, 57, 0, 0, // Skip to: 11940 +/* 11883 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11886 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11895 +/* 11891 */ MCD_OPC_Decode, 232, 11, 63, // Opcode: VSSRLRN_BU_H +/* 11895 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11904 +/* 11900 */ MCD_OPC_Decode, 234, 11, 63, // Opcode: VSSRLRN_HU_W +/* 11904 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11913 +/* 11909 */ MCD_OPC_Decode, 236, 11, 63, // Opcode: VSSRLRN_WU_D +/* 11913 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 11922 +/* 11918 */ MCD_OPC_Decode, 204, 11, 63, // Opcode: VSSRARN_BU_H +/* 11922 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 11931 +/* 11927 */ MCD_OPC_Decode, 206, 11, 63, // Opcode: VSSRARN_HU_W +/* 11931 */ MCD_OPC_FilterValue, 7, 222, 48, 0, // Skip to: 24446 +/* 11936 */ MCD_OPC_Decode, 208, 11, 63, // Opcode: VSSRARN_WU_D +/* 11940 */ MCD_OPC_FilterValue, 67, 75, 0, 0, // Skip to: 12020 +/* 11945 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 11948 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 11957 +/* 11953 */ MCD_OPC_Decode, 131, 7, 63, // Opcode: VBITCLR_B +/* 11957 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 11966 +/* 11962 */ MCD_OPC_Decode, 133, 7, 63, // Opcode: VBITCLR_H +/* 11966 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 11975 +/* 11971 */ MCD_OPC_Decode, 134, 7, 63, // Opcode: VBITCLR_W +/* 11975 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 11984 +/* 11980 */ MCD_OPC_Decode, 132, 7, 63, // Opcode: VBITCLR_D +/* 11984 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 11993 +/* 11989 */ MCD_OPC_Decode, 149, 7, 63, // Opcode: VBITSET_B +/* 11993 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12002 +/* 11998 */ MCD_OPC_Decode, 151, 7, 63, // Opcode: VBITSET_H +/* 12002 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12011 +/* 12007 */ MCD_OPC_Decode, 152, 7, 63, // Opcode: VBITSET_W +/* 12011 */ MCD_OPC_FilterValue, 7, 142, 48, 0, // Skip to: 24446 +/* 12016 */ MCD_OPC_Decode, 150, 7, 63, // Opcode: VBITSET_D +/* 12020 */ MCD_OPC_FilterValue, 68, 39, 0, 0, // Skip to: 12064 +/* 12025 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12028 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12037 +/* 12033 */ MCD_OPC_Decode, 139, 7, 63, // Opcode: VBITREV_B +/* 12037 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12046 +/* 12042 */ MCD_OPC_Decode, 141, 7, 63, // Opcode: VBITREV_H +/* 12046 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12055 +/* 12051 */ MCD_OPC_Decode, 142, 7, 63, // Opcode: VBITREV_W +/* 12055 */ MCD_OPC_FilterValue, 3, 98, 48, 0, // Skip to: 24446 +/* 12060 */ MCD_OPC_Decode, 140, 7, 63, // Opcode: VBITREV_D +/* 12064 */ MCD_OPC_FilterValue, 69, 39, 0, 0, // Skip to: 12108 +/* 12069 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12072 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12081 +/* 12077 */ MCD_OPC_Decode, 237, 9, 63, // Opcode: VPACKEV_B +/* 12081 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12090 +/* 12086 */ MCD_OPC_Decode, 239, 9, 63, // Opcode: VPACKEV_H +/* 12090 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12099 +/* 12095 */ MCD_OPC_Decode, 240, 9, 63, // Opcode: VPACKEV_W +/* 12099 */ MCD_OPC_FilterValue, 7, 54, 48, 0, // Skip to: 24446 +/* 12104 */ MCD_OPC_Decode, 238, 9, 63, // Opcode: VPACKEV_D +/* 12108 */ MCD_OPC_FilterValue, 70, 75, 0, 0, // Skip to: 12188 +/* 12113 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12116 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12125 +/* 12121 */ MCD_OPC_Decode, 241, 9, 63, // Opcode: VPACKOD_B +/* 12125 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12134 +/* 12130 */ MCD_OPC_Decode, 243, 9, 63, // Opcode: VPACKOD_H +/* 12134 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12143 +/* 12139 */ MCD_OPC_Decode, 244, 9, 63, // Opcode: VPACKOD_W +/* 12143 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 12152 +/* 12148 */ MCD_OPC_Decode, 242, 9, 63, // Opcode: VPACKOD_D +/* 12152 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12161 +/* 12157 */ MCD_OPC_Decode, 227, 8, 63, // Opcode: VILVL_B +/* 12161 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12170 +/* 12166 */ MCD_OPC_Decode, 229, 8, 63, // Opcode: VILVL_H +/* 12170 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12179 +/* 12175 */ MCD_OPC_Decode, 230, 8, 63, // Opcode: VILVL_W +/* 12179 */ MCD_OPC_FilterValue, 7, 230, 47, 0, // Skip to: 24446 +/* 12184 */ MCD_OPC_Decode, 228, 8, 63, // Opcode: VILVL_D +/* 12188 */ MCD_OPC_FilterValue, 71, 75, 0, 0, // Skip to: 12268 +/* 12193 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12196 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12205 +/* 12201 */ MCD_OPC_Decode, 223, 8, 63, // Opcode: VILVH_B +/* 12205 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12214 +/* 12210 */ MCD_OPC_Decode, 225, 8, 63, // Opcode: VILVH_H +/* 12214 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12223 +/* 12219 */ MCD_OPC_Decode, 226, 8, 63, // Opcode: VILVH_W +/* 12223 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 12232 +/* 12228 */ MCD_OPC_Decode, 224, 8, 63, // Opcode: VILVH_D +/* 12232 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12241 +/* 12237 */ MCD_OPC_Decode, 250, 9, 63, // Opcode: VPICKEV_B +/* 12241 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12250 +/* 12246 */ MCD_OPC_Decode, 252, 9, 63, // Opcode: VPICKEV_H +/* 12250 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12259 +/* 12255 */ MCD_OPC_Decode, 253, 9, 63, // Opcode: VPICKEV_W +/* 12259 */ MCD_OPC_FilterValue, 7, 150, 47, 0, // Skip to: 24446 +/* 12264 */ MCD_OPC_Decode, 251, 9, 63, // Opcode: VPICKEV_D +/* 12268 */ MCD_OPC_FilterValue, 72, 75, 0, 0, // Skip to: 12348 +/* 12273 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12276 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12285 +/* 12281 */ MCD_OPC_Decode, 254, 9, 63, // Opcode: VPICKOD_B +/* 12285 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12294 +/* 12290 */ MCD_OPC_Decode, 128, 10, 63, // Opcode: VPICKOD_H +/* 12294 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12303 +/* 12299 */ MCD_OPC_Decode, 129, 10, 63, // Opcode: VPICKOD_W +/* 12303 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 12312 +/* 12308 */ MCD_OPC_Decode, 255, 9, 63, // Opcode: VPICKOD_D +/* 12312 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12321 +/* 12317 */ MCD_OPC_Decode, 146, 10, 104, // Opcode: VREPLVE_B +/* 12321 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12330 +/* 12326 */ MCD_OPC_Decode, 148, 10, 104, // Opcode: VREPLVE_H +/* 12330 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12339 +/* 12335 */ MCD_OPC_Decode, 149, 10, 104, // Opcode: VREPLVE_W +/* 12339 */ MCD_OPC_FilterValue, 7, 70, 47, 0, // Skip to: 24446 +/* 12344 */ MCD_OPC_Decode, 147, 10, 104, // Opcode: VREPLVE_D +/* 12348 */ MCD_OPC_FilterValue, 73, 39, 0, 0, // Skip to: 12392 +/* 12353 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12356 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12365 +/* 12361 */ MCD_OPC_Decode, 238, 6, 63, // Opcode: VAND_V +/* 12365 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12374 +/* 12370 */ MCD_OPC_Decode, 236, 9, 63, // Opcode: VOR_V +/* 12374 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12383 +/* 12379 */ MCD_OPC_Decode, 150, 12, 63, // Opcode: VXOR_V +/* 12383 */ MCD_OPC_FilterValue, 7, 26, 47, 0, // Skip to: 24446 +/* 12388 */ MCD_OPC_Decode, 233, 9, 63, // Opcode: VNOR_V +/* 12392 */ MCD_OPC_FilterValue, 74, 39, 0, 0, // Skip to: 12436 +/* 12397 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12400 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12409 +/* 12405 */ MCD_OPC_Decode, 237, 6, 63, // Opcode: VANDN_V +/* 12409 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12418 +/* 12414 */ MCD_OPC_Decode, 235, 9, 63, // Opcode: VORN_V +/* 12418 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12427 +/* 12423 */ MCD_OPC_Decode, 172, 8, 103, // Opcode: VFRSTP_B +/* 12427 */ MCD_OPC_FilterValue, 7, 238, 46, 0, // Skip to: 24446 +/* 12432 */ MCD_OPC_Decode, 173, 8, 103, // Opcode: VFRSTP_H +/* 12436 */ MCD_OPC_FilterValue, 75, 57, 0, 0, // Skip to: 12498 +/* 12441 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12444 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12453 +/* 12449 */ MCD_OPC_Decode, 234, 6, 63, // Opcode: VADD_Q +/* 12453 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 12462 +/* 12458 */ MCD_OPC_Decode, 147, 12, 63, // Opcode: VSUB_Q +/* 12462 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12471 +/* 12467 */ MCD_OPC_Decode, 200, 10, 63, // Opcode: VSIGNCOV_B +/* 12471 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12480 +/* 12476 */ MCD_OPC_Decode, 202, 10, 63, // Opcode: VSIGNCOV_H +/* 12480 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12489 +/* 12485 */ MCD_OPC_Decode, 203, 10, 63, // Opcode: VSIGNCOV_W +/* 12489 */ MCD_OPC_FilterValue, 7, 176, 46, 0, // Skip to: 24446 +/* 12494 */ MCD_OPC_Decode, 201, 10, 63, // Opcode: VSIGNCOV_D +/* 12498 */ MCD_OPC_FilterValue, 76, 39, 0, 0, // Skip to: 12542 +/* 12503 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12506 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12515 +/* 12511 */ MCD_OPC_Decode, 198, 7, 63, // Opcode: VFADD_S +/* 12515 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12524 +/* 12520 */ MCD_OPC_Decode, 197, 7, 63, // Opcode: VFADD_D +/* 12524 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12533 +/* 12529 */ MCD_OPC_Decode, 177, 8, 63, // Opcode: VFSUB_S +/* 12533 */ MCD_OPC_FilterValue, 6, 132, 46, 0, // Skip to: 24446 +/* 12538 */ MCD_OPC_Decode, 176, 8, 63, // Opcode: VFSUB_D +/* 12542 */ MCD_OPC_FilterValue, 78, 39, 0, 0, // Skip to: 12586 +/* 12547 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12550 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12559 +/* 12555 */ MCD_OPC_Decode, 147, 8, 63, // Opcode: VFMUL_S +/* 12559 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12568 +/* 12564 */ MCD_OPC_Decode, 146, 8, 63, // Opcode: VFMUL_D +/* 12568 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12577 +/* 12573 */ MCD_OPC_Decode, 252, 7, 63, // Opcode: VFDIV_S +/* 12577 */ MCD_OPC_FilterValue, 6, 88, 46, 0, // Skip to: 24446 +/* 12582 */ MCD_OPC_Decode, 251, 7, 63, // Opcode: VFDIV_D +/* 12586 */ MCD_OPC_FilterValue, 79, 39, 0, 0, // Skip to: 12630 +/* 12591 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12594 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12603 +/* 12599 */ MCD_OPC_Decode, 139, 8, 63, // Opcode: VFMAX_S +/* 12603 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12612 +/* 12608 */ MCD_OPC_Decode, 138, 8, 63, // Opcode: VFMAX_D +/* 12612 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12621 +/* 12617 */ MCD_OPC_Decode, 143, 8, 63, // Opcode: VFMIN_S +/* 12621 */ MCD_OPC_FilterValue, 6, 44, 46, 0, // Skip to: 24446 +/* 12626 */ MCD_OPC_Decode, 142, 8, 63, // Opcode: VFMIN_D +/* 12630 */ MCD_OPC_FilterValue, 80, 39, 0, 0, // Skip to: 12674 +/* 12635 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12638 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12647 +/* 12643 */ MCD_OPC_Decode, 137, 8, 63, // Opcode: VFMAXA_S +/* 12647 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12656 +/* 12652 */ MCD_OPC_Decode, 136, 8, 63, // Opcode: VFMAXA_D +/* 12656 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12665 +/* 12661 */ MCD_OPC_Decode, 141, 8, 63, // Opcode: VFMINA_S +/* 12665 */ MCD_OPC_FilterValue, 6, 0, 46, 0, // Skip to: 24446 +/* 12670 */ MCD_OPC_Decode, 140, 8, 63, // Opcode: VFMINA_D +/* 12674 */ MCD_OPC_FilterValue, 81, 21, 0, 0, // Skip to: 12700 +/* 12679 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12682 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12691 +/* 12687 */ MCD_OPC_Decode, 249, 7, 63, // Opcode: VFCVT_H_S +/* 12691 */ MCD_OPC_FilterValue, 5, 230, 45, 0, // Skip to: 24446 +/* 12696 */ MCD_OPC_Decode, 250, 7, 63, // Opcode: VFCVT_S_D +/* 12700 */ MCD_OPC_FilterValue, 82, 57, 0, 0, // Skip to: 12762 +/* 12705 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12708 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12717 +/* 12713 */ MCD_OPC_Decode, 129, 8, 63, // Opcode: VFFINT_S_L +/* 12717 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 12726 +/* 12722 */ MCD_OPC_Decode, 205, 8, 63, // Opcode: VFTINT_W_D +/* 12726 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12735 +/* 12731 */ MCD_OPC_Decode, 183, 8, 63, // Opcode: VFTINTRM_W_D +/* 12735 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12744 +/* 12740 */ MCD_OPC_Decode, 193, 8, 63, // Opcode: VFTINTRP_W_D +/* 12744 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12753 +/* 12749 */ MCD_OPC_Decode, 200, 8, 63, // Opcode: VFTINTRZ_W_D +/* 12753 */ MCD_OPC_FilterValue, 7, 168, 45, 0, // Skip to: 24446 +/* 12758 */ MCD_OPC_Decode, 188, 8, 63, // Opcode: VFTINTRNE_W_D +/* 12762 */ MCD_OPC_FilterValue, 94, 30, 0, 0, // Skip to: 12797 +/* 12767 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12770 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12779 +/* 12775 */ MCD_OPC_Decode, 198, 10, 103, // Opcode: VSHUF_H +/* 12779 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12788 +/* 12784 */ MCD_OPC_Decode, 199, 10, 103, // Opcode: VSHUF_W +/* 12788 */ MCD_OPC_FilterValue, 7, 133, 45, 0, // Skip to: 24446 +/* 12793 */ MCD_OPC_Decode, 197, 10, 103, // Opcode: VSHUF_D +/* 12797 */ MCD_OPC_FilterValue, 160, 1, 75, 0, 0, // Skip to: 12878 +/* 12803 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12806 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12815 +/* 12811 */ MCD_OPC_Decode, 174, 10, 105, // Opcode: VSEQI_B +/* 12815 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12824 +/* 12820 */ MCD_OPC_Decode, 176, 10, 105, // Opcode: VSEQI_H +/* 12824 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12833 +/* 12829 */ MCD_OPC_Decode, 177, 10, 105, // Opcode: VSEQI_W +/* 12833 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 12842 +/* 12838 */ MCD_OPC_Decode, 175, 10, 105, // Opcode: VSEQI_D +/* 12842 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12851 +/* 12847 */ MCD_OPC_Decode, 204, 10, 105, // Opcode: VSLEI_B +/* 12851 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12860 +/* 12856 */ MCD_OPC_Decode, 208, 10, 105, // Opcode: VSLEI_H +/* 12860 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12869 +/* 12865 */ MCD_OPC_Decode, 210, 10, 105, // Opcode: VSLEI_W +/* 12869 */ MCD_OPC_FilterValue, 7, 52, 45, 0, // Skip to: 24446 +/* 12874 */ MCD_OPC_Decode, 206, 10, 105, // Opcode: VSLEI_D +/* 12878 */ MCD_OPC_FilterValue, 161, 1, 75, 0, 0, // Skip to: 12959 +/* 12884 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12887 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12896 +/* 12892 */ MCD_OPC_Decode, 205, 10, 106, // Opcode: VSLEI_BU +/* 12896 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12905 +/* 12901 */ MCD_OPC_Decode, 209, 10, 106, // Opcode: VSLEI_HU +/* 12905 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12914 +/* 12910 */ MCD_OPC_Decode, 211, 10, 106, // Opcode: VSLEI_WU +/* 12914 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 12923 +/* 12919 */ MCD_OPC_Decode, 207, 10, 106, // Opcode: VSLEI_DU +/* 12923 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 12932 +/* 12928 */ MCD_OPC_Decode, 234, 10, 105, // Opcode: VSLTI_B +/* 12932 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 12941 +/* 12937 */ MCD_OPC_Decode, 238, 10, 105, // Opcode: VSLTI_H +/* 12941 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 12950 +/* 12946 */ MCD_OPC_Decode, 240, 10, 105, // Opcode: VSLTI_W +/* 12950 */ MCD_OPC_FilterValue, 7, 227, 44, 0, // Skip to: 24446 +/* 12955 */ MCD_OPC_Decode, 236, 10, 105, // Opcode: VSLTI_D +/* 12959 */ MCD_OPC_FilterValue, 162, 1, 75, 0, 0, // Skip to: 13040 +/* 12965 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 12968 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 12977 +/* 12973 */ MCD_OPC_Decode, 235, 10, 106, // Opcode: VSLTI_BU +/* 12977 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 12986 +/* 12982 */ MCD_OPC_Decode, 239, 10, 106, // Opcode: VSLTI_HU +/* 12986 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 12995 +/* 12991 */ MCD_OPC_Decode, 241, 10, 106, // Opcode: VSLTI_WU +/* 12995 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 13004 +/* 13000 */ MCD_OPC_Decode, 237, 10, 106, // Opcode: VSLTI_DU +/* 13004 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 13013 +/* 13009 */ MCD_OPC_Decode, 203, 6, 106, // Opcode: VADDI_BU +/* 13013 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 13022 +/* 13018 */ MCD_OPC_Decode, 205, 6, 106, // Opcode: VADDI_HU +/* 13022 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 13031 +/* 13027 */ MCD_OPC_Decode, 206, 6, 106, // Opcode: VADDI_WU +/* 13031 */ MCD_OPC_FilterValue, 7, 146, 44, 0, // Skip to: 24446 +/* 13036 */ MCD_OPC_Decode, 204, 6, 106, // Opcode: VADDI_DU +/* 13040 */ MCD_OPC_FilterValue, 163, 1, 57, 0, 0, // Skip to: 13103 +/* 13046 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 13049 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 13058 +/* 13054 */ MCD_OPC_Decode, 252, 11, 106, // Opcode: VSUBI_BU +/* 13058 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 13067 +/* 13063 */ MCD_OPC_Decode, 254, 11, 106, // Opcode: VSUBI_HU +/* 13067 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 13076 +/* 13072 */ MCD_OPC_Decode, 255, 11, 106, // Opcode: VSUBI_WU +/* 13076 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 13085 +/* 13081 */ MCD_OPC_Decode, 253, 11, 106, // Opcode: VSUBI_DU +/* 13085 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 13094 +/* 13090 */ MCD_OPC_Decode, 153, 7, 106, // Opcode: VBSLL_V +/* 13094 */ MCD_OPC_FilterValue, 5, 83, 44, 0, // Skip to: 24446 +/* 13099 */ MCD_OPC_Decode, 154, 7, 106, // Opcode: VBSRL_V +/* 13103 */ MCD_OPC_FilterValue, 164, 1, 75, 0, 0, // Skip to: 13184 +/* 13109 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 13112 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 13121 +/* 13117 */ MCD_OPC_Decode, 142, 9, 105, // Opcode: VMAXI_B +/* 13121 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 13130 +/* 13126 */ MCD_OPC_Decode, 146, 9, 105, // Opcode: VMAXI_H +/* 13130 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 13139 +/* 13135 */ MCD_OPC_Decode, 148, 9, 105, // Opcode: VMAXI_W +/* 13139 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 13148 +/* 13144 */ MCD_OPC_Decode, 144, 9, 105, // Opcode: VMAXI_D +/* 13148 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 13157 +/* 13153 */ MCD_OPC_Decode, 158, 9, 105, // Opcode: VMINI_B +/* 13157 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 13166 +/* 13162 */ MCD_OPC_Decode, 162, 9, 105, // Opcode: VMINI_H +/* 13166 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 13175 +/* 13171 */ MCD_OPC_Decode, 164, 9, 105, // Opcode: VMINI_W +/* 13175 */ MCD_OPC_FilterValue, 7, 2, 44, 0, // Skip to: 24446 +/* 13180 */ MCD_OPC_Decode, 160, 9, 105, // Opcode: VMINI_D +/* 13184 */ MCD_OPC_FilterValue, 165, 1, 75, 0, 0, // Skip to: 13265 +/* 13190 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 13193 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 13202 +/* 13198 */ MCD_OPC_Decode, 143, 9, 106, // Opcode: VMAXI_BU +/* 13202 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 13211 +/* 13207 */ MCD_OPC_Decode, 147, 9, 106, // Opcode: VMAXI_HU +/* 13211 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 13220 +/* 13216 */ MCD_OPC_Decode, 149, 9, 106, // Opcode: VMAXI_WU +/* 13220 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 13229 +/* 13225 */ MCD_OPC_Decode, 145, 9, 106, // Opcode: VMAXI_DU +/* 13229 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 13238 +/* 13234 */ MCD_OPC_Decode, 159, 9, 106, // Opcode: VMINI_BU +/* 13238 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 13247 +/* 13243 */ MCD_OPC_Decode, 163, 9, 106, // Opcode: VMINI_HU +/* 13247 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 13256 +/* 13252 */ MCD_OPC_Decode, 165, 9, 106, // Opcode: VMINI_WU +/* 13256 */ MCD_OPC_FilterValue, 7, 177, 43, 0, // Skip to: 24446 +/* 13261 */ MCD_OPC_Decode, 161, 9, 106, // Opcode: VMINI_DU +/* 13265 */ MCD_OPC_FilterValue, 166, 1, 21, 0, 0, // Skip to: 13292 +/* 13271 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 13274 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 13283 +/* 13279 */ MCD_OPC_Decode, 170, 8, 107, // Opcode: VFRSTPI_B +/* 13283 */ MCD_OPC_FilterValue, 5, 150, 43, 0, // Skip to: 24446 +/* 13288 */ MCD_OPC_Decode, 171, 8, 107, // Opcode: VFRSTPI_H +/* 13292 */ MCD_OPC_FilterValue, 167, 1, 9, 4, 0, // Skip to: 14331 +/* 13298 */ MCD_OPC_ExtractField, 10, 8, // Inst{17-10} ... +/* 13301 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 13310 +/* 13306 */ MCD_OPC_Decode, 155, 7, 108, // Opcode: VCLO_B +/* 13310 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 13319 +/* 13315 */ MCD_OPC_Decode, 157, 7, 108, // Opcode: VCLO_H +/* 13319 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 13328 +/* 13324 */ MCD_OPC_Decode, 158, 7, 108, // Opcode: VCLO_W +/* 13328 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 13337 +/* 13333 */ MCD_OPC_Decode, 156, 7, 108, // Opcode: VCLO_D +/* 13337 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 13346 +/* 13342 */ MCD_OPC_Decode, 159, 7, 108, // Opcode: VCLZ_B +/* 13346 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 13355 +/* 13351 */ MCD_OPC_Decode, 161, 7, 108, // Opcode: VCLZ_H +/* 13355 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 13364 +/* 13360 */ MCD_OPC_Decode, 162, 7, 108, // Opcode: VCLZ_W +/* 13364 */ MCD_OPC_FilterValue, 7, 4, 0, 0, // Skip to: 13373 +/* 13369 */ MCD_OPC_Decode, 160, 7, 108, // Opcode: VCLZ_D +/* 13373 */ MCD_OPC_FilterValue, 8, 4, 0, 0, // Skip to: 13382 +/* 13378 */ MCD_OPC_Decode, 245, 9, 108, // Opcode: VPCNT_B +/* 13382 */ MCD_OPC_FilterValue, 9, 4, 0, 0, // Skip to: 13391 +/* 13387 */ MCD_OPC_Decode, 247, 9, 108, // Opcode: VPCNT_H +/* 13391 */ MCD_OPC_FilterValue, 10, 4, 0, 0, // Skip to: 13400 +/* 13396 */ MCD_OPC_Decode, 248, 9, 108, // Opcode: VPCNT_W +/* 13400 */ MCD_OPC_FilterValue, 11, 4, 0, 0, // Skip to: 13409 +/* 13405 */ MCD_OPC_Decode, 246, 9, 108, // Opcode: VPCNT_D +/* 13409 */ MCD_OPC_FilterValue, 12, 4, 0, 0, // Skip to: 13418 +/* 13414 */ MCD_OPC_Decode, 228, 9, 108, // Opcode: VNEG_B +/* 13418 */ MCD_OPC_FilterValue, 13, 4, 0, 0, // Skip to: 13427 +/* 13423 */ MCD_OPC_Decode, 230, 9, 108, // Opcode: VNEG_H +/* 13427 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 13436 +/* 13432 */ MCD_OPC_Decode, 231, 9, 108, // Opcode: VNEG_W +/* 13436 */ MCD_OPC_FilterValue, 15, 4, 0, 0, // Skip to: 13445 +/* 13441 */ MCD_OPC_Decode, 229, 9, 108, // Opcode: VNEG_D +/* 13445 */ MCD_OPC_FilterValue, 16, 4, 0, 0, // Skip to: 13454 +/* 13450 */ MCD_OPC_Decode, 183, 9, 108, // Opcode: VMSKLTZ_B +/* 13454 */ MCD_OPC_FilterValue, 17, 4, 0, 0, // Skip to: 13463 +/* 13459 */ MCD_OPC_Decode, 185, 9, 108, // Opcode: VMSKLTZ_H +/* 13463 */ MCD_OPC_FilterValue, 18, 4, 0, 0, // Skip to: 13472 +/* 13468 */ MCD_OPC_Decode, 186, 9, 108, // Opcode: VMSKLTZ_W +/* 13472 */ MCD_OPC_FilterValue, 19, 4, 0, 0, // Skip to: 13481 +/* 13477 */ MCD_OPC_Decode, 184, 9, 108, // Opcode: VMSKLTZ_D +/* 13481 */ MCD_OPC_FilterValue, 20, 4, 0, 0, // Skip to: 13490 +/* 13486 */ MCD_OPC_Decode, 182, 9, 108, // Opcode: VMSKGEZ_B +/* 13490 */ MCD_OPC_FilterValue, 24, 4, 0, 0, // Skip to: 13499 +/* 13495 */ MCD_OPC_Decode, 187, 9, 108, // Opcode: VMSKNZ_B +/* 13499 */ MCD_OPC_FilterValue, 38, 11, 0, 0, // Skip to: 13515 +/* 13504 */ MCD_OPC_CheckField, 3, 2, 0, 183, 42, 0, // Skip to: 24446 +/* 13511 */ MCD_OPC_Decode, 190, 10, 109, // Opcode: VSETEQZ_V +/* 13515 */ MCD_OPC_FilterValue, 39, 11, 0, 0, // Skip to: 13531 +/* 13520 */ MCD_OPC_CheckField, 3, 2, 0, 167, 42, 0, // Skip to: 24446 +/* 13527 */ MCD_OPC_Decode, 191, 10, 109, // Opcode: VSETNEZ_V +/* 13531 */ MCD_OPC_FilterValue, 40, 11, 0, 0, // Skip to: 13547 +/* 13536 */ MCD_OPC_CheckField, 3, 2, 0, 151, 42, 0, // Skip to: 24446 +/* 13543 */ MCD_OPC_Decode, 186, 10, 109, // Opcode: VSETANYEQZ_B +/* 13547 */ MCD_OPC_FilterValue, 41, 11, 0, 0, // Skip to: 13563 +/* 13552 */ MCD_OPC_CheckField, 3, 2, 0, 135, 42, 0, // Skip to: 24446 +/* 13559 */ MCD_OPC_Decode, 188, 10, 109, // Opcode: VSETANYEQZ_H +/* 13563 */ MCD_OPC_FilterValue, 42, 11, 0, 0, // Skip to: 13579 +/* 13568 */ MCD_OPC_CheckField, 3, 2, 0, 119, 42, 0, // Skip to: 24446 +/* 13575 */ MCD_OPC_Decode, 189, 10, 109, // Opcode: VSETANYEQZ_W +/* 13579 */ MCD_OPC_FilterValue, 43, 11, 0, 0, // Skip to: 13595 +/* 13584 */ MCD_OPC_CheckField, 3, 2, 0, 103, 42, 0, // Skip to: 24446 +/* 13591 */ MCD_OPC_Decode, 187, 10, 109, // Opcode: VSETANYEQZ_D +/* 13595 */ MCD_OPC_FilterValue, 44, 11, 0, 0, // Skip to: 13611 +/* 13600 */ MCD_OPC_CheckField, 3, 2, 0, 87, 42, 0, // Skip to: 24446 +/* 13607 */ MCD_OPC_Decode, 182, 10, 109, // Opcode: VSETALLNEZ_B +/* 13611 */ MCD_OPC_FilterValue, 45, 11, 0, 0, // Skip to: 13627 +/* 13616 */ MCD_OPC_CheckField, 3, 2, 0, 71, 42, 0, // Skip to: 24446 +/* 13623 */ MCD_OPC_Decode, 184, 10, 109, // Opcode: VSETALLNEZ_H +/* 13627 */ MCD_OPC_FilterValue, 46, 11, 0, 0, // Skip to: 13643 +/* 13632 */ MCD_OPC_CheckField, 3, 2, 0, 55, 42, 0, // Skip to: 24446 +/* 13639 */ MCD_OPC_Decode, 185, 10, 109, // Opcode: VSETALLNEZ_W +/* 13643 */ MCD_OPC_FilterValue, 47, 11, 0, 0, // Skip to: 13659 +/* 13648 */ MCD_OPC_CheckField, 3, 2, 0, 39, 42, 0, // Skip to: 24446 +/* 13655 */ MCD_OPC_Decode, 183, 10, 109, // Opcode: VSETALLNEZ_D +/* 13659 */ MCD_OPC_FilterValue, 49, 4, 0, 0, // Skip to: 13668 +/* 13664 */ MCD_OPC_Decode, 133, 8, 108, // Opcode: VFLOGB_S +/* 13668 */ MCD_OPC_FilterValue, 50, 4, 0, 0, // Skip to: 13677 +/* 13673 */ MCD_OPC_Decode, 132, 8, 108, // Opcode: VFLOGB_D +/* 13677 */ MCD_OPC_FilterValue, 53, 4, 0, 0, // Skip to: 13686 +/* 13682 */ MCD_OPC_Decode, 200, 7, 108, // Opcode: VFCLASS_S +/* 13686 */ MCD_OPC_FilterValue, 54, 4, 0, 0, // Skip to: 13695 +/* 13691 */ MCD_OPC_Decode, 199, 7, 108, // Opcode: VFCLASS_D +/* 13695 */ MCD_OPC_FilterValue, 57, 4, 0, 0, // Skip to: 13704 +/* 13700 */ MCD_OPC_Decode, 175, 8, 108, // Opcode: VFSQRT_S +/* 13704 */ MCD_OPC_FilterValue, 58, 4, 0, 0, // Skip to: 13713 +/* 13709 */ MCD_OPC_Decode, 174, 8, 108, // Opcode: VFSQRT_D +/* 13713 */ MCD_OPC_FilterValue, 61, 4, 0, 0, // Skip to: 13722 +/* 13718 */ MCD_OPC_Decode, 155, 8, 108, // Opcode: VFRECIP_S +/* 13722 */ MCD_OPC_FilterValue, 62, 4, 0, 0, // Skip to: 13731 +/* 13727 */ MCD_OPC_Decode, 154, 8, 108, // Opcode: VFRECIP_D +/* 13731 */ MCD_OPC_FilterValue, 65, 4, 0, 0, // Skip to: 13740 +/* 13736 */ MCD_OPC_Decode, 169, 8, 108, // Opcode: VFRSQRT_S +/* 13740 */ MCD_OPC_FilterValue, 66, 4, 0, 0, // Skip to: 13749 +/* 13745 */ MCD_OPC_Decode, 168, 8, 108, // Opcode: VFRSQRT_D +/* 13749 */ MCD_OPC_FilterValue, 69, 4, 0, 0, // Skip to: 13758 +/* 13754 */ MCD_OPC_Decode, 153, 8, 108, // Opcode: VFRECIPE_S +/* 13758 */ MCD_OPC_FilterValue, 70, 4, 0, 0, // Skip to: 13767 +/* 13763 */ MCD_OPC_Decode, 152, 8, 108, // Opcode: VFRECIPE_D +/* 13767 */ MCD_OPC_FilterValue, 73, 4, 0, 0, // Skip to: 13776 +/* 13772 */ MCD_OPC_Decode, 167, 8, 108, // Opcode: VFRSQRTE_S +/* 13776 */ MCD_OPC_FilterValue, 74, 4, 0, 0, // Skip to: 13785 +/* 13781 */ MCD_OPC_Decode, 166, 8, 108, // Opcode: VFRSQRTE_D +/* 13785 */ MCD_OPC_FilterValue, 77, 4, 0, 0, // Skip to: 13794 +/* 13790 */ MCD_OPC_Decode, 165, 8, 108, // Opcode: VFRINT_S +/* 13794 */ MCD_OPC_FilterValue, 78, 4, 0, 0, // Skip to: 13803 +/* 13799 */ MCD_OPC_Decode, 164, 8, 108, // Opcode: VFRINT_D +/* 13803 */ MCD_OPC_FilterValue, 81, 4, 0, 0, // Skip to: 13812 +/* 13808 */ MCD_OPC_Decode, 157, 8, 108, // Opcode: VFRINTRM_S +/* 13812 */ MCD_OPC_FilterValue, 82, 4, 0, 0, // Skip to: 13821 +/* 13817 */ MCD_OPC_Decode, 156, 8, 108, // Opcode: VFRINTRM_D +/* 13821 */ MCD_OPC_FilterValue, 85, 4, 0, 0, // Skip to: 13830 +/* 13826 */ MCD_OPC_Decode, 161, 8, 108, // Opcode: VFRINTRP_S +/* 13830 */ MCD_OPC_FilterValue, 86, 4, 0, 0, // Skip to: 13839 +/* 13835 */ MCD_OPC_Decode, 160, 8, 108, // Opcode: VFRINTRP_D +/* 13839 */ MCD_OPC_FilterValue, 89, 4, 0, 0, // Skip to: 13848 +/* 13844 */ MCD_OPC_Decode, 163, 8, 108, // Opcode: VFRINTRZ_S +/* 13848 */ MCD_OPC_FilterValue, 90, 4, 0, 0, // Skip to: 13857 +/* 13853 */ MCD_OPC_Decode, 162, 8, 108, // Opcode: VFRINTRZ_D +/* 13857 */ MCD_OPC_FilterValue, 93, 4, 0, 0, // Skip to: 13866 +/* 13862 */ MCD_OPC_Decode, 159, 8, 108, // Opcode: VFRINTRNE_S +/* 13866 */ MCD_OPC_FilterValue, 94, 4, 0, 0, // Skip to: 13875 +/* 13871 */ MCD_OPC_Decode, 158, 8, 108, // Opcode: VFRINTRNE_D +/* 13875 */ MCD_OPC_FilterValue, 122, 4, 0, 0, // Skip to: 13884 +/* 13880 */ MCD_OPC_Decode, 248, 7, 108, // Opcode: VFCVTL_S_H +/* 13884 */ MCD_OPC_FilterValue, 123, 4, 0, 0, // Skip to: 13893 +/* 13889 */ MCD_OPC_Decode, 246, 7, 108, // Opcode: VFCVTH_S_H +/* 13893 */ MCD_OPC_FilterValue, 124, 4, 0, 0, // Skip to: 13902 +/* 13898 */ MCD_OPC_Decode, 247, 7, 108, // Opcode: VFCVTL_D_S +/* 13902 */ MCD_OPC_FilterValue, 125, 4, 0, 0, // Skip to: 13911 +/* 13907 */ MCD_OPC_Decode, 245, 7, 108, // Opcode: VFCVTH_D_S +/* 13911 */ MCD_OPC_FilterValue, 128, 1, 4, 0, 0, // Skip to: 13921 +/* 13917 */ MCD_OPC_Decode, 130, 8, 108, // Opcode: VFFINT_S_W +/* 13921 */ MCD_OPC_FilterValue, 129, 1, 4, 0, 0, // Skip to: 13931 +/* 13927 */ MCD_OPC_Decode, 131, 8, 108, // Opcode: VFFINT_S_WU +/* 13931 */ MCD_OPC_FilterValue, 130, 1, 4, 0, 0, // Skip to: 13941 +/* 13937 */ MCD_OPC_Decode, 255, 7, 108, // Opcode: VFFINT_D_L +/* 13941 */ MCD_OPC_FilterValue, 131, 1, 4, 0, 0, // Skip to: 13951 +/* 13947 */ MCD_OPC_Decode, 128, 8, 108, // Opcode: VFFINT_D_LU +/* 13951 */ MCD_OPC_FilterValue, 132, 1, 4, 0, 0, // Skip to: 13961 +/* 13957 */ MCD_OPC_Decode, 254, 7, 108, // Opcode: VFFINTL_D_W +/* 13961 */ MCD_OPC_FilterValue, 133, 1, 4, 0, 0, // Skip to: 13971 +/* 13967 */ MCD_OPC_Decode, 253, 7, 108, // Opcode: VFFINTH_D_W +/* 13971 */ MCD_OPC_FilterValue, 140, 1, 4, 0, 0, // Skip to: 13981 +/* 13977 */ MCD_OPC_Decode, 206, 8, 108, // Opcode: VFTINT_W_S +/* 13981 */ MCD_OPC_FilterValue, 141, 1, 4, 0, 0, // Skip to: 13991 +/* 13987 */ MCD_OPC_Decode, 203, 8, 108, // Opcode: VFTINT_L_D +/* 13991 */ MCD_OPC_FilterValue, 142, 1, 4, 0, 0, // Skip to: 14001 +/* 13997 */ MCD_OPC_Decode, 184, 8, 108, // Opcode: VFTINTRM_W_S +/* 14001 */ MCD_OPC_FilterValue, 143, 1, 4, 0, 0, // Skip to: 14011 +/* 14007 */ MCD_OPC_Decode, 182, 8, 108, // Opcode: VFTINTRM_L_D +/* 14011 */ MCD_OPC_FilterValue, 144, 1, 4, 0, 0, // Skip to: 14021 +/* 14017 */ MCD_OPC_Decode, 194, 8, 108, // Opcode: VFTINTRP_W_S +/* 14021 */ MCD_OPC_FilterValue, 145, 1, 4, 0, 0, // Skip to: 14031 +/* 14027 */ MCD_OPC_Decode, 192, 8, 108, // Opcode: VFTINTRP_L_D +/* 14031 */ MCD_OPC_FilterValue, 146, 1, 4, 0, 0, // Skip to: 14041 +/* 14037 */ MCD_OPC_Decode, 201, 8, 108, // Opcode: VFTINTRZ_W_S +/* 14041 */ MCD_OPC_FilterValue, 147, 1, 4, 0, 0, // Skip to: 14051 +/* 14047 */ MCD_OPC_Decode, 198, 8, 108, // Opcode: VFTINTRZ_L_D +/* 14051 */ MCD_OPC_FilterValue, 148, 1, 4, 0, 0, // Skip to: 14061 +/* 14057 */ MCD_OPC_Decode, 189, 8, 108, // Opcode: VFTINTRNE_W_S +/* 14061 */ MCD_OPC_FilterValue, 149, 1, 4, 0, 0, // Skip to: 14071 +/* 14067 */ MCD_OPC_Decode, 187, 8, 108, // Opcode: VFTINTRNE_L_D +/* 14071 */ MCD_OPC_FilterValue, 150, 1, 4, 0, 0, // Skip to: 14081 +/* 14077 */ MCD_OPC_Decode, 204, 8, 108, // Opcode: VFTINT_WU_S +/* 14081 */ MCD_OPC_FilterValue, 151, 1, 4, 0, 0, // Skip to: 14091 +/* 14087 */ MCD_OPC_Decode, 202, 8, 108, // Opcode: VFTINT_LU_D +/* 14091 */ MCD_OPC_FilterValue, 156, 1, 4, 0, 0, // Skip to: 14101 +/* 14097 */ MCD_OPC_Decode, 199, 8, 108, // Opcode: VFTINTRZ_WU_S +/* 14101 */ MCD_OPC_FilterValue, 157, 1, 4, 0, 0, // Skip to: 14111 +/* 14107 */ MCD_OPC_Decode, 197, 8, 108, // Opcode: VFTINTRZ_LU_D +/* 14111 */ MCD_OPC_FilterValue, 160, 1, 4, 0, 0, // Skip to: 14121 +/* 14117 */ MCD_OPC_Decode, 179, 8, 108, // Opcode: VFTINTL_L_S +/* 14121 */ MCD_OPC_FilterValue, 161, 1, 4, 0, 0, // Skip to: 14131 +/* 14127 */ MCD_OPC_Decode, 178, 8, 108, // Opcode: VFTINTH_L_S +/* 14131 */ MCD_OPC_FilterValue, 162, 1, 4, 0, 0, // Skip to: 14141 +/* 14137 */ MCD_OPC_Decode, 181, 8, 108, // Opcode: VFTINTRML_L_S +/* 14141 */ MCD_OPC_FilterValue, 163, 1, 4, 0, 0, // Skip to: 14151 +/* 14147 */ MCD_OPC_Decode, 180, 8, 108, // Opcode: VFTINTRMH_L_S +/* 14151 */ MCD_OPC_FilterValue, 164, 1, 4, 0, 0, // Skip to: 14161 +/* 14157 */ MCD_OPC_Decode, 191, 8, 108, // Opcode: VFTINTRPL_L_S +/* 14161 */ MCD_OPC_FilterValue, 165, 1, 4, 0, 0, // Skip to: 14171 +/* 14167 */ MCD_OPC_Decode, 190, 8, 108, // Opcode: VFTINTRPH_L_S +/* 14171 */ MCD_OPC_FilterValue, 166, 1, 4, 0, 0, // Skip to: 14181 +/* 14177 */ MCD_OPC_Decode, 196, 8, 108, // Opcode: VFTINTRZL_L_S +/* 14181 */ MCD_OPC_FilterValue, 167, 1, 4, 0, 0, // Skip to: 14191 +/* 14187 */ MCD_OPC_Decode, 195, 8, 108, // Opcode: VFTINTRZH_L_S +/* 14191 */ MCD_OPC_FilterValue, 168, 1, 4, 0, 0, // Skip to: 14201 +/* 14197 */ MCD_OPC_Decode, 186, 8, 108, // Opcode: VFTINTRNEL_L_S +/* 14201 */ MCD_OPC_FilterValue, 169, 1, 4, 0, 0, // Skip to: 14211 +/* 14207 */ MCD_OPC_Decode, 185, 8, 108, // Opcode: VFTINTRNEH_L_S +/* 14211 */ MCD_OPC_FilterValue, 184, 1, 4, 0, 0, // Skip to: 14221 +/* 14217 */ MCD_OPC_Decode, 186, 7, 108, // Opcode: VEXTH_H_B +/* 14221 */ MCD_OPC_FilterValue, 185, 1, 4, 0, 0, // Skip to: 14231 +/* 14227 */ MCD_OPC_Decode, 190, 7, 108, // Opcode: VEXTH_W_H +/* 14231 */ MCD_OPC_FilterValue, 186, 1, 4, 0, 0, // Skip to: 14241 +/* 14237 */ MCD_OPC_Decode, 184, 7, 108, // Opcode: VEXTH_D_W +/* 14241 */ MCD_OPC_FilterValue, 187, 1, 4, 0, 0, // Skip to: 14251 +/* 14247 */ MCD_OPC_Decode, 188, 7, 108, // Opcode: VEXTH_Q_D +/* 14251 */ MCD_OPC_FilterValue, 188, 1, 4, 0, 0, // Skip to: 14261 +/* 14257 */ MCD_OPC_Decode, 185, 7, 108, // Opcode: VEXTH_HU_BU +/* 14261 */ MCD_OPC_FilterValue, 189, 1, 4, 0, 0, // Skip to: 14271 +/* 14267 */ MCD_OPC_Decode, 189, 7, 108, // Opcode: VEXTH_WU_HU +/* 14271 */ MCD_OPC_FilterValue, 190, 1, 4, 0, 0, // Skip to: 14281 +/* 14277 */ MCD_OPC_Decode, 183, 7, 108, // Opcode: VEXTH_DU_WU +/* 14281 */ MCD_OPC_FilterValue, 191, 1, 4, 0, 0, // Skip to: 14291 +/* 14287 */ MCD_OPC_Decode, 187, 7, 108, // Opcode: VEXTH_QU_DU +/* 14291 */ MCD_OPC_FilterValue, 192, 1, 4, 0, 0, // Skip to: 14301 +/* 14297 */ MCD_OPC_Decode, 138, 10, 110, // Opcode: VREPLGR2VR_B +/* 14301 */ MCD_OPC_FilterValue, 193, 1, 4, 0, 0, // Skip to: 14311 +/* 14307 */ MCD_OPC_Decode, 140, 10, 110, // Opcode: VREPLGR2VR_H +/* 14311 */ MCD_OPC_FilterValue, 194, 1, 4, 0, 0, // Skip to: 14321 +/* 14317 */ MCD_OPC_Decode, 141, 10, 110, // Opcode: VREPLGR2VR_W +/* 14321 */ MCD_OPC_FilterValue, 195, 1, 135, 39, 0, // Skip to: 24446 +/* 14327 */ MCD_OPC_Decode, 139, 10, 110, // Opcode: VREPLGR2VR_D +/* 14331 */ MCD_OPC_FilterValue, 168, 1, 62, 0, 0, // Skip to: 14399 +/* 14337 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 14340 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 14390 +/* 14345 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 14348 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 14381 +/* 14353 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 14356 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 14372 +/* 14361 */ MCD_OPC_CheckField, 13, 1, 1, 94, 39, 0, // Skip to: 24446 +/* 14368 */ MCD_OPC_Decode, 150, 10, 111, // Opcode: VROTRI_B +/* 14372 */ MCD_OPC_FilterValue, 1, 85, 39, 0, // Skip to: 24446 +/* 14377 */ MCD_OPC_Decode, 152, 10, 112, // Opcode: VROTRI_H +/* 14381 */ MCD_OPC_FilterValue, 1, 76, 39, 0, // Skip to: 24446 +/* 14386 */ MCD_OPC_Decode, 153, 10, 106, // Opcode: VROTRI_W +/* 14390 */ MCD_OPC_FilterValue, 1, 67, 39, 0, // Skip to: 24446 +/* 14395 */ MCD_OPC_Decode, 151, 10, 113, // Opcode: VROTRI_D +/* 14399 */ MCD_OPC_FilterValue, 169, 1, 62, 0, 0, // Skip to: 14467 +/* 14405 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 14408 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 14458 +/* 14413 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 14416 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 14449 +/* 14421 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 14424 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 14440 +/* 14429 */ MCD_OPC_CheckField, 13, 1, 1, 26, 39, 0, // Skip to: 24446 +/* 14436 */ MCD_OPC_Decode, 163, 11, 111, // Opcode: VSRLRI_B +/* 14440 */ MCD_OPC_FilterValue, 1, 17, 39, 0, // Skip to: 24446 +/* 14445 */ MCD_OPC_Decode, 165, 11, 112, // Opcode: VSRLRI_H +/* 14449 */ MCD_OPC_FilterValue, 1, 8, 39, 0, // Skip to: 24446 +/* 14454 */ MCD_OPC_Decode, 166, 11, 106, // Opcode: VSRLRI_W +/* 14458 */ MCD_OPC_FilterValue, 1, 255, 38, 0, // Skip to: 24446 +/* 14463 */ MCD_OPC_Decode, 164, 11, 113, // Opcode: VSRLRI_D +/* 14467 */ MCD_OPC_FilterValue, 170, 1, 62, 0, 0, // Skip to: 14535 +/* 14473 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 14476 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 14526 +/* 14481 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 14484 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 14517 +/* 14489 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 14492 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 14508 +/* 14497 */ MCD_OPC_CheckField, 13, 1, 1, 214, 38, 0, // Skip to: 24446 +/* 14504 */ MCD_OPC_Decode, 133, 11, 111, // Opcode: VSRARI_B +/* 14508 */ MCD_OPC_FilterValue, 1, 205, 38, 0, // Skip to: 24446 +/* 14513 */ MCD_OPC_Decode, 135, 11, 112, // Opcode: VSRARI_H +/* 14517 */ MCD_OPC_FilterValue, 1, 196, 38, 0, // Skip to: 24446 +/* 14522 */ MCD_OPC_Decode, 136, 11, 106, // Opcode: VSRARI_W +/* 14526 */ MCD_OPC_FilterValue, 1, 187, 38, 0, // Skip to: 24446 +/* 14531 */ MCD_OPC_Decode, 134, 11, 113, // Opcode: VSRARI_D +/* 14535 */ MCD_OPC_FilterValue, 186, 1, 62, 0, 0, // Skip to: 14603 +/* 14541 */ MCD_OPC_ExtractField, 14, 4, // Inst{17-14} ... +/* 14544 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 14553 +/* 14549 */ MCD_OPC_Decode, 231, 8, 114, // Opcode: VINSGR2VR_B +/* 14553 */ MCD_OPC_FilterValue, 15, 160, 38, 0, // Skip to: 24446 +/* 14558 */ MCD_OPC_ExtractField, 13, 1, // Inst{13} ... +/* 14561 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 14570 +/* 14566 */ MCD_OPC_Decode, 233, 8, 115, // Opcode: VINSGR2VR_H +/* 14570 */ MCD_OPC_FilterValue, 1, 143, 38, 0, // Skip to: 24446 +/* 14575 */ MCD_OPC_ExtractField, 12, 1, // Inst{12} ... +/* 14578 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 14587 +/* 14583 */ MCD_OPC_Decode, 234, 8, 116, // Opcode: VINSGR2VR_W +/* 14587 */ MCD_OPC_FilterValue, 1, 126, 38, 0, // Skip to: 24446 +/* 14592 */ MCD_OPC_CheckField, 11, 1, 0, 119, 38, 0, // Skip to: 24446 +/* 14599 */ MCD_OPC_Decode, 232, 8, 117, // Opcode: VINSGR2VR_D +/* 14603 */ MCD_OPC_FilterValue, 187, 1, 62, 0, 0, // Skip to: 14671 +/* 14609 */ MCD_OPC_ExtractField, 14, 4, // Inst{17-14} ... +/* 14612 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 14621 +/* 14617 */ MCD_OPC_Decode, 130, 10, 118, // Opcode: VPICKVE2GR_B +/* 14621 */ MCD_OPC_FilterValue, 15, 92, 38, 0, // Skip to: 24446 +/* 14626 */ MCD_OPC_ExtractField, 13, 1, // Inst{13} ... +/* 14629 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 14638 +/* 14634 */ MCD_OPC_Decode, 134, 10, 119, // Opcode: VPICKVE2GR_H +/* 14638 */ MCD_OPC_FilterValue, 1, 75, 38, 0, // Skip to: 24446 +/* 14643 */ MCD_OPC_ExtractField, 12, 1, // Inst{12} ... +/* 14646 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 14655 +/* 14651 */ MCD_OPC_Decode, 136, 10, 120, // Opcode: VPICKVE2GR_W +/* 14655 */ MCD_OPC_FilterValue, 1, 58, 38, 0, // Skip to: 24446 +/* 14660 */ MCD_OPC_CheckField, 11, 1, 0, 51, 38, 0, // Skip to: 24446 +/* 14667 */ MCD_OPC_Decode, 132, 10, 121, // Opcode: VPICKVE2GR_D +/* 14671 */ MCD_OPC_FilterValue, 188, 1, 62, 0, 0, // Skip to: 14739 +/* 14677 */ MCD_OPC_ExtractField, 14, 4, // Inst{17-14} ... +/* 14680 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 14689 +/* 14685 */ MCD_OPC_Decode, 131, 10, 118, // Opcode: VPICKVE2GR_BU +/* 14689 */ MCD_OPC_FilterValue, 15, 24, 38, 0, // Skip to: 24446 +/* 14694 */ MCD_OPC_ExtractField, 13, 1, // Inst{13} ... +/* 14697 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 14706 +/* 14702 */ MCD_OPC_Decode, 135, 10, 119, // Opcode: VPICKVE2GR_HU +/* 14706 */ MCD_OPC_FilterValue, 1, 7, 38, 0, // Skip to: 24446 +/* 14711 */ MCD_OPC_ExtractField, 12, 1, // Inst{12} ... +/* 14714 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 14723 +/* 14719 */ MCD_OPC_Decode, 137, 10, 120, // Opcode: VPICKVE2GR_WU +/* 14723 */ MCD_OPC_FilterValue, 1, 246, 37, 0, // Skip to: 24446 +/* 14728 */ MCD_OPC_CheckField, 11, 1, 0, 239, 37, 0, // Skip to: 24446 +/* 14735 */ MCD_OPC_Decode, 133, 10, 121, // Opcode: VPICKVE2GR_DU +/* 14739 */ MCD_OPC_FilterValue, 189, 1, 62, 0, 0, // Skip to: 14807 +/* 14745 */ MCD_OPC_ExtractField, 14, 4, // Inst{17-14} ... +/* 14748 */ MCD_OPC_FilterValue, 14, 4, 0, 0, // Skip to: 14757 +/* 14753 */ MCD_OPC_Decode, 142, 10, 112, // Opcode: VREPLVEI_B +/* 14757 */ MCD_OPC_FilterValue, 15, 212, 37, 0, // Skip to: 24446 +/* 14762 */ MCD_OPC_ExtractField, 13, 1, // Inst{13} ... +/* 14765 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 14774 +/* 14770 */ MCD_OPC_Decode, 144, 10, 111, // Opcode: VREPLVEI_H +/* 14774 */ MCD_OPC_FilterValue, 1, 195, 37, 0, // Skip to: 24446 +/* 14779 */ MCD_OPC_ExtractField, 12, 1, // Inst{12} ... +/* 14782 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 14791 +/* 14787 */ MCD_OPC_Decode, 145, 10, 122, // Opcode: VREPLVEI_W +/* 14791 */ MCD_OPC_FilterValue, 1, 178, 37, 0, // Skip to: 24446 +/* 14796 */ MCD_OPC_CheckField, 11, 1, 0, 171, 37, 0, // Skip to: 24446 +/* 14803 */ MCD_OPC_Decode, 143, 10, 123, // Opcode: VREPLVEI_D +/* 14807 */ MCD_OPC_FilterValue, 194, 1, 61, 0, 0, // Skip to: 14874 +/* 14813 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 14816 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 14849 +/* 14821 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 14824 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 14840 +/* 14829 */ MCD_OPC_CheckField, 13, 1, 1, 138, 37, 0, // Skip to: 24446 +/* 14836 */ MCD_OPC_Decode, 227, 10, 111, // Opcode: VSLLWIL_H_B +/* 14840 */ MCD_OPC_FilterValue, 1, 129, 37, 0, // Skip to: 24446 +/* 14845 */ MCD_OPC_Decode, 229, 10, 112, // Opcode: VSLLWIL_W_H +/* 14849 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 14858 +/* 14854 */ MCD_OPC_Decode, 225, 10, 106, // Opcode: VSLLWIL_D_W +/* 14858 */ MCD_OPC_FilterValue, 2, 111, 37, 0, // Skip to: 24446 +/* 14863 */ MCD_OPC_CheckField, 10, 5, 0, 104, 37, 0, // Skip to: 24446 +/* 14870 */ MCD_OPC_Decode, 192, 7, 108, // Opcode: VEXTL_Q_D +/* 14874 */ MCD_OPC_FilterValue, 195, 1, 61, 0, 0, // Skip to: 14941 +/* 14880 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 14883 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 14916 +/* 14888 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 14891 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 14907 +/* 14896 */ MCD_OPC_CheckField, 13, 1, 1, 71, 37, 0, // Skip to: 24446 +/* 14903 */ MCD_OPC_Decode, 226, 10, 111, // Opcode: VSLLWIL_HU_BU +/* 14907 */ MCD_OPC_FilterValue, 1, 62, 37, 0, // Skip to: 24446 +/* 14912 */ MCD_OPC_Decode, 228, 10, 112, // Opcode: VSLLWIL_WU_HU +/* 14916 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 14925 +/* 14921 */ MCD_OPC_Decode, 224, 10, 106, // Opcode: VSLLWIL_DU_WU +/* 14925 */ MCD_OPC_FilterValue, 2, 44, 37, 0, // Skip to: 24446 +/* 14930 */ MCD_OPC_CheckField, 10, 5, 0, 37, 37, 0, // Skip to: 24446 +/* 14937 */ MCD_OPC_Decode, 191, 7, 108, // Opcode: VEXTL_QU_DU +/* 14941 */ MCD_OPC_FilterValue, 196, 1, 62, 0, 0, // Skip to: 15009 +/* 14947 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 14950 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15000 +/* 14955 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 14958 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 14991 +/* 14963 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 14966 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 14982 +/* 14971 */ MCD_OPC_CheckField, 13, 1, 1, 252, 36, 0, // Skip to: 24446 +/* 14978 */ MCD_OPC_Decode, 255, 6, 111, // Opcode: VBITCLRI_B +/* 14982 */ MCD_OPC_FilterValue, 1, 243, 36, 0, // Skip to: 24446 +/* 14987 */ MCD_OPC_Decode, 129, 7, 112, // Opcode: VBITCLRI_H +/* 14991 */ MCD_OPC_FilterValue, 1, 234, 36, 0, // Skip to: 24446 +/* 14996 */ MCD_OPC_Decode, 130, 7, 106, // Opcode: VBITCLRI_W +/* 15000 */ MCD_OPC_FilterValue, 1, 225, 36, 0, // Skip to: 24446 +/* 15005 */ MCD_OPC_Decode, 128, 7, 113, // Opcode: VBITCLRI_D +/* 15009 */ MCD_OPC_FilterValue, 197, 1, 62, 0, 0, // Skip to: 15077 +/* 15015 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 15018 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15068 +/* 15023 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15026 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15059 +/* 15031 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 15034 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15050 +/* 15039 */ MCD_OPC_CheckField, 13, 1, 1, 184, 36, 0, // Skip to: 24446 +/* 15046 */ MCD_OPC_Decode, 145, 7, 111, // Opcode: VBITSETI_B +/* 15050 */ MCD_OPC_FilterValue, 1, 175, 36, 0, // Skip to: 24446 +/* 15055 */ MCD_OPC_Decode, 147, 7, 112, // Opcode: VBITSETI_H +/* 15059 */ MCD_OPC_FilterValue, 1, 166, 36, 0, // Skip to: 24446 +/* 15064 */ MCD_OPC_Decode, 148, 7, 106, // Opcode: VBITSETI_W +/* 15068 */ MCD_OPC_FilterValue, 1, 157, 36, 0, // Skip to: 24446 +/* 15073 */ MCD_OPC_Decode, 146, 7, 113, // Opcode: VBITSETI_D +/* 15077 */ MCD_OPC_FilterValue, 198, 1, 62, 0, 0, // Skip to: 15145 +/* 15083 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 15086 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15136 +/* 15091 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15094 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15127 +/* 15099 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 15102 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15118 +/* 15107 */ MCD_OPC_CheckField, 13, 1, 1, 116, 36, 0, // Skip to: 24446 +/* 15114 */ MCD_OPC_Decode, 135, 7, 111, // Opcode: VBITREVI_B +/* 15118 */ MCD_OPC_FilterValue, 1, 107, 36, 0, // Skip to: 24446 +/* 15123 */ MCD_OPC_Decode, 137, 7, 112, // Opcode: VBITREVI_H +/* 15127 */ MCD_OPC_FilterValue, 1, 98, 36, 0, // Skip to: 24446 +/* 15132 */ MCD_OPC_Decode, 138, 7, 106, // Opcode: VBITREVI_W +/* 15136 */ MCD_OPC_FilterValue, 1, 89, 36, 0, // Skip to: 24446 +/* 15141 */ MCD_OPC_Decode, 136, 7, 113, // Opcode: VBITREVI_D +/* 15145 */ MCD_OPC_FilterValue, 201, 1, 62, 0, 0, // Skip to: 15213 +/* 15151 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 15154 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15204 +/* 15159 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15162 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15195 +/* 15167 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 15170 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15186 +/* 15175 */ MCD_OPC_CheckField, 13, 1, 1, 48, 36, 0, // Skip to: 24446 +/* 15182 */ MCD_OPC_Decode, 166, 10, 111, // Opcode: VSAT_B +/* 15186 */ MCD_OPC_FilterValue, 1, 39, 36, 0, // Skip to: 24446 +/* 15191 */ MCD_OPC_Decode, 170, 10, 112, // Opcode: VSAT_H +/* 15195 */ MCD_OPC_FilterValue, 1, 30, 36, 0, // Skip to: 24446 +/* 15200 */ MCD_OPC_Decode, 172, 10, 106, // Opcode: VSAT_W +/* 15204 */ MCD_OPC_FilterValue, 1, 21, 36, 0, // Skip to: 24446 +/* 15209 */ MCD_OPC_Decode, 168, 10, 113, // Opcode: VSAT_D +/* 15213 */ MCD_OPC_FilterValue, 202, 1, 62, 0, 0, // Skip to: 15281 +/* 15219 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 15222 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15272 +/* 15227 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15230 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15263 +/* 15235 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 15238 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15254 +/* 15243 */ MCD_OPC_CheckField, 13, 1, 1, 236, 35, 0, // Skip to: 24446 +/* 15250 */ MCD_OPC_Decode, 167, 10, 111, // Opcode: VSAT_BU +/* 15254 */ MCD_OPC_FilterValue, 1, 227, 35, 0, // Skip to: 24446 +/* 15259 */ MCD_OPC_Decode, 171, 10, 112, // Opcode: VSAT_HU +/* 15263 */ MCD_OPC_FilterValue, 1, 218, 35, 0, // Skip to: 24446 +/* 15268 */ MCD_OPC_Decode, 173, 10, 106, // Opcode: VSAT_WU +/* 15272 */ MCD_OPC_FilterValue, 1, 209, 35, 0, // Skip to: 24446 +/* 15277 */ MCD_OPC_Decode, 169, 10, 113, // Opcode: VSAT_DU +/* 15281 */ MCD_OPC_FilterValue, 203, 1, 62, 0, 0, // Skip to: 15349 +/* 15287 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 15290 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15340 +/* 15295 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15298 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15331 +/* 15303 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 15306 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15322 +/* 15311 */ MCD_OPC_CheckField, 13, 1, 1, 168, 35, 0, // Skip to: 24446 +/* 15318 */ MCD_OPC_Decode, 220, 10, 111, // Opcode: VSLLI_B +/* 15322 */ MCD_OPC_FilterValue, 1, 159, 35, 0, // Skip to: 24446 +/* 15327 */ MCD_OPC_Decode, 222, 10, 112, // Opcode: VSLLI_H +/* 15331 */ MCD_OPC_FilterValue, 1, 150, 35, 0, // Skip to: 24446 +/* 15336 */ MCD_OPC_Decode, 223, 10, 106, // Opcode: VSLLI_W +/* 15340 */ MCD_OPC_FilterValue, 1, 141, 35, 0, // Skip to: 24446 +/* 15345 */ MCD_OPC_Decode, 221, 10, 113, // Opcode: VSLLI_D +/* 15349 */ MCD_OPC_FilterValue, 204, 1, 62, 0, 0, // Skip to: 15417 +/* 15355 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 15358 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15408 +/* 15363 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15366 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15399 +/* 15371 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 15374 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15390 +/* 15379 */ MCD_OPC_CheckField, 13, 1, 1, 100, 35, 0, // Skip to: 24446 +/* 15386 */ MCD_OPC_Decode, 152, 11, 111, // Opcode: VSRLI_B +/* 15390 */ MCD_OPC_FilterValue, 1, 91, 35, 0, // Skip to: 24446 +/* 15395 */ MCD_OPC_Decode, 154, 11, 112, // Opcode: VSRLI_H +/* 15399 */ MCD_OPC_FilterValue, 1, 82, 35, 0, // Skip to: 24446 +/* 15404 */ MCD_OPC_Decode, 155, 11, 106, // Opcode: VSRLI_W +/* 15408 */ MCD_OPC_FilterValue, 1, 73, 35, 0, // Skip to: 24446 +/* 15413 */ MCD_OPC_Decode, 153, 11, 113, // Opcode: VSRLI_D +/* 15417 */ MCD_OPC_FilterValue, 205, 1, 62, 0, 0, // Skip to: 15485 +/* 15423 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 15426 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15476 +/* 15431 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15434 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15467 +/* 15439 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 15442 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15458 +/* 15447 */ MCD_OPC_CheckField, 13, 1, 1, 32, 35, 0, // Skip to: 24446 +/* 15454 */ MCD_OPC_Decode, 250, 10, 111, // Opcode: VSRAI_B +/* 15458 */ MCD_OPC_FilterValue, 1, 23, 35, 0, // Skip to: 24446 +/* 15463 */ MCD_OPC_Decode, 252, 10, 112, // Opcode: VSRAI_H +/* 15467 */ MCD_OPC_FilterValue, 1, 14, 35, 0, // Skip to: 24446 +/* 15472 */ MCD_OPC_Decode, 253, 10, 106, // Opcode: VSRAI_W +/* 15476 */ MCD_OPC_FilterValue, 1, 5, 35, 0, // Skip to: 24446 +/* 15481 */ MCD_OPC_Decode, 251, 10, 113, // Opcode: VSRAI_D +/* 15485 */ MCD_OPC_FilterValue, 208, 1, 62, 0, 0, // Skip to: 15553 +/* 15491 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 15494 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15544 +/* 15499 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 15502 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15535 +/* 15507 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15510 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15526 +/* 15515 */ MCD_OPC_CheckField, 14, 1, 1, 220, 34, 0, // Skip to: 24446 +/* 15522 */ MCD_OPC_Decode, 156, 11, 124, // Opcode: VSRLNI_B_H +/* 15526 */ MCD_OPC_FilterValue, 1, 211, 34, 0, // Skip to: 24446 +/* 15531 */ MCD_OPC_Decode, 158, 11, 107, // Opcode: VSRLNI_H_W +/* 15535 */ MCD_OPC_FilterValue, 1, 202, 34, 0, // Skip to: 24446 +/* 15540 */ MCD_OPC_Decode, 159, 11, 125, // Opcode: VSRLNI_W_D +/* 15544 */ MCD_OPC_FilterValue, 1, 193, 34, 0, // Skip to: 24446 +/* 15549 */ MCD_OPC_Decode, 157, 11, 126, // Opcode: VSRLNI_D_Q +/* 15553 */ MCD_OPC_FilterValue, 209, 1, 62, 0, 0, // Skip to: 15621 +/* 15559 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 15562 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15612 +/* 15567 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 15570 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15603 +/* 15575 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15578 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15594 +/* 15583 */ MCD_OPC_CheckField, 14, 1, 1, 152, 34, 0, // Skip to: 24446 +/* 15590 */ MCD_OPC_Decode, 167, 11, 124, // Opcode: VSRLRNI_B_H +/* 15594 */ MCD_OPC_FilterValue, 1, 143, 34, 0, // Skip to: 24446 +/* 15599 */ MCD_OPC_Decode, 169, 11, 107, // Opcode: VSRLRNI_H_W +/* 15603 */ MCD_OPC_FilterValue, 1, 134, 34, 0, // Skip to: 24446 +/* 15608 */ MCD_OPC_Decode, 170, 11, 125, // Opcode: VSRLRNI_W_D +/* 15612 */ MCD_OPC_FilterValue, 1, 125, 34, 0, // Skip to: 24446 +/* 15617 */ MCD_OPC_Decode, 168, 11, 126, // Opcode: VSRLRNI_D_Q +/* 15621 */ MCD_OPC_FilterValue, 210, 1, 62, 0, 0, // Skip to: 15689 +/* 15627 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 15630 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15680 +/* 15635 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 15638 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15671 +/* 15643 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15646 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15662 +/* 15651 */ MCD_OPC_CheckField, 14, 1, 1, 84, 34, 0, // Skip to: 24446 +/* 15658 */ MCD_OPC_Decode, 211, 11, 124, // Opcode: VSSRLNI_B_H +/* 15662 */ MCD_OPC_FilterValue, 1, 75, 34, 0, // Skip to: 24446 +/* 15667 */ MCD_OPC_Decode, 215, 11, 107, // Opcode: VSSRLNI_H_W +/* 15671 */ MCD_OPC_FilterValue, 1, 66, 34, 0, // Skip to: 24446 +/* 15676 */ MCD_OPC_Decode, 217, 11, 125, // Opcode: VSSRLNI_W_D +/* 15680 */ MCD_OPC_FilterValue, 1, 57, 34, 0, // Skip to: 24446 +/* 15685 */ MCD_OPC_Decode, 213, 11, 126, // Opcode: VSSRLNI_D_Q +/* 15689 */ MCD_OPC_FilterValue, 211, 1, 62, 0, 0, // Skip to: 15757 +/* 15695 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 15698 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15748 +/* 15703 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 15706 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15739 +/* 15711 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15714 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15730 +/* 15719 */ MCD_OPC_CheckField, 14, 1, 1, 16, 34, 0, // Skip to: 24446 +/* 15726 */ MCD_OPC_Decode, 210, 11, 124, // Opcode: VSSRLNI_BU_H +/* 15730 */ MCD_OPC_FilterValue, 1, 7, 34, 0, // Skip to: 24446 +/* 15735 */ MCD_OPC_Decode, 214, 11, 107, // Opcode: VSSRLNI_HU_W +/* 15739 */ MCD_OPC_FilterValue, 1, 254, 33, 0, // Skip to: 24446 +/* 15744 */ MCD_OPC_Decode, 216, 11, 125, // Opcode: VSSRLNI_WU_D +/* 15748 */ MCD_OPC_FilterValue, 1, 245, 33, 0, // Skip to: 24446 +/* 15753 */ MCD_OPC_Decode, 212, 11, 126, // Opcode: VSSRLNI_DU_Q +/* 15757 */ MCD_OPC_FilterValue, 212, 1, 62, 0, 0, // Skip to: 15825 +/* 15763 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 15766 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15816 +/* 15771 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 15774 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15807 +/* 15779 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15782 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15798 +/* 15787 */ MCD_OPC_CheckField, 14, 1, 1, 204, 33, 0, // Skip to: 24446 +/* 15794 */ MCD_OPC_Decode, 225, 11, 124, // Opcode: VSSRLRNI_B_H +/* 15798 */ MCD_OPC_FilterValue, 1, 195, 33, 0, // Skip to: 24446 +/* 15803 */ MCD_OPC_Decode, 229, 11, 107, // Opcode: VSSRLRNI_H_W +/* 15807 */ MCD_OPC_FilterValue, 1, 186, 33, 0, // Skip to: 24446 +/* 15812 */ MCD_OPC_Decode, 231, 11, 125, // Opcode: VSSRLRNI_W_D +/* 15816 */ MCD_OPC_FilterValue, 1, 177, 33, 0, // Skip to: 24446 +/* 15821 */ MCD_OPC_Decode, 227, 11, 126, // Opcode: VSSRLRNI_D_Q +/* 15825 */ MCD_OPC_FilterValue, 213, 1, 62, 0, 0, // Skip to: 15893 +/* 15831 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 15834 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15884 +/* 15839 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 15842 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15875 +/* 15847 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15850 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15866 +/* 15855 */ MCD_OPC_CheckField, 14, 1, 1, 136, 33, 0, // Skip to: 24446 +/* 15862 */ MCD_OPC_Decode, 224, 11, 124, // Opcode: VSSRLRNI_BU_H +/* 15866 */ MCD_OPC_FilterValue, 1, 127, 33, 0, // Skip to: 24446 +/* 15871 */ MCD_OPC_Decode, 228, 11, 107, // Opcode: VSSRLRNI_HU_W +/* 15875 */ MCD_OPC_FilterValue, 1, 118, 33, 0, // Skip to: 24446 +/* 15880 */ MCD_OPC_Decode, 230, 11, 125, // Opcode: VSSRLRNI_WU_D +/* 15884 */ MCD_OPC_FilterValue, 1, 109, 33, 0, // Skip to: 24446 +/* 15889 */ MCD_OPC_Decode, 226, 11, 126, // Opcode: VSSRLRNI_DU_Q +/* 15893 */ MCD_OPC_FilterValue, 214, 1, 62, 0, 0, // Skip to: 15961 +/* 15899 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 15902 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 15952 +/* 15907 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 15910 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 15943 +/* 15915 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15918 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 15934 +/* 15923 */ MCD_OPC_CheckField, 14, 1, 1, 68, 33, 0, // Skip to: 24446 +/* 15930 */ MCD_OPC_Decode, 254, 10, 124, // Opcode: VSRANI_B_H +/* 15934 */ MCD_OPC_FilterValue, 1, 59, 33, 0, // Skip to: 24446 +/* 15939 */ MCD_OPC_Decode, 128, 11, 107, // Opcode: VSRANI_H_W +/* 15943 */ MCD_OPC_FilterValue, 1, 50, 33, 0, // Skip to: 24446 +/* 15948 */ MCD_OPC_Decode, 129, 11, 125, // Opcode: VSRANI_W_D +/* 15952 */ MCD_OPC_FilterValue, 1, 41, 33, 0, // Skip to: 24446 +/* 15957 */ MCD_OPC_Decode, 255, 10, 126, // Opcode: VSRANI_D_Q +/* 15961 */ MCD_OPC_FilterValue, 215, 1, 62, 0, 0, // Skip to: 16029 +/* 15967 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 15970 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 16020 +/* 15975 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 15978 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 16011 +/* 15983 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 15986 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 16002 +/* 15991 */ MCD_OPC_CheckField, 14, 1, 1, 0, 33, 0, // Skip to: 24446 +/* 15998 */ MCD_OPC_Decode, 137, 11, 124, // Opcode: VSRARNI_B_H +/* 16002 */ MCD_OPC_FilterValue, 1, 247, 32, 0, // Skip to: 24446 +/* 16007 */ MCD_OPC_Decode, 139, 11, 107, // Opcode: VSRARNI_H_W +/* 16011 */ MCD_OPC_FilterValue, 1, 238, 32, 0, // Skip to: 24446 +/* 16016 */ MCD_OPC_Decode, 140, 11, 125, // Opcode: VSRARNI_W_D +/* 16020 */ MCD_OPC_FilterValue, 1, 229, 32, 0, // Skip to: 24446 +/* 16025 */ MCD_OPC_Decode, 138, 11, 126, // Opcode: VSRARNI_D_Q +/* 16029 */ MCD_OPC_FilterValue, 216, 1, 62, 0, 0, // Skip to: 16097 +/* 16035 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 16038 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 16088 +/* 16043 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 16046 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 16079 +/* 16051 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 16054 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 16070 +/* 16059 */ MCD_OPC_CheckField, 14, 1, 1, 188, 32, 0, // Skip to: 24446 +/* 16066 */ MCD_OPC_Decode, 183, 11, 124, // Opcode: VSSRANI_B_H +/* 16070 */ MCD_OPC_FilterValue, 1, 179, 32, 0, // Skip to: 24446 +/* 16075 */ MCD_OPC_Decode, 187, 11, 107, // Opcode: VSSRANI_H_W +/* 16079 */ MCD_OPC_FilterValue, 1, 170, 32, 0, // Skip to: 24446 +/* 16084 */ MCD_OPC_Decode, 189, 11, 125, // Opcode: VSSRANI_W_D +/* 16088 */ MCD_OPC_FilterValue, 1, 161, 32, 0, // Skip to: 24446 +/* 16093 */ MCD_OPC_Decode, 185, 11, 126, // Opcode: VSSRANI_D_Q +/* 16097 */ MCD_OPC_FilterValue, 217, 1, 62, 0, 0, // Skip to: 16165 +/* 16103 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 16106 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 16156 +/* 16111 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 16114 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 16147 +/* 16119 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 16122 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 16138 +/* 16127 */ MCD_OPC_CheckField, 14, 1, 1, 120, 32, 0, // Skip to: 24446 +/* 16134 */ MCD_OPC_Decode, 182, 11, 124, // Opcode: VSSRANI_BU_H +/* 16138 */ MCD_OPC_FilterValue, 1, 111, 32, 0, // Skip to: 24446 +/* 16143 */ MCD_OPC_Decode, 186, 11, 107, // Opcode: VSSRANI_HU_W +/* 16147 */ MCD_OPC_FilterValue, 1, 102, 32, 0, // Skip to: 24446 +/* 16152 */ MCD_OPC_Decode, 188, 11, 125, // Opcode: VSSRANI_WU_D +/* 16156 */ MCD_OPC_FilterValue, 1, 93, 32, 0, // Skip to: 24446 +/* 16161 */ MCD_OPC_Decode, 184, 11, 126, // Opcode: VSSRANI_DU_Q +/* 16165 */ MCD_OPC_FilterValue, 218, 1, 62, 0, 0, // Skip to: 16233 +/* 16171 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 16174 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 16224 +/* 16179 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 16182 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 16215 +/* 16187 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 16190 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 16206 +/* 16195 */ MCD_OPC_CheckField, 14, 1, 1, 52, 32, 0, // Skip to: 24446 +/* 16202 */ MCD_OPC_Decode, 197, 11, 124, // Opcode: VSSRARNI_B_H +/* 16206 */ MCD_OPC_FilterValue, 1, 43, 32, 0, // Skip to: 24446 +/* 16211 */ MCD_OPC_Decode, 201, 11, 107, // Opcode: VSSRARNI_H_W +/* 16215 */ MCD_OPC_FilterValue, 1, 34, 32, 0, // Skip to: 24446 +/* 16220 */ MCD_OPC_Decode, 203, 11, 125, // Opcode: VSSRARNI_W_D +/* 16224 */ MCD_OPC_FilterValue, 1, 25, 32, 0, // Skip to: 24446 +/* 16229 */ MCD_OPC_Decode, 199, 11, 126, // Opcode: VSSRARNI_D_Q +/* 16233 */ MCD_OPC_FilterValue, 219, 1, 62, 0, 0, // Skip to: 16301 +/* 16239 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 16242 */ MCD_OPC_FilterValue, 0, 45, 0, 0, // Skip to: 16292 +/* 16247 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 16250 */ MCD_OPC_FilterValue, 0, 28, 0, 0, // Skip to: 16283 +/* 16255 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 16258 */ MCD_OPC_FilterValue, 0, 11, 0, 0, // Skip to: 16274 +/* 16263 */ MCD_OPC_CheckField, 14, 1, 1, 240, 31, 0, // Skip to: 24446 +/* 16270 */ MCD_OPC_Decode, 196, 11, 124, // Opcode: VSSRARNI_BU_H +/* 16274 */ MCD_OPC_FilterValue, 1, 231, 31, 0, // Skip to: 24446 +/* 16279 */ MCD_OPC_Decode, 200, 11, 107, // Opcode: VSSRARNI_HU_W +/* 16283 */ MCD_OPC_FilterValue, 1, 222, 31, 0, // Skip to: 24446 +/* 16288 */ MCD_OPC_Decode, 202, 11, 125, // Opcode: VSSRARNI_WU_D +/* 16292 */ MCD_OPC_FilterValue, 1, 213, 31, 0, // Skip to: 24446 +/* 16297 */ MCD_OPC_Decode, 198, 11, 126, // Opcode: VSSRARNI_DU_Q +/* 16301 */ MCD_OPC_FilterValue, 224, 1, 4, 0, 0, // Skip to: 16311 +/* 16307 */ MCD_OPC_Decode, 194, 7, 127, // Opcode: VEXTRINS_D +/* 16311 */ MCD_OPC_FilterValue, 225, 1, 4, 0, 0, // Skip to: 16321 +/* 16317 */ MCD_OPC_Decode, 196, 7, 127, // Opcode: VEXTRINS_W +/* 16321 */ MCD_OPC_FilterValue, 226, 1, 4, 0, 0, // Skip to: 16331 +/* 16327 */ MCD_OPC_Decode, 195, 7, 127, // Opcode: VEXTRINS_H +/* 16331 */ MCD_OPC_FilterValue, 227, 1, 4, 0, 0, // Skip to: 16341 +/* 16337 */ MCD_OPC_Decode, 193, 7, 127, // Opcode: VEXTRINS_B +/* 16341 */ MCD_OPC_FilterValue, 228, 1, 5, 0, 0, // Skip to: 16352 +/* 16347 */ MCD_OPC_Decode, 192, 10, 128, 1, // Opcode: VSHUF4I_B +/* 16352 */ MCD_OPC_FilterValue, 229, 1, 5, 0, 0, // Skip to: 16363 +/* 16358 */ MCD_OPC_Decode, 194, 10, 128, 1, // Opcode: VSHUF4I_H +/* 16363 */ MCD_OPC_FilterValue, 230, 1, 5, 0, 0, // Skip to: 16374 +/* 16369 */ MCD_OPC_Decode, 195, 10, 128, 1, // Opcode: VSHUF4I_W +/* 16374 */ MCD_OPC_FilterValue, 231, 1, 4, 0, 0, // Skip to: 16384 +/* 16380 */ MCD_OPC_Decode, 193, 10, 127, // Opcode: VSHUF4I_D +/* 16384 */ MCD_OPC_FilterValue, 241, 1, 4, 0, 0, // Skip to: 16394 +/* 16390 */ MCD_OPC_Decode, 143, 7, 127, // Opcode: VBITSELI_B +/* 16394 */ MCD_OPC_FilterValue, 244, 1, 5, 0, 0, // Skip to: 16405 +/* 16400 */ MCD_OPC_Decode, 236, 6, 128, 1, // Opcode: VANDI_B +/* 16405 */ MCD_OPC_FilterValue, 245, 1, 5, 0, 0, // Skip to: 16416 +/* 16411 */ MCD_OPC_Decode, 234, 9, 128, 1, // Opcode: VORI_B +/* 16416 */ MCD_OPC_FilterValue, 246, 1, 5, 0, 0, // Skip to: 16427 +/* 16422 */ MCD_OPC_Decode, 149, 12, 128, 1, // Opcode: VXORI_B +/* 16427 */ MCD_OPC_FilterValue, 247, 1, 5, 0, 0, // Skip to: 16438 +/* 16433 */ MCD_OPC_Decode, 232, 9, 128, 1, // Opcode: VNORI_B +/* 16438 */ MCD_OPC_FilterValue, 248, 1, 5, 0, 0, // Skip to: 16449 +/* 16444 */ MCD_OPC_Decode, 236, 8, 129, 1, // Opcode: VLDI +/* 16449 */ MCD_OPC_FilterValue, 249, 1, 55, 31, 0, // Skip to: 24446 +/* 16455 */ MCD_OPC_Decode, 249, 9, 127, // Opcode: VPERMI_W +/* 16459 */ MCD_OPC_FilterValue, 29, 46, 31, 0, // Skip to: 24446 +/* 16464 */ MCD_OPC_ExtractField, 18, 8, // Inst{25-18} ... +/* 16467 */ MCD_OPC_FilterValue, 0, 75, 0, 0, // Skip to: 16547 +/* 16472 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16475 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 16484 +/* 16480 */ MCD_OPC_Decode, 248, 16, 64, // Opcode: XVSEQ_B +/* 16484 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 16493 +/* 16489 */ MCD_OPC_Decode, 250, 16, 64, // Opcode: XVSEQ_H +/* 16493 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 16502 +/* 16498 */ MCD_OPC_Decode, 251, 16, 64, // Opcode: XVSEQ_W +/* 16502 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 16511 +/* 16507 */ MCD_OPC_Decode, 249, 16, 64, // Opcode: XVSEQ_D +/* 16511 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 16520 +/* 16516 */ MCD_OPC_Decode, 154, 17, 64, // Opcode: XVSLE_B +/* 16520 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 16529 +/* 16525 */ MCD_OPC_Decode, 158, 17, 64, // Opcode: XVSLE_H +/* 16529 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 16538 +/* 16534 */ MCD_OPC_Decode, 160, 17, 64, // Opcode: XVSLE_W +/* 16538 */ MCD_OPC_FilterValue, 7, 223, 30, 0, // Skip to: 24446 +/* 16543 */ MCD_OPC_Decode, 156, 17, 64, // Opcode: XVSLE_D +/* 16547 */ MCD_OPC_FilterValue, 1, 75, 0, 0, // Skip to: 16627 +/* 16552 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16555 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 16564 +/* 16560 */ MCD_OPC_Decode, 155, 17, 64, // Opcode: XVSLE_BU +/* 16564 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 16573 +/* 16569 */ MCD_OPC_Decode, 159, 17, 64, // Opcode: XVSLE_HU +/* 16573 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 16582 +/* 16578 */ MCD_OPC_Decode, 161, 17, 64, // Opcode: XVSLE_WU +/* 16582 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 16591 +/* 16587 */ MCD_OPC_Decode, 157, 17, 64, // Opcode: XVSLE_DU +/* 16591 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 16600 +/* 16596 */ MCD_OPC_Decode, 184, 17, 64, // Opcode: XVSLT_B +/* 16600 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 16609 +/* 16605 */ MCD_OPC_Decode, 188, 17, 64, // Opcode: XVSLT_H +/* 16609 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 16618 +/* 16614 */ MCD_OPC_Decode, 190, 17, 64, // Opcode: XVSLT_W +/* 16618 */ MCD_OPC_FilterValue, 7, 143, 30, 0, // Skip to: 24446 +/* 16623 */ MCD_OPC_Decode, 186, 17, 64, // Opcode: XVSLT_D +/* 16627 */ MCD_OPC_FilterValue, 2, 75, 0, 0, // Skip to: 16707 +/* 16632 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16635 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 16644 +/* 16640 */ MCD_OPC_Decode, 185, 17, 64, // Opcode: XVSLT_BU +/* 16644 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 16653 +/* 16649 */ MCD_OPC_Decode, 189, 17, 64, // Opcode: XVSLT_HU +/* 16653 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 16662 +/* 16658 */ MCD_OPC_Decode, 191, 17, 64, // Opcode: XVSLT_WU +/* 16662 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 16671 +/* 16667 */ MCD_OPC_Decode, 187, 17, 64, // Opcode: XVSLT_DU +/* 16671 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 16680 +/* 16676 */ MCD_OPC_Decode, 178, 13, 64, // Opcode: XVADD_B +/* 16680 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 16689 +/* 16685 */ MCD_OPC_Decode, 180, 13, 64, // Opcode: XVADD_H +/* 16689 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 16698 +/* 16694 */ MCD_OPC_Decode, 182, 13, 64, // Opcode: XVADD_W +/* 16698 */ MCD_OPC_FilterValue, 7, 63, 30, 0, // Skip to: 24446 +/* 16703 */ MCD_OPC_Decode, 179, 13, 64, // Opcode: XVADD_D +/* 16707 */ MCD_OPC_FilterValue, 3, 39, 0, 0, // Skip to: 16751 +/* 16712 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16715 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 16724 +/* 16720 */ MCD_OPC_Decode, 214, 18, 64, // Opcode: XVSUB_B +/* 16724 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 16733 +/* 16729 */ MCD_OPC_Decode, 216, 18, 64, // Opcode: XVSUB_H +/* 16733 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 16742 +/* 16738 */ MCD_OPC_Decode, 218, 18, 64, // Opcode: XVSUB_W +/* 16742 */ MCD_OPC_FilterValue, 3, 19, 30, 0, // Skip to: 24446 +/* 16747 */ MCD_OPC_Decode, 215, 18, 64, // Opcode: XVSUB_D +/* 16751 */ MCD_OPC_FilterValue, 7, 39, 0, 0, // Skip to: 16795 +/* 16756 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16759 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 16768 +/* 16764 */ MCD_OPC_Decode, 157, 13, 64, // Opcode: XVADDWEV_H_B +/* 16768 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 16777 +/* 16773 */ MCD_OPC_Decode, 163, 13, 64, // Opcode: XVADDWEV_W_H +/* 16777 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 16786 +/* 16782 */ MCD_OPC_Decode, 154, 13, 64, // Opcode: XVADDWEV_D_W +/* 16786 */ MCD_OPC_FilterValue, 7, 231, 29, 0, // Skip to: 24446 +/* 16791 */ MCD_OPC_Decode, 160, 13, 64, // Opcode: XVADDWEV_Q_D +/* 16795 */ MCD_OPC_FilterValue, 8, 75, 0, 0, // Skip to: 16875 +/* 16800 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16803 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 16812 +/* 16808 */ MCD_OPC_Decode, 200, 18, 64, // Opcode: XVSUBWEV_H_B +/* 16812 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 16821 +/* 16817 */ MCD_OPC_Decode, 204, 18, 64, // Opcode: XVSUBWEV_W_H +/* 16821 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 16830 +/* 16826 */ MCD_OPC_Decode, 198, 18, 64, // Opcode: XVSUBWEV_D_W +/* 16830 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 16839 +/* 16835 */ MCD_OPC_Decode, 202, 18, 64, // Opcode: XVSUBWEV_Q_D +/* 16839 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 16848 +/* 16844 */ MCD_OPC_Decode, 169, 13, 64, // Opcode: XVADDWOD_H_B +/* 16848 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 16857 +/* 16853 */ MCD_OPC_Decode, 175, 13, 64, // Opcode: XVADDWOD_W_H +/* 16857 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 16866 +/* 16862 */ MCD_OPC_Decode, 166, 13, 64, // Opcode: XVADDWOD_D_W +/* 16866 */ MCD_OPC_FilterValue, 7, 151, 29, 0, // Skip to: 24446 +/* 16871 */ MCD_OPC_Decode, 172, 13, 64, // Opcode: XVADDWOD_Q_D +/* 16875 */ MCD_OPC_FilterValue, 9, 39, 0, 0, // Skip to: 16919 +/* 16880 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16883 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 16892 +/* 16888 */ MCD_OPC_Decode, 208, 18, 64, // Opcode: XVSUBWOD_H_B +/* 16892 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 16901 +/* 16897 */ MCD_OPC_Decode, 212, 18, 64, // Opcode: XVSUBWOD_W_H +/* 16901 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 16910 +/* 16906 */ MCD_OPC_Decode, 206, 18, 64, // Opcode: XVSUBWOD_D_W +/* 16910 */ MCD_OPC_FilterValue, 3, 107, 29, 0, // Skip to: 24446 +/* 16915 */ MCD_OPC_Decode, 210, 18, 64, // Opcode: XVSUBWOD_Q_D +/* 16919 */ MCD_OPC_FilterValue, 11, 39, 0, 0, // Skip to: 16963 +/* 16924 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16927 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 16936 +/* 16932 */ MCD_OPC_Decode, 158, 13, 64, // Opcode: XVADDWEV_H_BU +/* 16936 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 16945 +/* 16941 */ MCD_OPC_Decode, 164, 13, 64, // Opcode: XVADDWEV_W_HU +/* 16945 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 16954 +/* 16950 */ MCD_OPC_Decode, 155, 13, 64, // Opcode: XVADDWEV_D_WU +/* 16954 */ MCD_OPC_FilterValue, 7, 63, 29, 0, // Skip to: 24446 +/* 16959 */ MCD_OPC_Decode, 161, 13, 64, // Opcode: XVADDWEV_Q_DU +/* 16963 */ MCD_OPC_FilterValue, 12, 75, 0, 0, // Skip to: 17043 +/* 16968 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 16971 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 16980 +/* 16976 */ MCD_OPC_Decode, 201, 18, 64, // Opcode: XVSUBWEV_H_BU +/* 16980 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 16989 +/* 16985 */ MCD_OPC_Decode, 205, 18, 64, // Opcode: XVSUBWEV_W_HU +/* 16989 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 16998 +/* 16994 */ MCD_OPC_Decode, 199, 18, 64, // Opcode: XVSUBWEV_D_WU +/* 16998 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17007 +/* 17003 */ MCD_OPC_Decode, 203, 18, 64, // Opcode: XVSUBWEV_Q_DU +/* 17007 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17016 +/* 17012 */ MCD_OPC_Decode, 170, 13, 64, // Opcode: XVADDWOD_H_BU +/* 17016 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17025 +/* 17021 */ MCD_OPC_Decode, 176, 13, 64, // Opcode: XVADDWOD_W_HU +/* 17025 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17034 +/* 17030 */ MCD_OPC_Decode, 167, 13, 64, // Opcode: XVADDWOD_D_WU +/* 17034 */ MCD_OPC_FilterValue, 7, 239, 28, 0, // Skip to: 24446 +/* 17039 */ MCD_OPC_Decode, 173, 13, 64, // Opcode: XVADDWOD_Q_DU +/* 17043 */ MCD_OPC_FilterValue, 13, 39, 0, 0, // Skip to: 17087 +/* 17048 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17051 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17060 +/* 17056 */ MCD_OPC_Decode, 209, 18, 64, // Opcode: XVSUBWOD_H_BU +/* 17060 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17069 +/* 17065 */ MCD_OPC_Decode, 213, 18, 64, // Opcode: XVSUBWOD_W_HU +/* 17069 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17078 +/* 17074 */ MCD_OPC_Decode, 207, 18, 64, // Opcode: XVSUBWOD_D_WU +/* 17078 */ MCD_OPC_FilterValue, 3, 195, 28, 0, // Skip to: 24446 +/* 17083 */ MCD_OPC_Decode, 211, 18, 64, // Opcode: XVSUBWOD_Q_DU +/* 17087 */ MCD_OPC_FilterValue, 15, 39, 0, 0, // Skip to: 17131 +/* 17092 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17095 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17104 +/* 17100 */ MCD_OPC_Decode, 159, 13, 64, // Opcode: XVADDWEV_H_BU_B +/* 17104 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17113 +/* 17109 */ MCD_OPC_Decode, 165, 13, 64, // Opcode: XVADDWEV_W_HU_H +/* 17113 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17122 +/* 17118 */ MCD_OPC_Decode, 156, 13, 64, // Opcode: XVADDWEV_D_WU_W +/* 17122 */ MCD_OPC_FilterValue, 7, 151, 28, 0, // Skip to: 24446 +/* 17127 */ MCD_OPC_Decode, 162, 13, 64, // Opcode: XVADDWEV_Q_DU_D +/* 17131 */ MCD_OPC_FilterValue, 16, 39, 0, 0, // Skip to: 17175 +/* 17136 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17139 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17148 +/* 17144 */ MCD_OPC_Decode, 171, 13, 64, // Opcode: XVADDWOD_H_BU_B +/* 17148 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17157 +/* 17153 */ MCD_OPC_Decode, 177, 13, 64, // Opcode: XVADDWOD_W_HU_H +/* 17157 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17166 +/* 17162 */ MCD_OPC_Decode, 168, 13, 64, // Opcode: XVADDWOD_D_WU_W +/* 17166 */ MCD_OPC_FilterValue, 3, 107, 28, 0, // Skip to: 24446 +/* 17171 */ MCD_OPC_Decode, 174, 13, 64, // Opcode: XVADDWOD_Q_DU_D +/* 17175 */ MCD_OPC_FilterValue, 17, 39, 0, 0, // Skip to: 17219 +/* 17180 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17183 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17192 +/* 17188 */ MCD_OPC_Decode, 228, 16, 64, // Opcode: XVSADD_B +/* 17192 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17201 +/* 17197 */ MCD_OPC_Decode, 232, 16, 64, // Opcode: XVSADD_H +/* 17201 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17210 +/* 17206 */ MCD_OPC_Decode, 234, 16, 64, // Opcode: XVSADD_W +/* 17210 */ MCD_OPC_FilterValue, 7, 63, 28, 0, // Skip to: 24446 +/* 17215 */ MCD_OPC_Decode, 230, 16, 64, // Opcode: XVSADD_D +/* 17219 */ MCD_OPC_FilterValue, 18, 75, 0, 0, // Skip to: 17299 +/* 17224 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17227 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17236 +/* 17232 */ MCD_OPC_Decode, 180, 18, 64, // Opcode: XVSSUB_B +/* 17236 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17245 +/* 17241 */ MCD_OPC_Decode, 184, 18, 64, // Opcode: XVSSUB_H +/* 17245 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17254 +/* 17250 */ MCD_OPC_Decode, 186, 18, 64, // Opcode: XVSSUB_W +/* 17254 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17263 +/* 17259 */ MCD_OPC_Decode, 182, 18, 64, // Opcode: XVSSUB_D +/* 17263 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17272 +/* 17268 */ MCD_OPC_Decode, 229, 16, 64, // Opcode: XVSADD_BU +/* 17272 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17281 +/* 17277 */ MCD_OPC_Decode, 233, 16, 64, // Opcode: XVSADD_HU +/* 17281 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17290 +/* 17286 */ MCD_OPC_Decode, 235, 16, 64, // Opcode: XVSADD_WU +/* 17290 */ MCD_OPC_FilterValue, 7, 239, 27, 0, // Skip to: 24446 +/* 17295 */ MCD_OPC_Decode, 231, 16, 64, // Opcode: XVSADD_DU +/* 17299 */ MCD_OPC_FilterValue, 19, 39, 0, 0, // Skip to: 17343 +/* 17304 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17307 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17316 +/* 17312 */ MCD_OPC_Decode, 181, 18, 64, // Opcode: XVSSUB_BU +/* 17316 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17325 +/* 17321 */ MCD_OPC_Decode, 185, 18, 64, // Opcode: XVSSUB_HU +/* 17325 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17334 +/* 17330 */ MCD_OPC_Decode, 187, 18, 64, // Opcode: XVSSUB_WU +/* 17334 */ MCD_OPC_FilterValue, 3, 195, 27, 0, // Skip to: 24446 +/* 17339 */ MCD_OPC_Decode, 183, 18, 64, // Opcode: XVSSUB_DU +/* 17343 */ MCD_OPC_FilterValue, 21, 75, 0, 0, // Skip to: 17423 +/* 17348 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17351 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17360 +/* 17356 */ MCD_OPC_Decode, 145, 15, 64, // Opcode: XVHADDW_H_B +/* 17360 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17369 +/* 17365 */ MCD_OPC_Decode, 149, 15, 64, // Opcode: XVHADDW_W_H +/* 17369 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17378 +/* 17374 */ MCD_OPC_Decode, 143, 15, 64, // Opcode: XVHADDW_D_W +/* 17378 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17387 +/* 17383 */ MCD_OPC_Decode, 147, 15, 64, // Opcode: XVHADDW_Q_D +/* 17387 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17396 +/* 17392 */ MCD_OPC_Decode, 154, 15, 64, // Opcode: XVHSUBW_H_B +/* 17396 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17405 +/* 17401 */ MCD_OPC_Decode, 158, 15, 64, // Opcode: XVHSUBW_W_H +/* 17405 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17414 +/* 17410 */ MCD_OPC_Decode, 152, 15, 64, // Opcode: XVHSUBW_D_W +/* 17414 */ MCD_OPC_FilterValue, 7, 115, 27, 0, // Skip to: 24446 +/* 17419 */ MCD_OPC_Decode, 156, 15, 64, // Opcode: XVHSUBW_Q_D +/* 17423 */ MCD_OPC_FilterValue, 22, 75, 0, 0, // Skip to: 17503 +/* 17428 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17431 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17440 +/* 17436 */ MCD_OPC_Decode, 144, 15, 64, // Opcode: XVHADDW_HU_BU +/* 17440 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17449 +/* 17445 */ MCD_OPC_Decode, 148, 15, 64, // Opcode: XVHADDW_WU_HU +/* 17449 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17458 +/* 17454 */ MCD_OPC_Decode, 142, 15, 64, // Opcode: XVHADDW_DU_WU +/* 17458 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17467 +/* 17463 */ MCD_OPC_Decode, 146, 15, 64, // Opcode: XVHADDW_QU_DU +/* 17467 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17476 +/* 17472 */ MCD_OPC_Decode, 153, 15, 64, // Opcode: XVHSUBW_HU_BU +/* 17476 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17485 +/* 17481 */ MCD_OPC_Decode, 157, 15, 64, // Opcode: XVHSUBW_WU_HU +/* 17485 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17494 +/* 17490 */ MCD_OPC_Decode, 151, 15, 64, // Opcode: XVHSUBW_DU_WU +/* 17494 */ MCD_OPC_FilterValue, 7, 35, 27, 0, // Skip to: 24446 +/* 17499 */ MCD_OPC_Decode, 155, 15, 64, // Opcode: XVHSUBW_QU_DU +/* 17503 */ MCD_OPC_FilterValue, 23, 39, 0, 0, // Skip to: 17547 +/* 17508 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17511 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17520 +/* 17516 */ MCD_OPC_Decode, 146, 13, 64, // Opcode: XVADDA_B +/* 17520 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17529 +/* 17525 */ MCD_OPC_Decode, 148, 13, 64, // Opcode: XVADDA_H +/* 17529 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17538 +/* 17534 */ MCD_OPC_Decode, 149, 13, 64, // Opcode: XVADDA_W +/* 17538 */ MCD_OPC_FilterValue, 3, 247, 26, 0, // Skip to: 24446 +/* 17543 */ MCD_OPC_Decode, 147, 13, 64, // Opcode: XVADDA_D +/* 17547 */ MCD_OPC_FilterValue, 24, 75, 0, 0, // Skip to: 17627 +/* 17552 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17555 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17564 +/* 17560 */ MCD_OPC_Decode, 138, 13, 64, // Opcode: XVABSD_B +/* 17564 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17573 +/* 17569 */ MCD_OPC_Decode, 142, 13, 64, // Opcode: XVABSD_H +/* 17573 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17582 +/* 17578 */ MCD_OPC_Decode, 144, 13, 64, // Opcode: XVABSD_W +/* 17582 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17591 +/* 17587 */ MCD_OPC_Decode, 140, 13, 64, // Opcode: XVABSD_D +/* 17591 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17600 +/* 17596 */ MCD_OPC_Decode, 139, 13, 64, // Opcode: XVABSD_BU +/* 17600 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17609 +/* 17605 */ MCD_OPC_Decode, 143, 13, 64, // Opcode: XVABSD_HU +/* 17609 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17618 +/* 17614 */ MCD_OPC_Decode, 145, 13, 64, // Opcode: XVABSD_WU +/* 17618 */ MCD_OPC_FilterValue, 7, 167, 26, 0, // Skip to: 24446 +/* 17623 */ MCD_OPC_Decode, 141, 13, 64, // Opcode: XVABSD_DU +/* 17627 */ MCD_OPC_FilterValue, 25, 75, 0, 0, // Skip to: 17707 +/* 17632 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17635 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17644 +/* 17640 */ MCD_OPC_Decode, 194, 13, 64, // Opcode: XVAVG_B +/* 17644 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17653 +/* 17649 */ MCD_OPC_Decode, 198, 13, 64, // Opcode: XVAVG_H +/* 17653 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17662 +/* 17658 */ MCD_OPC_Decode, 200, 13, 64, // Opcode: XVAVG_W +/* 17662 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17671 +/* 17667 */ MCD_OPC_Decode, 196, 13, 64, // Opcode: XVAVG_D +/* 17671 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17680 +/* 17676 */ MCD_OPC_Decode, 195, 13, 64, // Opcode: XVAVG_BU +/* 17680 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17689 +/* 17685 */ MCD_OPC_Decode, 199, 13, 64, // Opcode: XVAVG_HU +/* 17689 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17698 +/* 17694 */ MCD_OPC_Decode, 201, 13, 64, // Opcode: XVAVG_WU +/* 17698 */ MCD_OPC_FilterValue, 7, 87, 26, 0, // Skip to: 24446 +/* 17703 */ MCD_OPC_Decode, 197, 13, 64, // Opcode: XVAVG_DU +/* 17707 */ MCD_OPC_FilterValue, 26, 75, 0, 0, // Skip to: 17787 +/* 17712 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17715 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17724 +/* 17720 */ MCD_OPC_Decode, 186, 13, 64, // Opcode: XVAVGR_B +/* 17724 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17733 +/* 17729 */ MCD_OPC_Decode, 190, 13, 64, // Opcode: XVAVGR_H +/* 17733 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17742 +/* 17738 */ MCD_OPC_Decode, 192, 13, 64, // Opcode: XVAVGR_W +/* 17742 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17751 +/* 17747 */ MCD_OPC_Decode, 188, 13, 64, // Opcode: XVAVGR_D +/* 17751 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17760 +/* 17756 */ MCD_OPC_Decode, 187, 13, 64, // Opcode: XVAVGR_BU +/* 17760 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17769 +/* 17765 */ MCD_OPC_Decode, 191, 13, 64, // Opcode: XVAVGR_HU +/* 17769 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17778 +/* 17774 */ MCD_OPC_Decode, 193, 13, 64, // Opcode: XVAVGR_WU +/* 17778 */ MCD_OPC_FilterValue, 7, 7, 26, 0, // Skip to: 24446 +/* 17783 */ MCD_OPC_Decode, 189, 13, 64, // Opcode: XVAVGR_DU +/* 17787 */ MCD_OPC_FilterValue, 28, 75, 0, 0, // Skip to: 17867 +/* 17792 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17795 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17804 +/* 17800 */ MCD_OPC_Decode, 214, 15, 64, // Opcode: XVMAX_B +/* 17804 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17813 +/* 17809 */ MCD_OPC_Decode, 218, 15, 64, // Opcode: XVMAX_H +/* 17813 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17822 +/* 17818 */ MCD_OPC_Decode, 220, 15, 64, // Opcode: XVMAX_W +/* 17822 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17831 +/* 17827 */ MCD_OPC_Decode, 216, 15, 64, // Opcode: XVMAX_D +/* 17831 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17840 +/* 17836 */ MCD_OPC_Decode, 230, 15, 64, // Opcode: XVMIN_B +/* 17840 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17849 +/* 17845 */ MCD_OPC_Decode, 234, 15, 64, // Opcode: XVMIN_H +/* 17849 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17858 +/* 17854 */ MCD_OPC_Decode, 236, 15, 64, // Opcode: XVMIN_W +/* 17858 */ MCD_OPC_FilterValue, 7, 183, 25, 0, // Skip to: 24446 +/* 17863 */ MCD_OPC_Decode, 232, 15, 64, // Opcode: XVMIN_D +/* 17867 */ MCD_OPC_FilterValue, 29, 75, 0, 0, // Skip to: 17947 +/* 17872 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17875 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17884 +/* 17880 */ MCD_OPC_Decode, 215, 15, 64, // Opcode: XVMAX_BU +/* 17884 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17893 +/* 17889 */ MCD_OPC_Decode, 219, 15, 64, // Opcode: XVMAX_HU +/* 17893 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17902 +/* 17898 */ MCD_OPC_Decode, 221, 15, 64, // Opcode: XVMAX_WU +/* 17902 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17911 +/* 17907 */ MCD_OPC_Decode, 217, 15, 64, // Opcode: XVMAX_DU +/* 17911 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 17920 +/* 17916 */ MCD_OPC_Decode, 231, 15, 64, // Opcode: XVMIN_BU +/* 17920 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 17929 +/* 17925 */ MCD_OPC_Decode, 235, 15, 64, // Opcode: XVMIN_HU +/* 17929 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 17938 +/* 17934 */ MCD_OPC_Decode, 237, 15, 64, // Opcode: XVMIN_WU +/* 17938 */ MCD_OPC_FilterValue, 7, 103, 25, 0, // Skip to: 24446 +/* 17943 */ MCD_OPC_Decode, 233, 15, 64, // Opcode: XVMIN_DU +/* 17947 */ MCD_OPC_FilterValue, 33, 75, 0, 0, // Skip to: 18027 +/* 17952 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 17955 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 17964 +/* 17960 */ MCD_OPC_Decode, 160, 16, 64, // Opcode: XVMUL_B +/* 17964 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 17973 +/* 17969 */ MCD_OPC_Decode, 162, 16, 64, // Opcode: XVMUL_H +/* 17973 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 17982 +/* 17978 */ MCD_OPC_Decode, 163, 16, 64, // Opcode: XVMUL_W +/* 17982 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 17991 +/* 17987 */ MCD_OPC_Decode, 161, 16, 64, // Opcode: XVMUL_D +/* 17991 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 18000 +/* 17996 */ MCD_OPC_Decode, 128, 16, 64, // Opcode: XVMUH_B +/* 18000 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 18009 +/* 18005 */ MCD_OPC_Decode, 132, 16, 64, // Opcode: XVMUH_H +/* 18009 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 18018 +/* 18014 */ MCD_OPC_Decode, 134, 16, 64, // Opcode: XVMUH_W +/* 18018 */ MCD_OPC_FilterValue, 7, 23, 25, 0, // Skip to: 24446 +/* 18023 */ MCD_OPC_Decode, 130, 16, 64, // Opcode: XVMUH_D +/* 18027 */ MCD_OPC_FilterValue, 34, 39, 0, 0, // Skip to: 18071 +/* 18032 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18035 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 18044 +/* 18040 */ MCD_OPC_Decode, 129, 16, 64, // Opcode: XVMUH_BU +/* 18044 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 18053 +/* 18049 */ MCD_OPC_Decode, 133, 16, 64, // Opcode: XVMUH_HU +/* 18053 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 18062 +/* 18058 */ MCD_OPC_Decode, 135, 16, 64, // Opcode: XVMUH_WU +/* 18062 */ MCD_OPC_FilterValue, 3, 235, 24, 0, // Skip to: 24446 +/* 18067 */ MCD_OPC_Decode, 131, 16, 64, // Opcode: XVMUH_DU +/* 18071 */ MCD_OPC_FilterValue, 36, 75, 0, 0, // Skip to: 18151 +/* 18076 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18079 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 18088 +/* 18084 */ MCD_OPC_Decode, 139, 16, 64, // Opcode: XVMULWEV_H_B +/* 18088 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 18097 +/* 18093 */ MCD_OPC_Decode, 145, 16, 64, // Opcode: XVMULWEV_W_H +/* 18097 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 18106 +/* 18102 */ MCD_OPC_Decode, 136, 16, 64, // Opcode: XVMULWEV_D_W +/* 18106 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 18115 +/* 18111 */ MCD_OPC_Decode, 142, 16, 64, // Opcode: XVMULWEV_Q_D +/* 18115 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 18124 +/* 18120 */ MCD_OPC_Decode, 151, 16, 64, // Opcode: XVMULWOD_H_B +/* 18124 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 18133 +/* 18129 */ MCD_OPC_Decode, 157, 16, 64, // Opcode: XVMULWOD_W_H +/* 18133 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 18142 +/* 18138 */ MCD_OPC_Decode, 148, 16, 64, // Opcode: XVMULWOD_D_W +/* 18142 */ MCD_OPC_FilterValue, 7, 155, 24, 0, // Skip to: 24446 +/* 18147 */ MCD_OPC_Decode, 154, 16, 64, // Opcode: XVMULWOD_Q_D +/* 18151 */ MCD_OPC_FilterValue, 38, 75, 0, 0, // Skip to: 18231 +/* 18156 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18159 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 18168 +/* 18164 */ MCD_OPC_Decode, 140, 16, 64, // Opcode: XVMULWEV_H_BU +/* 18168 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 18177 +/* 18173 */ MCD_OPC_Decode, 146, 16, 64, // Opcode: XVMULWEV_W_HU +/* 18177 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 18186 +/* 18182 */ MCD_OPC_Decode, 137, 16, 64, // Opcode: XVMULWEV_D_WU +/* 18186 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 18195 +/* 18191 */ MCD_OPC_Decode, 143, 16, 64, // Opcode: XVMULWEV_Q_DU +/* 18195 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 18204 +/* 18200 */ MCD_OPC_Decode, 152, 16, 64, // Opcode: XVMULWOD_H_BU +/* 18204 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 18213 +/* 18209 */ MCD_OPC_Decode, 158, 16, 64, // Opcode: XVMULWOD_W_HU +/* 18213 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 18222 +/* 18218 */ MCD_OPC_Decode, 149, 16, 64, // Opcode: XVMULWOD_D_WU +/* 18222 */ MCD_OPC_FilterValue, 7, 75, 24, 0, // Skip to: 24446 +/* 18227 */ MCD_OPC_Decode, 155, 16, 64, // Opcode: XVMULWOD_Q_DU +/* 18231 */ MCD_OPC_FilterValue, 40, 75, 0, 0, // Skip to: 18311 +/* 18236 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18239 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 18248 +/* 18244 */ MCD_OPC_Decode, 141, 16, 64, // Opcode: XVMULWEV_H_BU_B +/* 18248 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 18257 +/* 18253 */ MCD_OPC_Decode, 147, 16, 64, // Opcode: XVMULWEV_W_HU_H +/* 18257 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 18266 +/* 18262 */ MCD_OPC_Decode, 138, 16, 64, // Opcode: XVMULWEV_D_WU_W +/* 18266 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 18275 +/* 18271 */ MCD_OPC_Decode, 144, 16, 64, // Opcode: XVMULWEV_Q_DU_D +/* 18275 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 18284 +/* 18280 */ MCD_OPC_Decode, 153, 16, 64, // Opcode: XVMULWOD_H_BU_B +/* 18284 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 18293 +/* 18289 */ MCD_OPC_Decode, 159, 16, 64, // Opcode: XVMULWOD_W_HU_H +/* 18293 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 18302 +/* 18298 */ MCD_OPC_Decode, 150, 16, 64, // Opcode: XVMULWOD_D_WU_W +/* 18302 */ MCD_OPC_FilterValue, 7, 251, 23, 0, // Skip to: 24446 +/* 18307 */ MCD_OPC_Decode, 156, 16, 64, // Opcode: XVMULWOD_Q_DU_D +/* 18311 */ MCD_OPC_FilterValue, 42, 83, 0, 0, // Skip to: 18399 +/* 18316 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18319 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 18329 +/* 18324 */ MCD_OPC_Decode, 202, 15, 130, 1, // Opcode: XVMADD_B +/* 18329 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 18339 +/* 18334 */ MCD_OPC_Decode, 204, 15, 130, 1, // Opcode: XVMADD_H +/* 18339 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 18349 +/* 18344 */ MCD_OPC_Decode, 205, 15, 130, 1, // Opcode: XVMADD_W +/* 18349 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 18359 +/* 18354 */ MCD_OPC_Decode, 203, 15, 130, 1, // Opcode: XVMADD_D +/* 18359 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 18369 +/* 18364 */ MCD_OPC_Decode, 252, 15, 130, 1, // Opcode: XVMSUB_B +/* 18369 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 18379 +/* 18374 */ MCD_OPC_Decode, 254, 15, 130, 1, // Opcode: XVMSUB_H +/* 18379 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 18389 +/* 18384 */ MCD_OPC_Decode, 255, 15, 130, 1, // Opcode: XVMSUB_W +/* 18389 */ MCD_OPC_FilterValue, 7, 164, 23, 0, // Skip to: 24446 +/* 18394 */ MCD_OPC_Decode, 253, 15, 130, 1, // Opcode: XVMSUB_D +/* 18399 */ MCD_OPC_FilterValue, 43, 83, 0, 0, // Skip to: 18487 +/* 18404 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18407 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 18417 +/* 18412 */ MCD_OPC_Decode, 181, 15, 130, 1, // Opcode: XVMADDWEV_H_B +/* 18417 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 18427 +/* 18422 */ MCD_OPC_Decode, 187, 15, 130, 1, // Opcode: XVMADDWEV_W_H +/* 18427 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 18437 +/* 18432 */ MCD_OPC_Decode, 178, 15, 130, 1, // Opcode: XVMADDWEV_D_W +/* 18437 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 18447 +/* 18442 */ MCD_OPC_Decode, 184, 15, 130, 1, // Opcode: XVMADDWEV_Q_D +/* 18447 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 18457 +/* 18452 */ MCD_OPC_Decode, 193, 15, 130, 1, // Opcode: XVMADDWOD_H_B +/* 18457 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 18467 +/* 18462 */ MCD_OPC_Decode, 199, 15, 130, 1, // Opcode: XVMADDWOD_W_H +/* 18467 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 18477 +/* 18472 */ MCD_OPC_Decode, 190, 15, 130, 1, // Opcode: XVMADDWOD_D_W +/* 18477 */ MCD_OPC_FilterValue, 7, 76, 23, 0, // Skip to: 24446 +/* 18482 */ MCD_OPC_Decode, 196, 15, 130, 1, // Opcode: XVMADDWOD_Q_D +/* 18487 */ MCD_OPC_FilterValue, 45, 83, 0, 0, // Skip to: 18575 +/* 18492 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18495 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 18505 +/* 18500 */ MCD_OPC_Decode, 182, 15, 130, 1, // Opcode: XVMADDWEV_H_BU +/* 18505 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 18515 +/* 18510 */ MCD_OPC_Decode, 188, 15, 130, 1, // Opcode: XVMADDWEV_W_HU +/* 18515 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 18525 +/* 18520 */ MCD_OPC_Decode, 179, 15, 130, 1, // Opcode: XVMADDWEV_D_WU +/* 18525 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 18535 +/* 18530 */ MCD_OPC_Decode, 185, 15, 130, 1, // Opcode: XVMADDWEV_Q_DU +/* 18535 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 18545 +/* 18540 */ MCD_OPC_Decode, 194, 15, 130, 1, // Opcode: XVMADDWOD_H_BU +/* 18545 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 18555 +/* 18550 */ MCD_OPC_Decode, 200, 15, 130, 1, // Opcode: XVMADDWOD_W_HU +/* 18555 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 18565 +/* 18560 */ MCD_OPC_Decode, 191, 15, 130, 1, // Opcode: XVMADDWOD_D_WU +/* 18565 */ MCD_OPC_FilterValue, 7, 244, 22, 0, // Skip to: 24446 +/* 18570 */ MCD_OPC_Decode, 197, 15, 130, 1, // Opcode: XVMADDWOD_Q_DU +/* 18575 */ MCD_OPC_FilterValue, 47, 83, 0, 0, // Skip to: 18663 +/* 18580 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18583 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 18593 +/* 18588 */ MCD_OPC_Decode, 183, 15, 130, 1, // Opcode: XVMADDWEV_H_BU_B +/* 18593 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 18603 +/* 18598 */ MCD_OPC_Decode, 189, 15, 130, 1, // Opcode: XVMADDWEV_W_HU_H +/* 18603 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 18613 +/* 18608 */ MCD_OPC_Decode, 180, 15, 130, 1, // Opcode: XVMADDWEV_D_WU_W +/* 18613 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 18623 +/* 18618 */ MCD_OPC_Decode, 186, 15, 130, 1, // Opcode: XVMADDWEV_Q_DU_D +/* 18623 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 18633 +/* 18628 */ MCD_OPC_Decode, 195, 15, 130, 1, // Opcode: XVMADDWOD_H_BU_B +/* 18633 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 18643 +/* 18638 */ MCD_OPC_Decode, 201, 15, 130, 1, // Opcode: XVMADDWOD_W_HU_H +/* 18643 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 18653 +/* 18648 */ MCD_OPC_Decode, 192, 15, 130, 1, // Opcode: XVMADDWOD_D_WU_W +/* 18653 */ MCD_OPC_FilterValue, 7, 156, 22, 0, // Skip to: 24446 +/* 18658 */ MCD_OPC_Decode, 198, 15, 130, 1, // Opcode: XVMADDWOD_Q_DU_D +/* 18663 */ MCD_OPC_FilterValue, 56, 75, 0, 0, // Skip to: 18743 +/* 18668 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18671 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 18680 +/* 18676 */ MCD_OPC_Decode, 238, 13, 64, // Opcode: XVDIV_B +/* 18680 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 18689 +/* 18685 */ MCD_OPC_Decode, 242, 13, 64, // Opcode: XVDIV_H +/* 18689 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 18698 +/* 18694 */ MCD_OPC_Decode, 244, 13, 64, // Opcode: XVDIV_W +/* 18698 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 18707 +/* 18703 */ MCD_OPC_Decode, 240, 13, 64, // Opcode: XVDIV_D +/* 18707 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 18716 +/* 18712 */ MCD_OPC_Decode, 238, 15, 64, // Opcode: XVMOD_B +/* 18716 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 18725 +/* 18721 */ MCD_OPC_Decode, 242, 15, 64, // Opcode: XVMOD_H +/* 18725 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 18734 +/* 18730 */ MCD_OPC_Decode, 244, 15, 64, // Opcode: XVMOD_W +/* 18734 */ MCD_OPC_FilterValue, 7, 75, 22, 0, // Skip to: 24446 +/* 18739 */ MCD_OPC_Decode, 240, 15, 64, // Opcode: XVMOD_D +/* 18743 */ MCD_OPC_FilterValue, 57, 75, 0, 0, // Skip to: 18823 +/* 18748 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18751 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 18760 +/* 18756 */ MCD_OPC_Decode, 239, 13, 64, // Opcode: XVDIV_BU +/* 18760 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 18769 +/* 18765 */ MCD_OPC_Decode, 243, 13, 64, // Opcode: XVDIV_HU +/* 18769 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 18778 +/* 18774 */ MCD_OPC_Decode, 245, 13, 64, // Opcode: XVDIV_WU +/* 18778 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 18787 +/* 18783 */ MCD_OPC_Decode, 241, 13, 64, // Opcode: XVDIV_DU +/* 18787 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 18796 +/* 18792 */ MCD_OPC_Decode, 239, 15, 64, // Opcode: XVMOD_BU +/* 18796 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 18805 +/* 18801 */ MCD_OPC_Decode, 243, 15, 64, // Opcode: XVMOD_HU +/* 18805 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 18814 +/* 18810 */ MCD_OPC_Decode, 245, 15, 64, // Opcode: XVMOD_WU +/* 18814 */ MCD_OPC_FilterValue, 7, 251, 21, 0, // Skip to: 24446 +/* 18819 */ MCD_OPC_Decode, 241, 15, 64, // Opcode: XVMOD_DU +/* 18823 */ MCD_OPC_FilterValue, 58, 75, 0, 0, // Skip to: 18903 +/* 18828 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18831 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 18840 +/* 18836 */ MCD_OPC_Decode, 172, 17, 64, // Opcode: XVSLL_B +/* 18840 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 18849 +/* 18845 */ MCD_OPC_Decode, 174, 17, 64, // Opcode: XVSLL_H +/* 18849 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 18858 +/* 18854 */ MCD_OPC_Decode, 175, 17, 64, // Opcode: XVSLL_W +/* 18858 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 18867 +/* 18863 */ MCD_OPC_Decode, 173, 17, 64, // Opcode: XVSLL_D +/* 18867 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 18876 +/* 18872 */ MCD_OPC_Decode, 248, 17, 64, // Opcode: XVSRL_B +/* 18876 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 18885 +/* 18881 */ MCD_OPC_Decode, 250, 17, 64, // Opcode: XVSRL_H +/* 18885 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 18894 +/* 18890 */ MCD_OPC_Decode, 251, 17, 64, // Opcode: XVSRL_W +/* 18894 */ MCD_OPC_FilterValue, 7, 171, 21, 0, // Skip to: 24446 +/* 18899 */ MCD_OPC_Decode, 249, 17, 64, // Opcode: XVSRL_D +/* 18903 */ MCD_OPC_FilterValue, 59, 75, 0, 0, // Skip to: 18983 +/* 18908 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18911 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 18920 +/* 18916 */ MCD_OPC_Decode, 218, 17, 64, // Opcode: XVSRA_B +/* 18920 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 18929 +/* 18925 */ MCD_OPC_Decode, 220, 17, 64, // Opcode: XVSRA_H +/* 18929 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 18938 +/* 18934 */ MCD_OPC_Decode, 221, 17, 64, // Opcode: XVSRA_W +/* 18938 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 18947 +/* 18943 */ MCD_OPC_Decode, 219, 17, 64, // Opcode: XVSRA_D +/* 18947 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 18956 +/* 18952 */ MCD_OPC_Decode, 224, 16, 64, // Opcode: XVROTR_B +/* 18956 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 18965 +/* 18961 */ MCD_OPC_Decode, 226, 16, 64, // Opcode: XVROTR_H +/* 18965 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 18974 +/* 18970 */ MCD_OPC_Decode, 227, 16, 64, // Opcode: XVROTR_W +/* 18974 */ MCD_OPC_FilterValue, 7, 91, 21, 0, // Skip to: 24446 +/* 18979 */ MCD_OPC_Decode, 225, 16, 64, // Opcode: XVROTR_D +/* 18983 */ MCD_OPC_FilterValue, 60, 75, 0, 0, // Skip to: 19063 +/* 18988 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 18991 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 19000 +/* 18996 */ MCD_OPC_Decode, 244, 17, 64, // Opcode: XVSRLR_B +/* 19000 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19009 +/* 19005 */ MCD_OPC_Decode, 246, 17, 64, // Opcode: XVSRLR_H +/* 19009 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19018 +/* 19014 */ MCD_OPC_Decode, 247, 17, 64, // Opcode: XVSRLR_W +/* 19018 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19027 +/* 19023 */ MCD_OPC_Decode, 245, 17, 64, // Opcode: XVSRLR_D +/* 19027 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 19036 +/* 19032 */ MCD_OPC_Decode, 214, 17, 64, // Opcode: XVSRAR_B +/* 19036 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19045 +/* 19041 */ MCD_OPC_Decode, 216, 17, 64, // Opcode: XVSRAR_H +/* 19045 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19054 +/* 19050 */ MCD_OPC_Decode, 217, 17, 64, // Opcode: XVSRAR_W +/* 19054 */ MCD_OPC_FilterValue, 7, 11, 21, 0, // Skip to: 24446 +/* 19059 */ MCD_OPC_Decode, 215, 17, 64, // Opcode: XVSRAR_D +/* 19063 */ MCD_OPC_FilterValue, 61, 57, 0, 0, // Skip to: 19125 +/* 19068 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19071 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19080 +/* 19076 */ MCD_OPC_Decode, 230, 17, 64, // Opcode: XVSRLN_B_H +/* 19080 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19089 +/* 19085 */ MCD_OPC_Decode, 231, 17, 64, // Opcode: XVSRLN_H_W +/* 19089 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19098 +/* 19094 */ MCD_OPC_Decode, 232, 17, 64, // Opcode: XVSRLN_W_D +/* 19098 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19107 +/* 19103 */ MCD_OPC_Decode, 200, 17, 64, // Opcode: XVSRAN_B_H +/* 19107 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19116 +/* 19112 */ MCD_OPC_Decode, 201, 17, 64, // Opcode: XVSRAN_H_W +/* 19116 */ MCD_OPC_FilterValue, 7, 205, 20, 0, // Skip to: 24446 +/* 19121 */ MCD_OPC_Decode, 202, 17, 64, // Opcode: XVSRAN_W_D +/* 19125 */ MCD_OPC_FilterValue, 62, 57, 0, 0, // Skip to: 19187 +/* 19130 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19133 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19142 +/* 19138 */ MCD_OPC_Decode, 241, 17, 64, // Opcode: XVSRLRN_B_H +/* 19142 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19151 +/* 19147 */ MCD_OPC_Decode, 242, 17, 64, // Opcode: XVSRLRN_H_W +/* 19151 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19160 +/* 19156 */ MCD_OPC_Decode, 243, 17, 64, // Opcode: XVSRLRN_W_D +/* 19160 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19169 +/* 19165 */ MCD_OPC_Decode, 211, 17, 64, // Opcode: XVSRARN_B_H +/* 19169 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19178 +/* 19174 */ MCD_OPC_Decode, 212, 17, 64, // Opcode: XVSRARN_H_W +/* 19178 */ MCD_OPC_FilterValue, 7, 143, 20, 0, // Skip to: 24446 +/* 19183 */ MCD_OPC_Decode, 213, 17, 64, // Opcode: XVSRARN_W_D +/* 19187 */ MCD_OPC_FilterValue, 63, 57, 0, 0, // Skip to: 19249 +/* 19192 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19195 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19204 +/* 19200 */ MCD_OPC_Decode, 161, 18, 64, // Opcode: XVSSRLN_B_H +/* 19204 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19213 +/* 19209 */ MCD_OPC_Decode, 163, 18, 64, // Opcode: XVSSRLN_H_W +/* 19213 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19222 +/* 19218 */ MCD_OPC_Decode, 165, 18, 64, // Opcode: XVSSRLN_W_D +/* 19222 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19231 +/* 19227 */ MCD_OPC_Decode, 133, 18, 64, // Opcode: XVSSRAN_B_H +/* 19231 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19240 +/* 19236 */ MCD_OPC_Decode, 135, 18, 64, // Opcode: XVSSRAN_H_W +/* 19240 */ MCD_OPC_FilterValue, 7, 81, 20, 0, // Skip to: 24446 +/* 19245 */ MCD_OPC_Decode, 137, 18, 64, // Opcode: XVSSRAN_W_D +/* 19249 */ MCD_OPC_FilterValue, 64, 57, 0, 0, // Skip to: 19311 +/* 19254 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19257 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19266 +/* 19262 */ MCD_OPC_Decode, 175, 18, 64, // Opcode: XVSSRLRN_B_H +/* 19266 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19275 +/* 19271 */ MCD_OPC_Decode, 177, 18, 64, // Opcode: XVSSRLRN_H_W +/* 19275 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19284 +/* 19280 */ MCD_OPC_Decode, 179, 18, 64, // Opcode: XVSSRLRN_W_D +/* 19284 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19293 +/* 19289 */ MCD_OPC_Decode, 147, 18, 64, // Opcode: XVSSRARN_B_H +/* 19293 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19302 +/* 19298 */ MCD_OPC_Decode, 149, 18, 64, // Opcode: XVSSRARN_H_W +/* 19302 */ MCD_OPC_FilterValue, 7, 19, 20, 0, // Skip to: 24446 +/* 19307 */ MCD_OPC_Decode, 151, 18, 64, // Opcode: XVSSRARN_W_D +/* 19311 */ MCD_OPC_FilterValue, 65, 57, 0, 0, // Skip to: 19373 +/* 19316 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19319 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19328 +/* 19324 */ MCD_OPC_Decode, 160, 18, 64, // Opcode: XVSSRLN_BU_H +/* 19328 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19337 +/* 19333 */ MCD_OPC_Decode, 162, 18, 64, // Opcode: XVSSRLN_HU_W +/* 19337 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19346 +/* 19342 */ MCD_OPC_Decode, 164, 18, 64, // Opcode: XVSSRLN_WU_D +/* 19346 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19355 +/* 19351 */ MCD_OPC_Decode, 132, 18, 64, // Opcode: XVSSRAN_BU_H +/* 19355 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19364 +/* 19360 */ MCD_OPC_Decode, 134, 18, 64, // Opcode: XVSSRAN_HU_W +/* 19364 */ MCD_OPC_FilterValue, 7, 213, 19, 0, // Skip to: 24446 +/* 19369 */ MCD_OPC_Decode, 136, 18, 64, // Opcode: XVSSRAN_WU_D +/* 19373 */ MCD_OPC_FilterValue, 66, 57, 0, 0, // Skip to: 19435 +/* 19378 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19381 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19390 +/* 19386 */ MCD_OPC_Decode, 174, 18, 64, // Opcode: XVSSRLRN_BU_H +/* 19390 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19399 +/* 19395 */ MCD_OPC_Decode, 176, 18, 64, // Opcode: XVSSRLRN_HU_W +/* 19399 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19408 +/* 19404 */ MCD_OPC_Decode, 178, 18, 64, // Opcode: XVSSRLRN_WU_D +/* 19408 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19417 +/* 19413 */ MCD_OPC_Decode, 146, 18, 64, // Opcode: XVSSRARN_BU_H +/* 19417 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19426 +/* 19422 */ MCD_OPC_Decode, 148, 18, 64, // Opcode: XVSSRARN_HU_W +/* 19426 */ MCD_OPC_FilterValue, 7, 151, 19, 0, // Skip to: 24446 +/* 19431 */ MCD_OPC_Decode, 150, 18, 64, // Opcode: XVSSRARN_WU_D +/* 19435 */ MCD_OPC_FilterValue, 67, 75, 0, 0, // Skip to: 19515 +/* 19440 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19443 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 19452 +/* 19448 */ MCD_OPC_Decode, 206, 13, 64, // Opcode: XVBITCLR_B +/* 19452 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19461 +/* 19457 */ MCD_OPC_Decode, 208, 13, 64, // Opcode: XVBITCLR_H +/* 19461 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19470 +/* 19466 */ MCD_OPC_Decode, 209, 13, 64, // Opcode: XVBITCLR_W +/* 19470 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19479 +/* 19475 */ MCD_OPC_Decode, 207, 13, 64, // Opcode: XVBITCLR_D +/* 19479 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 19488 +/* 19484 */ MCD_OPC_Decode, 224, 13, 64, // Opcode: XVBITSET_B +/* 19488 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19497 +/* 19493 */ MCD_OPC_Decode, 226, 13, 64, // Opcode: XVBITSET_H +/* 19497 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19506 +/* 19502 */ MCD_OPC_Decode, 227, 13, 64, // Opcode: XVBITSET_W +/* 19506 */ MCD_OPC_FilterValue, 7, 71, 19, 0, // Skip to: 24446 +/* 19511 */ MCD_OPC_Decode, 225, 13, 64, // Opcode: XVBITSET_D +/* 19515 */ MCD_OPC_FilterValue, 68, 39, 0, 0, // Skip to: 19559 +/* 19520 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19523 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 19532 +/* 19528 */ MCD_OPC_Decode, 214, 13, 64, // Opcode: XVBITREV_B +/* 19532 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19541 +/* 19537 */ MCD_OPC_Decode, 216, 13, 64, // Opcode: XVBITREV_H +/* 19541 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19550 +/* 19546 */ MCD_OPC_Decode, 217, 13, 64, // Opcode: XVBITREV_W +/* 19550 */ MCD_OPC_FilterValue, 3, 27, 19, 0, // Skip to: 24446 +/* 19555 */ MCD_OPC_Decode, 215, 13, 64, // Opcode: XVBITREV_D +/* 19559 */ MCD_OPC_FilterValue, 69, 39, 0, 0, // Skip to: 19603 +/* 19564 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19567 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 19576 +/* 19572 */ MCD_OPC_Decode, 173, 16, 64, // Opcode: XVPACKEV_B +/* 19576 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19585 +/* 19581 */ MCD_OPC_Decode, 175, 16, 64, // Opcode: XVPACKEV_H +/* 19585 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19594 +/* 19590 */ MCD_OPC_Decode, 176, 16, 64, // Opcode: XVPACKEV_W +/* 19594 */ MCD_OPC_FilterValue, 7, 239, 18, 0, // Skip to: 24446 +/* 19599 */ MCD_OPC_Decode, 174, 16, 64, // Opcode: XVPACKEV_D +/* 19603 */ MCD_OPC_FilterValue, 70, 75, 0, 0, // Skip to: 19683 +/* 19608 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19611 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 19620 +/* 19616 */ MCD_OPC_Decode, 177, 16, 64, // Opcode: XVPACKOD_B +/* 19620 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19629 +/* 19625 */ MCD_OPC_Decode, 179, 16, 64, // Opcode: XVPACKOD_H +/* 19629 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19638 +/* 19634 */ MCD_OPC_Decode, 180, 16, 64, // Opcode: XVPACKOD_W +/* 19638 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19647 +/* 19643 */ MCD_OPC_Decode, 178, 16, 64, // Opcode: XVPACKOD_D +/* 19647 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 19656 +/* 19652 */ MCD_OPC_Decode, 163, 15, 64, // Opcode: XVILVL_B +/* 19656 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19665 +/* 19661 */ MCD_OPC_Decode, 165, 15, 64, // Opcode: XVILVL_H +/* 19665 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19674 +/* 19670 */ MCD_OPC_Decode, 166, 15, 64, // Opcode: XVILVL_W +/* 19674 */ MCD_OPC_FilterValue, 7, 159, 18, 0, // Skip to: 24446 +/* 19679 */ MCD_OPC_Decode, 164, 15, 64, // Opcode: XVILVL_D +/* 19683 */ MCD_OPC_FilterValue, 71, 75, 0, 0, // Skip to: 19763 +/* 19688 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19691 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 19700 +/* 19696 */ MCD_OPC_Decode, 159, 15, 64, // Opcode: XVILVH_B +/* 19700 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19709 +/* 19705 */ MCD_OPC_Decode, 161, 15, 64, // Opcode: XVILVH_H +/* 19709 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19718 +/* 19714 */ MCD_OPC_Decode, 162, 15, 64, // Opcode: XVILVH_W +/* 19718 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19727 +/* 19723 */ MCD_OPC_Decode, 160, 15, 64, // Opcode: XVILVH_D +/* 19727 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 19736 +/* 19732 */ MCD_OPC_Decode, 189, 16, 64, // Opcode: XVPICKEV_B +/* 19736 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19745 +/* 19741 */ MCD_OPC_Decode, 191, 16, 64, // Opcode: XVPICKEV_H +/* 19745 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19754 +/* 19750 */ MCD_OPC_Decode, 192, 16, 64, // Opcode: XVPICKEV_W +/* 19754 */ MCD_OPC_FilterValue, 7, 79, 18, 0, // Skip to: 24446 +/* 19759 */ MCD_OPC_Decode, 190, 16, 64, // Opcode: XVPICKEV_D +/* 19763 */ MCD_OPC_FilterValue, 72, 79, 0, 0, // Skip to: 19847 +/* 19768 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19771 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 19780 +/* 19776 */ MCD_OPC_Decode, 193, 16, 64, // Opcode: XVPICKOD_B +/* 19780 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19789 +/* 19785 */ MCD_OPC_Decode, 195, 16, 64, // Opcode: XVPICKOD_H +/* 19789 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19798 +/* 19794 */ MCD_OPC_Decode, 196, 16, 64, // Opcode: XVPICKOD_W +/* 19798 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19807 +/* 19803 */ MCD_OPC_Decode, 194, 16, 64, // Opcode: XVPICKOD_D +/* 19807 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 19817 +/* 19812 */ MCD_OPC_Decode, 216, 16, 131, 1, // Opcode: XVREPLVE_B +/* 19817 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 19827 +/* 19822 */ MCD_OPC_Decode, 218, 16, 131, 1, // Opcode: XVREPLVE_H +/* 19827 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 19837 +/* 19832 */ MCD_OPC_Decode, 219, 16, 131, 1, // Opcode: XVREPLVE_W +/* 19837 */ MCD_OPC_FilterValue, 7, 252, 17, 0, // Skip to: 24446 +/* 19842 */ MCD_OPC_Decode, 217, 16, 131, 1, // Opcode: XVREPLVE_D +/* 19847 */ MCD_OPC_FilterValue, 73, 39, 0, 0, // Skip to: 19891 +/* 19852 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19855 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 19864 +/* 19860 */ MCD_OPC_Decode, 185, 13, 64, // Opcode: XVAND_V +/* 19864 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19873 +/* 19869 */ MCD_OPC_Decode, 172, 16, 64, // Opcode: XVOR_V +/* 19873 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19882 +/* 19878 */ MCD_OPC_Decode, 220, 18, 64, // Opcode: XVXOR_V +/* 19882 */ MCD_OPC_FilterValue, 7, 207, 17, 0, // Skip to: 24446 +/* 19887 */ MCD_OPC_Decode, 169, 16, 64, // Opcode: XVNOR_V +/* 19891 */ MCD_OPC_FilterValue, 74, 41, 0, 0, // Skip to: 19937 +/* 19896 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19899 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 19908 +/* 19904 */ MCD_OPC_Decode, 184, 13, 64, // Opcode: XVANDN_V +/* 19908 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 19917 +/* 19913 */ MCD_OPC_Decode, 171, 16, 64, // Opcode: XVORN_V +/* 19917 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 19927 +/* 19922 */ MCD_OPC_Decode, 235, 14, 130, 1, // Opcode: XVFRSTP_B +/* 19927 */ MCD_OPC_FilterValue, 7, 162, 17, 0, // Skip to: 24446 +/* 19932 */ MCD_OPC_Decode, 236, 14, 130, 1, // Opcode: XVFRSTP_H +/* 19937 */ MCD_OPC_FilterValue, 75, 57, 0, 0, // Skip to: 19999 +/* 19942 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 19945 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 19954 +/* 19950 */ MCD_OPC_Decode, 181, 13, 64, // Opcode: XVADD_Q +/* 19954 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 19963 +/* 19959 */ MCD_OPC_Decode, 217, 18, 64, // Opcode: XVSUB_Q +/* 19963 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 19972 +/* 19968 */ MCD_OPC_Decode, 142, 17, 64, // Opcode: XVSIGNCOV_B +/* 19972 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 19981 +/* 19977 */ MCD_OPC_Decode, 144, 17, 64, // Opcode: XVSIGNCOV_H +/* 19981 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 19990 +/* 19986 */ MCD_OPC_Decode, 145, 17, 64, // Opcode: XVSIGNCOV_W +/* 19990 */ MCD_OPC_FilterValue, 7, 99, 17, 0, // Skip to: 24446 +/* 19995 */ MCD_OPC_Decode, 143, 17, 64, // Opcode: XVSIGNCOV_D +/* 19999 */ MCD_OPC_FilterValue, 76, 39, 0, 0, // Skip to: 20043 +/* 20004 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20007 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 20016 +/* 20012 */ MCD_OPC_Decode, 133, 14, 64, // Opcode: XVFADD_S +/* 20016 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 20025 +/* 20021 */ MCD_OPC_Decode, 132, 14, 64, // Opcode: XVFADD_D +/* 20025 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 20034 +/* 20030 */ MCD_OPC_Decode, 240, 14, 64, // Opcode: XVFSUB_S +/* 20034 */ MCD_OPC_FilterValue, 6, 55, 17, 0, // Skip to: 24446 +/* 20039 */ MCD_OPC_Decode, 239, 14, 64, // Opcode: XVFSUB_D +/* 20043 */ MCD_OPC_FilterValue, 78, 39, 0, 0, // Skip to: 20087 +/* 20048 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20051 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 20060 +/* 20056 */ MCD_OPC_Decode, 210, 14, 64, // Opcode: XVFMUL_S +/* 20060 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 20069 +/* 20065 */ MCD_OPC_Decode, 209, 14, 64, // Opcode: XVFMUL_D +/* 20069 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 20078 +/* 20074 */ MCD_OPC_Decode, 187, 14, 64, // Opcode: XVFDIV_S +/* 20078 */ MCD_OPC_FilterValue, 6, 11, 17, 0, // Skip to: 24446 +/* 20083 */ MCD_OPC_Decode, 186, 14, 64, // Opcode: XVFDIV_D +/* 20087 */ MCD_OPC_FilterValue, 79, 39, 0, 0, // Skip to: 20131 +/* 20092 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20095 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 20104 +/* 20100 */ MCD_OPC_Decode, 202, 14, 64, // Opcode: XVFMAX_S +/* 20104 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 20113 +/* 20109 */ MCD_OPC_Decode, 201, 14, 64, // Opcode: XVFMAX_D +/* 20113 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 20122 +/* 20118 */ MCD_OPC_Decode, 206, 14, 64, // Opcode: XVFMIN_S +/* 20122 */ MCD_OPC_FilterValue, 6, 223, 16, 0, // Skip to: 24446 +/* 20127 */ MCD_OPC_Decode, 205, 14, 64, // Opcode: XVFMIN_D +/* 20131 */ MCD_OPC_FilterValue, 80, 39, 0, 0, // Skip to: 20175 +/* 20136 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20139 */ MCD_OPC_FilterValue, 1, 4, 0, 0, // Skip to: 20148 +/* 20144 */ MCD_OPC_Decode, 200, 14, 64, // Opcode: XVFMAXA_S +/* 20148 */ MCD_OPC_FilterValue, 2, 4, 0, 0, // Skip to: 20157 +/* 20153 */ MCD_OPC_Decode, 199, 14, 64, // Opcode: XVFMAXA_D +/* 20157 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 20166 +/* 20162 */ MCD_OPC_Decode, 204, 14, 64, // Opcode: XVFMINA_S +/* 20166 */ MCD_OPC_FilterValue, 6, 179, 16, 0, // Skip to: 24446 +/* 20171 */ MCD_OPC_Decode, 203, 14, 64, // Opcode: XVFMINA_D +/* 20175 */ MCD_OPC_FilterValue, 81, 21, 0, 0, // Skip to: 20201 +/* 20180 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20183 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 20192 +/* 20188 */ MCD_OPC_Decode, 184, 14, 64, // Opcode: XVFCVT_H_S +/* 20192 */ MCD_OPC_FilterValue, 5, 153, 16, 0, // Skip to: 24446 +/* 20197 */ MCD_OPC_Decode, 185, 14, 64, // Opcode: XVFCVT_S_D +/* 20201 */ MCD_OPC_FilterValue, 82, 57, 0, 0, // Skip to: 20263 +/* 20206 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20209 */ MCD_OPC_FilterValue, 0, 4, 0, 0, // Skip to: 20218 +/* 20214 */ MCD_OPC_Decode, 192, 14, 64, // Opcode: XVFFINT_S_L +/* 20218 */ MCD_OPC_FilterValue, 3, 4, 0, 0, // Skip to: 20227 +/* 20223 */ MCD_OPC_Decode, 140, 15, 64, // Opcode: XVFTINT_W_D +/* 20227 */ MCD_OPC_FilterValue, 4, 4, 0, 0, // Skip to: 20236 +/* 20232 */ MCD_OPC_Decode, 246, 14, 64, // Opcode: XVFTINTRM_W_D +/* 20236 */ MCD_OPC_FilterValue, 5, 4, 0, 0, // Skip to: 20245 +/* 20241 */ MCD_OPC_Decode, 128, 15, 64, // Opcode: XVFTINTRP_W_D +/* 20245 */ MCD_OPC_FilterValue, 6, 4, 0, 0, // Skip to: 20254 +/* 20250 */ MCD_OPC_Decode, 135, 15, 64, // Opcode: XVFTINTRZ_W_D +/* 20254 */ MCD_OPC_FilterValue, 7, 91, 16, 0, // Skip to: 24446 +/* 20259 */ MCD_OPC_Decode, 251, 14, 64, // Opcode: XVFTINTRNE_W_D +/* 20263 */ MCD_OPC_FilterValue, 94, 33, 0, 0, // Skip to: 20301 +/* 20268 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20271 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 20281 +/* 20276 */ MCD_OPC_Decode, 140, 17, 130, 1, // Opcode: XVSHUF_H +/* 20281 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 20291 +/* 20286 */ MCD_OPC_Decode, 141, 17, 130, 1, // Opcode: XVSHUF_W +/* 20291 */ MCD_OPC_FilterValue, 7, 54, 16, 0, // Skip to: 24446 +/* 20296 */ MCD_OPC_Decode, 139, 17, 130, 1, // Opcode: XVSHUF_D +/* 20301 */ MCD_OPC_FilterValue, 95, 11, 0, 0, // Skip to: 20317 +/* 20306 */ MCD_OPC_CheckField, 15, 3, 2, 37, 16, 0, // Skip to: 24446 +/* 20313 */ MCD_OPC_Decode, 188, 16, 64, // Opcode: XVPERM_W +/* 20317 */ MCD_OPC_FilterValue, 160, 1, 83, 0, 0, // Skip to: 20406 +/* 20323 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20326 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 20336 +/* 20331 */ MCD_OPC_Decode, 244, 16, 132, 1, // Opcode: XVSEQI_B +/* 20336 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 20346 +/* 20341 */ MCD_OPC_Decode, 246, 16, 132, 1, // Opcode: XVSEQI_H +/* 20346 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 20356 +/* 20351 */ MCD_OPC_Decode, 247, 16, 132, 1, // Opcode: XVSEQI_W +/* 20356 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 20366 +/* 20361 */ MCD_OPC_Decode, 245, 16, 132, 1, // Opcode: XVSEQI_D +/* 20366 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 20376 +/* 20371 */ MCD_OPC_Decode, 146, 17, 132, 1, // Opcode: XVSLEI_B +/* 20376 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 20386 +/* 20381 */ MCD_OPC_Decode, 150, 17, 132, 1, // Opcode: XVSLEI_H +/* 20386 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 20396 +/* 20391 */ MCD_OPC_Decode, 152, 17, 132, 1, // Opcode: XVSLEI_W +/* 20396 */ MCD_OPC_FilterValue, 7, 205, 15, 0, // Skip to: 24446 +/* 20401 */ MCD_OPC_Decode, 148, 17, 132, 1, // Opcode: XVSLEI_D +/* 20406 */ MCD_OPC_FilterValue, 161, 1, 83, 0, 0, // Skip to: 20495 +/* 20412 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20415 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 20425 +/* 20420 */ MCD_OPC_Decode, 147, 17, 133, 1, // Opcode: XVSLEI_BU +/* 20425 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 20435 +/* 20430 */ MCD_OPC_Decode, 151, 17, 133, 1, // Opcode: XVSLEI_HU +/* 20435 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 20445 +/* 20440 */ MCD_OPC_Decode, 153, 17, 133, 1, // Opcode: XVSLEI_WU +/* 20445 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 20455 +/* 20450 */ MCD_OPC_Decode, 149, 17, 133, 1, // Opcode: XVSLEI_DU +/* 20455 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 20465 +/* 20460 */ MCD_OPC_Decode, 176, 17, 132, 1, // Opcode: XVSLTI_B +/* 20465 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 20475 +/* 20470 */ MCD_OPC_Decode, 180, 17, 132, 1, // Opcode: XVSLTI_H +/* 20475 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 20485 +/* 20480 */ MCD_OPC_Decode, 182, 17, 132, 1, // Opcode: XVSLTI_W +/* 20485 */ MCD_OPC_FilterValue, 7, 116, 15, 0, // Skip to: 24446 +/* 20490 */ MCD_OPC_Decode, 178, 17, 132, 1, // Opcode: XVSLTI_D +/* 20495 */ MCD_OPC_FilterValue, 162, 1, 83, 0, 0, // Skip to: 20584 +/* 20501 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20504 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 20514 +/* 20509 */ MCD_OPC_Decode, 177, 17, 133, 1, // Opcode: XVSLTI_BU +/* 20514 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 20524 +/* 20519 */ MCD_OPC_Decode, 181, 17, 133, 1, // Opcode: XVSLTI_HU +/* 20524 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 20534 +/* 20529 */ MCD_OPC_Decode, 183, 17, 133, 1, // Opcode: XVSLTI_WU +/* 20534 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 20544 +/* 20539 */ MCD_OPC_Decode, 179, 17, 133, 1, // Opcode: XVSLTI_DU +/* 20544 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 20554 +/* 20549 */ MCD_OPC_Decode, 150, 13, 133, 1, // Opcode: XVADDI_BU +/* 20554 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 20564 +/* 20559 */ MCD_OPC_Decode, 152, 13, 133, 1, // Opcode: XVADDI_HU +/* 20564 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 20574 +/* 20569 */ MCD_OPC_Decode, 153, 13, 133, 1, // Opcode: XVADDI_WU +/* 20574 */ MCD_OPC_FilterValue, 7, 27, 15, 0, // Skip to: 24446 +/* 20579 */ MCD_OPC_Decode, 151, 13, 133, 1, // Opcode: XVADDI_DU +/* 20584 */ MCD_OPC_FilterValue, 163, 1, 63, 0, 0, // Skip to: 20653 +/* 20590 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20593 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 20603 +/* 20598 */ MCD_OPC_Decode, 194, 18, 133, 1, // Opcode: XVSUBI_BU +/* 20603 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 20613 +/* 20608 */ MCD_OPC_Decode, 196, 18, 133, 1, // Opcode: XVSUBI_HU +/* 20613 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 20623 +/* 20618 */ MCD_OPC_Decode, 197, 18, 133, 1, // Opcode: XVSUBI_WU +/* 20623 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 20633 +/* 20628 */ MCD_OPC_Decode, 195, 18, 133, 1, // Opcode: XVSUBI_DU +/* 20633 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 20643 +/* 20638 */ MCD_OPC_Decode, 228, 13, 133, 1, // Opcode: XVBSLL_V +/* 20643 */ MCD_OPC_FilterValue, 5, 214, 14, 0, // Skip to: 24446 +/* 20648 */ MCD_OPC_Decode, 229, 13, 133, 1, // Opcode: XVBSRL_V +/* 20653 */ MCD_OPC_FilterValue, 164, 1, 83, 0, 0, // Skip to: 20742 +/* 20659 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20662 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 20672 +/* 20667 */ MCD_OPC_Decode, 206, 15, 132, 1, // Opcode: XVMAXI_B +/* 20672 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 20682 +/* 20677 */ MCD_OPC_Decode, 210, 15, 132, 1, // Opcode: XVMAXI_H +/* 20682 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 20692 +/* 20687 */ MCD_OPC_Decode, 212, 15, 132, 1, // Opcode: XVMAXI_W +/* 20692 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 20702 +/* 20697 */ MCD_OPC_Decode, 208, 15, 132, 1, // Opcode: XVMAXI_D +/* 20702 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 20712 +/* 20707 */ MCD_OPC_Decode, 222, 15, 132, 1, // Opcode: XVMINI_B +/* 20712 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 20722 +/* 20717 */ MCD_OPC_Decode, 226, 15, 132, 1, // Opcode: XVMINI_H +/* 20722 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 20732 +/* 20727 */ MCD_OPC_Decode, 228, 15, 132, 1, // Opcode: XVMINI_W +/* 20732 */ MCD_OPC_FilterValue, 7, 125, 14, 0, // Skip to: 24446 +/* 20737 */ MCD_OPC_Decode, 224, 15, 132, 1, // Opcode: XVMINI_D +/* 20742 */ MCD_OPC_FilterValue, 165, 1, 83, 0, 0, // Skip to: 20831 +/* 20748 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20751 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 20761 +/* 20756 */ MCD_OPC_Decode, 207, 15, 133, 1, // Opcode: XVMAXI_BU +/* 20761 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 20771 +/* 20766 */ MCD_OPC_Decode, 211, 15, 133, 1, // Opcode: XVMAXI_HU +/* 20771 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 20781 +/* 20776 */ MCD_OPC_Decode, 213, 15, 133, 1, // Opcode: XVMAXI_WU +/* 20781 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 20791 +/* 20786 */ MCD_OPC_Decode, 209, 15, 133, 1, // Opcode: XVMAXI_DU +/* 20791 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 20801 +/* 20796 */ MCD_OPC_Decode, 223, 15, 133, 1, // Opcode: XVMINI_BU +/* 20801 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 20811 +/* 20806 */ MCD_OPC_Decode, 227, 15, 133, 1, // Opcode: XVMINI_HU +/* 20811 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 20821 +/* 20816 */ MCD_OPC_Decode, 229, 15, 133, 1, // Opcode: XVMINI_WU +/* 20821 */ MCD_OPC_FilterValue, 7, 36, 14, 0, // Skip to: 24446 +/* 20826 */ MCD_OPC_Decode, 225, 15, 133, 1, // Opcode: XVMINI_DU +/* 20831 */ MCD_OPC_FilterValue, 166, 1, 23, 0, 0, // Skip to: 20860 +/* 20837 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20840 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 20850 +/* 20845 */ MCD_OPC_Decode, 233, 14, 134, 1, // Opcode: XVFRSTPI_B +/* 20850 */ MCD_OPC_FilterValue, 5, 7, 14, 0, // Skip to: 24446 +/* 20855 */ MCD_OPC_Decode, 234, 14, 134, 1, // Opcode: XVFRSTPI_H +/* 20860 */ MCD_OPC_FilterValue, 167, 1, 255, 4, 0, // Skip to: 22145 +/* 20866 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 20869 */ MCD_OPC_FilterValue, 0, 223, 0, 0, // Skip to: 21097 +/* 20874 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 20877 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 20887 +/* 20882 */ MCD_OPC_Decode, 230, 13, 135, 1, // Opcode: XVCLO_B +/* 20887 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 20897 +/* 20892 */ MCD_OPC_Decode, 232, 13, 135, 1, // Opcode: XVCLO_H +/* 20897 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 20907 +/* 20902 */ MCD_OPC_Decode, 233, 13, 135, 1, // Opcode: XVCLO_W +/* 20907 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 20917 +/* 20912 */ MCD_OPC_Decode, 231, 13, 135, 1, // Opcode: XVCLO_D +/* 20917 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 20927 +/* 20922 */ MCD_OPC_Decode, 234, 13, 135, 1, // Opcode: XVCLZ_B +/* 20927 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 20937 +/* 20932 */ MCD_OPC_Decode, 236, 13, 135, 1, // Opcode: XVCLZ_H +/* 20937 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 20947 +/* 20942 */ MCD_OPC_Decode, 237, 13, 135, 1, // Opcode: XVCLZ_W +/* 20947 */ MCD_OPC_FilterValue, 7, 5, 0, 0, // Skip to: 20957 +/* 20952 */ MCD_OPC_Decode, 235, 13, 135, 1, // Opcode: XVCLZ_D +/* 20957 */ MCD_OPC_FilterValue, 8, 5, 0, 0, // Skip to: 20967 +/* 20962 */ MCD_OPC_Decode, 181, 16, 135, 1, // Opcode: XVPCNT_B +/* 20967 */ MCD_OPC_FilterValue, 9, 5, 0, 0, // Skip to: 20977 +/* 20972 */ MCD_OPC_Decode, 183, 16, 135, 1, // Opcode: XVPCNT_H +/* 20977 */ MCD_OPC_FilterValue, 10, 5, 0, 0, // Skip to: 20987 +/* 20982 */ MCD_OPC_Decode, 184, 16, 135, 1, // Opcode: XVPCNT_W +/* 20987 */ MCD_OPC_FilterValue, 11, 5, 0, 0, // Skip to: 20997 +/* 20992 */ MCD_OPC_Decode, 182, 16, 135, 1, // Opcode: XVPCNT_D +/* 20997 */ MCD_OPC_FilterValue, 12, 5, 0, 0, // Skip to: 21007 +/* 21002 */ MCD_OPC_Decode, 164, 16, 135, 1, // Opcode: XVNEG_B +/* 21007 */ MCD_OPC_FilterValue, 13, 5, 0, 0, // Skip to: 21017 +/* 21012 */ MCD_OPC_Decode, 166, 16, 135, 1, // Opcode: XVNEG_H +/* 21017 */ MCD_OPC_FilterValue, 14, 5, 0, 0, // Skip to: 21027 +/* 21022 */ MCD_OPC_Decode, 167, 16, 135, 1, // Opcode: XVNEG_W +/* 21027 */ MCD_OPC_FilterValue, 15, 5, 0, 0, // Skip to: 21037 +/* 21032 */ MCD_OPC_Decode, 165, 16, 135, 1, // Opcode: XVNEG_D +/* 21037 */ MCD_OPC_FilterValue, 16, 5, 0, 0, // Skip to: 21047 +/* 21042 */ MCD_OPC_Decode, 247, 15, 135, 1, // Opcode: XVMSKLTZ_B +/* 21047 */ MCD_OPC_FilterValue, 17, 5, 0, 0, // Skip to: 21057 +/* 21052 */ MCD_OPC_Decode, 249, 15, 135, 1, // Opcode: XVMSKLTZ_H +/* 21057 */ MCD_OPC_FilterValue, 18, 5, 0, 0, // Skip to: 21067 +/* 21062 */ MCD_OPC_Decode, 250, 15, 135, 1, // Opcode: XVMSKLTZ_W +/* 21067 */ MCD_OPC_FilterValue, 19, 5, 0, 0, // Skip to: 21077 +/* 21072 */ MCD_OPC_Decode, 248, 15, 135, 1, // Opcode: XVMSKLTZ_D +/* 21077 */ MCD_OPC_FilterValue, 20, 5, 0, 0, // Skip to: 21087 +/* 21082 */ MCD_OPC_Decode, 246, 15, 135, 1, // Opcode: XVMSKGEZ_B +/* 21087 */ MCD_OPC_FilterValue, 24, 26, 13, 0, // Skip to: 24446 +/* 21092 */ MCD_OPC_Decode, 251, 15, 135, 1, // Opcode: XVMSKNZ_B +/* 21097 */ MCD_OPC_FilterValue, 1, 253, 0, 0, // Skip to: 21355 +/* 21102 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 21105 */ MCD_OPC_FilterValue, 6, 12, 0, 0, // Skip to: 21122 +/* 21110 */ MCD_OPC_CheckField, 3, 2, 0, 1, 13, 0, // Skip to: 24446 +/* 21117 */ MCD_OPC_Decode, 132, 17, 136, 1, // Opcode: XVSETEQZ_V +/* 21122 */ MCD_OPC_FilterValue, 7, 12, 0, 0, // Skip to: 21139 +/* 21127 */ MCD_OPC_CheckField, 3, 2, 0, 240, 12, 0, // Skip to: 24446 +/* 21134 */ MCD_OPC_Decode, 133, 17, 136, 1, // Opcode: XVSETNEZ_V +/* 21139 */ MCD_OPC_FilterValue, 8, 12, 0, 0, // Skip to: 21156 +/* 21144 */ MCD_OPC_CheckField, 3, 2, 0, 223, 12, 0, // Skip to: 24446 +/* 21151 */ MCD_OPC_Decode, 128, 17, 136, 1, // Opcode: XVSETANYEQZ_B +/* 21156 */ MCD_OPC_FilterValue, 9, 12, 0, 0, // Skip to: 21173 +/* 21161 */ MCD_OPC_CheckField, 3, 2, 0, 206, 12, 0, // Skip to: 24446 +/* 21168 */ MCD_OPC_Decode, 130, 17, 136, 1, // Opcode: XVSETANYEQZ_H +/* 21173 */ MCD_OPC_FilterValue, 10, 12, 0, 0, // Skip to: 21190 +/* 21178 */ MCD_OPC_CheckField, 3, 2, 0, 189, 12, 0, // Skip to: 24446 +/* 21185 */ MCD_OPC_Decode, 131, 17, 136, 1, // Opcode: XVSETANYEQZ_W +/* 21190 */ MCD_OPC_FilterValue, 11, 12, 0, 0, // Skip to: 21207 +/* 21195 */ MCD_OPC_CheckField, 3, 2, 0, 172, 12, 0, // Skip to: 24446 +/* 21202 */ MCD_OPC_Decode, 129, 17, 136, 1, // Opcode: XVSETANYEQZ_D +/* 21207 */ MCD_OPC_FilterValue, 12, 12, 0, 0, // Skip to: 21224 +/* 21212 */ MCD_OPC_CheckField, 3, 2, 0, 155, 12, 0, // Skip to: 24446 +/* 21219 */ MCD_OPC_Decode, 252, 16, 136, 1, // Opcode: XVSETALLNEZ_B +/* 21224 */ MCD_OPC_FilterValue, 13, 12, 0, 0, // Skip to: 21241 +/* 21229 */ MCD_OPC_CheckField, 3, 2, 0, 138, 12, 0, // Skip to: 24446 +/* 21236 */ MCD_OPC_Decode, 254, 16, 136, 1, // Opcode: XVSETALLNEZ_H +/* 21241 */ MCD_OPC_FilterValue, 14, 12, 0, 0, // Skip to: 21258 +/* 21246 */ MCD_OPC_CheckField, 3, 2, 0, 121, 12, 0, // Skip to: 24446 +/* 21253 */ MCD_OPC_Decode, 255, 16, 136, 1, // Opcode: XVSETALLNEZ_W +/* 21258 */ MCD_OPC_FilterValue, 15, 12, 0, 0, // Skip to: 21275 +/* 21263 */ MCD_OPC_CheckField, 3, 2, 0, 104, 12, 0, // Skip to: 24446 +/* 21270 */ MCD_OPC_Decode, 253, 16, 136, 1, // Opcode: XVSETALLNEZ_D +/* 21275 */ MCD_OPC_FilterValue, 17, 5, 0, 0, // Skip to: 21285 +/* 21280 */ MCD_OPC_Decode, 196, 14, 135, 1, // Opcode: XVFLOGB_S +/* 21285 */ MCD_OPC_FilterValue, 18, 5, 0, 0, // Skip to: 21295 +/* 21290 */ MCD_OPC_Decode, 195, 14, 135, 1, // Opcode: XVFLOGB_D +/* 21295 */ MCD_OPC_FilterValue, 21, 5, 0, 0, // Skip to: 21305 +/* 21300 */ MCD_OPC_Decode, 135, 14, 135, 1, // Opcode: XVFCLASS_S +/* 21305 */ MCD_OPC_FilterValue, 22, 5, 0, 0, // Skip to: 21315 +/* 21310 */ MCD_OPC_Decode, 134, 14, 135, 1, // Opcode: XVFCLASS_D +/* 21315 */ MCD_OPC_FilterValue, 25, 5, 0, 0, // Skip to: 21325 +/* 21320 */ MCD_OPC_Decode, 238, 14, 135, 1, // Opcode: XVFSQRT_S +/* 21325 */ MCD_OPC_FilterValue, 26, 5, 0, 0, // Skip to: 21335 +/* 21330 */ MCD_OPC_Decode, 237, 14, 135, 1, // Opcode: XVFSQRT_D +/* 21335 */ MCD_OPC_FilterValue, 29, 5, 0, 0, // Skip to: 21345 +/* 21340 */ MCD_OPC_Decode, 218, 14, 135, 1, // Opcode: XVFRECIP_S +/* 21345 */ MCD_OPC_FilterValue, 30, 24, 12, 0, // Skip to: 24446 +/* 21350 */ MCD_OPC_Decode, 217, 14, 135, 1, // Opcode: XVFRECIP_D +/* 21355 */ MCD_OPC_FilterValue, 2, 163, 0, 0, // Skip to: 21523 +/* 21360 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 21363 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 21373 +/* 21368 */ MCD_OPC_Decode, 232, 14, 135, 1, // Opcode: XVFRSQRT_S +/* 21373 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 21383 +/* 21378 */ MCD_OPC_Decode, 231, 14, 135, 1, // Opcode: XVFRSQRT_D +/* 21383 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 21393 +/* 21388 */ MCD_OPC_Decode, 216, 14, 135, 1, // Opcode: XVFRECIPE_S +/* 21393 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 21403 +/* 21398 */ MCD_OPC_Decode, 215, 14, 135, 1, // Opcode: XVFRECIPE_D +/* 21403 */ MCD_OPC_FilterValue, 9, 5, 0, 0, // Skip to: 21413 +/* 21408 */ MCD_OPC_Decode, 230, 14, 135, 1, // Opcode: XVFRSQRTE_S +/* 21413 */ MCD_OPC_FilterValue, 10, 5, 0, 0, // Skip to: 21423 +/* 21418 */ MCD_OPC_Decode, 229, 14, 135, 1, // Opcode: XVFRSQRTE_D +/* 21423 */ MCD_OPC_FilterValue, 13, 5, 0, 0, // Skip to: 21433 +/* 21428 */ MCD_OPC_Decode, 228, 14, 135, 1, // Opcode: XVFRINT_S +/* 21433 */ MCD_OPC_FilterValue, 14, 5, 0, 0, // Skip to: 21443 +/* 21438 */ MCD_OPC_Decode, 227, 14, 135, 1, // Opcode: XVFRINT_D +/* 21443 */ MCD_OPC_FilterValue, 17, 5, 0, 0, // Skip to: 21453 +/* 21448 */ MCD_OPC_Decode, 220, 14, 135, 1, // Opcode: XVFRINTRM_S +/* 21453 */ MCD_OPC_FilterValue, 18, 5, 0, 0, // Skip to: 21463 +/* 21458 */ MCD_OPC_Decode, 219, 14, 135, 1, // Opcode: XVFRINTRM_D +/* 21463 */ MCD_OPC_FilterValue, 21, 5, 0, 0, // Skip to: 21473 +/* 21468 */ MCD_OPC_Decode, 224, 14, 135, 1, // Opcode: XVFRINTRP_S +/* 21473 */ MCD_OPC_FilterValue, 22, 5, 0, 0, // Skip to: 21483 +/* 21478 */ MCD_OPC_Decode, 223, 14, 135, 1, // Opcode: XVFRINTRP_D +/* 21483 */ MCD_OPC_FilterValue, 25, 5, 0, 0, // Skip to: 21493 +/* 21488 */ MCD_OPC_Decode, 226, 14, 135, 1, // Opcode: XVFRINTRZ_S +/* 21493 */ MCD_OPC_FilterValue, 26, 5, 0, 0, // Skip to: 21503 +/* 21498 */ MCD_OPC_Decode, 225, 14, 135, 1, // Opcode: XVFRINTRZ_D +/* 21503 */ MCD_OPC_FilterValue, 29, 5, 0, 0, // Skip to: 21513 +/* 21508 */ MCD_OPC_Decode, 222, 14, 135, 1, // Opcode: XVFRINTRNE_S +/* 21513 */ MCD_OPC_FilterValue, 30, 112, 11, 0, // Skip to: 24446 +/* 21518 */ MCD_OPC_Decode, 221, 14, 135, 1, // Opcode: XVFRINTRNE_D +/* 21523 */ MCD_OPC_FilterValue, 3, 43, 0, 0, // Skip to: 21571 +/* 21528 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 21531 */ MCD_OPC_FilterValue, 26, 5, 0, 0, // Skip to: 21541 +/* 21536 */ MCD_OPC_Decode, 183, 14, 135, 1, // Opcode: XVFCVTL_S_H +/* 21541 */ MCD_OPC_FilterValue, 27, 5, 0, 0, // Skip to: 21551 +/* 21546 */ MCD_OPC_Decode, 181, 14, 135, 1, // Opcode: XVFCVTH_S_H +/* 21551 */ MCD_OPC_FilterValue, 28, 5, 0, 0, // Skip to: 21561 +/* 21556 */ MCD_OPC_Decode, 182, 14, 135, 1, // Opcode: XVFCVTL_D_S +/* 21561 */ MCD_OPC_FilterValue, 29, 64, 11, 0, // Skip to: 24446 +/* 21566 */ MCD_OPC_Decode, 180, 14, 135, 1, // Opcode: XVFCVTH_D_S +/* 21571 */ MCD_OPC_FilterValue, 4, 203, 0, 0, // Skip to: 21779 +/* 21576 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 21579 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 21589 +/* 21584 */ MCD_OPC_Decode, 193, 14, 135, 1, // Opcode: XVFFINT_S_W +/* 21589 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 21599 +/* 21594 */ MCD_OPC_Decode, 194, 14, 135, 1, // Opcode: XVFFINT_S_WU +/* 21599 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 21609 +/* 21604 */ MCD_OPC_Decode, 190, 14, 135, 1, // Opcode: XVFFINT_D_L +/* 21609 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 21619 +/* 21614 */ MCD_OPC_Decode, 191, 14, 135, 1, // Opcode: XVFFINT_D_LU +/* 21619 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 21629 +/* 21624 */ MCD_OPC_Decode, 189, 14, 135, 1, // Opcode: XVFFINTL_D_W +/* 21629 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 21639 +/* 21634 */ MCD_OPC_Decode, 188, 14, 135, 1, // Opcode: XVFFINTH_D_W +/* 21639 */ MCD_OPC_FilterValue, 12, 5, 0, 0, // Skip to: 21649 +/* 21644 */ MCD_OPC_Decode, 141, 15, 135, 1, // Opcode: XVFTINT_W_S +/* 21649 */ MCD_OPC_FilterValue, 13, 5, 0, 0, // Skip to: 21659 +/* 21654 */ MCD_OPC_Decode, 138, 15, 135, 1, // Opcode: XVFTINT_L_D +/* 21659 */ MCD_OPC_FilterValue, 14, 5, 0, 0, // Skip to: 21669 +/* 21664 */ MCD_OPC_Decode, 247, 14, 135, 1, // Opcode: XVFTINTRM_W_S +/* 21669 */ MCD_OPC_FilterValue, 15, 5, 0, 0, // Skip to: 21679 +/* 21674 */ MCD_OPC_Decode, 245, 14, 135, 1, // Opcode: XVFTINTRM_L_D +/* 21679 */ MCD_OPC_FilterValue, 16, 5, 0, 0, // Skip to: 21689 +/* 21684 */ MCD_OPC_Decode, 129, 15, 135, 1, // Opcode: XVFTINTRP_W_S +/* 21689 */ MCD_OPC_FilterValue, 17, 5, 0, 0, // Skip to: 21699 +/* 21694 */ MCD_OPC_Decode, 255, 14, 135, 1, // Opcode: XVFTINTRP_L_D +/* 21699 */ MCD_OPC_FilterValue, 18, 5, 0, 0, // Skip to: 21709 +/* 21704 */ MCD_OPC_Decode, 136, 15, 135, 1, // Opcode: XVFTINTRZ_W_S +/* 21709 */ MCD_OPC_FilterValue, 19, 5, 0, 0, // Skip to: 21719 +/* 21714 */ MCD_OPC_Decode, 133, 15, 135, 1, // Opcode: XVFTINTRZ_L_D +/* 21719 */ MCD_OPC_FilterValue, 20, 5, 0, 0, // Skip to: 21729 +/* 21724 */ MCD_OPC_Decode, 252, 14, 135, 1, // Opcode: XVFTINTRNE_W_S +/* 21729 */ MCD_OPC_FilterValue, 21, 5, 0, 0, // Skip to: 21739 +/* 21734 */ MCD_OPC_Decode, 250, 14, 135, 1, // Opcode: XVFTINTRNE_L_D +/* 21739 */ MCD_OPC_FilterValue, 22, 5, 0, 0, // Skip to: 21749 +/* 21744 */ MCD_OPC_Decode, 139, 15, 135, 1, // Opcode: XVFTINT_WU_S +/* 21749 */ MCD_OPC_FilterValue, 23, 5, 0, 0, // Skip to: 21759 +/* 21754 */ MCD_OPC_Decode, 137, 15, 135, 1, // Opcode: XVFTINT_LU_D +/* 21759 */ MCD_OPC_FilterValue, 28, 5, 0, 0, // Skip to: 21769 +/* 21764 */ MCD_OPC_Decode, 134, 15, 135, 1, // Opcode: XVFTINTRZ_WU_S +/* 21769 */ MCD_OPC_FilterValue, 29, 112, 10, 0, // Skip to: 24446 +/* 21774 */ MCD_OPC_Decode, 132, 15, 135, 1, // Opcode: XVFTINTRZ_LU_D +/* 21779 */ MCD_OPC_FilterValue, 5, 183, 0, 0, // Skip to: 21967 +/* 21784 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 21787 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 21797 +/* 21792 */ MCD_OPC_Decode, 242, 14, 135, 1, // Opcode: XVFTINTL_L_S +/* 21797 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 21807 +/* 21802 */ MCD_OPC_Decode, 241, 14, 135, 1, // Opcode: XVFTINTH_L_S +/* 21807 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 21817 +/* 21812 */ MCD_OPC_Decode, 244, 14, 135, 1, // Opcode: XVFTINTRML_L_S +/* 21817 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 21827 +/* 21822 */ MCD_OPC_Decode, 243, 14, 135, 1, // Opcode: XVFTINTRMH_L_S +/* 21827 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 21837 +/* 21832 */ MCD_OPC_Decode, 254, 14, 135, 1, // Opcode: XVFTINTRPL_L_S +/* 21837 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 21847 +/* 21842 */ MCD_OPC_Decode, 253, 14, 135, 1, // Opcode: XVFTINTRPH_L_S +/* 21847 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 21857 +/* 21852 */ MCD_OPC_Decode, 131, 15, 135, 1, // Opcode: XVFTINTRZL_L_S +/* 21857 */ MCD_OPC_FilterValue, 7, 5, 0, 0, // Skip to: 21867 +/* 21862 */ MCD_OPC_Decode, 130, 15, 135, 1, // Opcode: XVFTINTRZH_L_S +/* 21867 */ MCD_OPC_FilterValue, 8, 5, 0, 0, // Skip to: 21877 +/* 21872 */ MCD_OPC_Decode, 249, 14, 135, 1, // Opcode: XVFTINTRNEL_L_S +/* 21877 */ MCD_OPC_FilterValue, 9, 5, 0, 0, // Skip to: 21887 +/* 21882 */ MCD_OPC_Decode, 248, 14, 135, 1, // Opcode: XVFTINTRNEH_L_S +/* 21887 */ MCD_OPC_FilterValue, 24, 5, 0, 0, // Skip to: 21897 +/* 21892 */ MCD_OPC_Decode, 249, 13, 135, 1, // Opcode: XVEXTH_H_B +/* 21897 */ MCD_OPC_FilterValue, 25, 5, 0, 0, // Skip to: 21907 +/* 21902 */ MCD_OPC_Decode, 253, 13, 135, 1, // Opcode: XVEXTH_W_H +/* 21907 */ MCD_OPC_FilterValue, 26, 5, 0, 0, // Skip to: 21917 +/* 21912 */ MCD_OPC_Decode, 247, 13, 135, 1, // Opcode: XVEXTH_D_W +/* 21917 */ MCD_OPC_FilterValue, 27, 5, 0, 0, // Skip to: 21927 +/* 21922 */ MCD_OPC_Decode, 251, 13, 135, 1, // Opcode: XVEXTH_Q_D +/* 21927 */ MCD_OPC_FilterValue, 28, 5, 0, 0, // Skip to: 21937 +/* 21932 */ MCD_OPC_Decode, 248, 13, 135, 1, // Opcode: XVEXTH_HU_BU +/* 21937 */ MCD_OPC_FilterValue, 29, 5, 0, 0, // Skip to: 21947 +/* 21942 */ MCD_OPC_Decode, 252, 13, 135, 1, // Opcode: XVEXTH_WU_HU +/* 21947 */ MCD_OPC_FilterValue, 30, 5, 0, 0, // Skip to: 21957 +/* 21952 */ MCD_OPC_Decode, 246, 13, 135, 1, // Opcode: XVEXTH_DU_WU +/* 21957 */ MCD_OPC_FilterValue, 31, 180, 9, 0, // Skip to: 24446 +/* 21962 */ MCD_OPC_Decode, 250, 13, 135, 1, // Opcode: XVEXTH_QU_DU +/* 21967 */ MCD_OPC_FilterValue, 6, 163, 0, 0, // Skip to: 22135 +/* 21972 */ MCD_OPC_ExtractField, 10, 5, // Inst{14-10} ... +/* 21975 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 21985 +/* 21980 */ MCD_OPC_Decode, 207, 16, 137, 1, // Opcode: XVREPLGR2VR_B +/* 21985 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 21995 +/* 21990 */ MCD_OPC_Decode, 209, 16, 137, 1, // Opcode: XVREPLGR2VR_H +/* 21995 */ MCD_OPC_FilterValue, 2, 5, 0, 0, // Skip to: 22005 +/* 22000 */ MCD_OPC_Decode, 210, 16, 137, 1, // Opcode: XVREPLGR2VR_W +/* 22005 */ MCD_OPC_FilterValue, 3, 5, 0, 0, // Skip to: 22015 +/* 22010 */ MCD_OPC_Decode, 208, 16, 137, 1, // Opcode: XVREPLGR2VR_D +/* 22015 */ MCD_OPC_FilterValue, 4, 5, 0, 0, // Skip to: 22025 +/* 22020 */ MCD_OPC_Decode, 178, 7, 135, 1, // Opcode: VEXT2XV_H_B +/* 22025 */ MCD_OPC_FilterValue, 5, 5, 0, 0, // Skip to: 22035 +/* 22030 */ MCD_OPC_Decode, 181, 7, 135, 1, // Opcode: VEXT2XV_W_B +/* 22035 */ MCD_OPC_FilterValue, 6, 5, 0, 0, // Skip to: 22045 +/* 22040 */ MCD_OPC_Decode, 174, 7, 135, 1, // Opcode: VEXT2XV_D_B +/* 22045 */ MCD_OPC_FilterValue, 7, 5, 0, 0, // Skip to: 22055 +/* 22050 */ MCD_OPC_Decode, 182, 7, 135, 1, // Opcode: VEXT2XV_W_H +/* 22055 */ MCD_OPC_FilterValue, 8, 5, 0, 0, // Skip to: 22065 +/* 22060 */ MCD_OPC_Decode, 175, 7, 135, 1, // Opcode: VEXT2XV_D_H +/* 22065 */ MCD_OPC_FilterValue, 9, 5, 0, 0, // Skip to: 22075 +/* 22070 */ MCD_OPC_Decode, 176, 7, 135, 1, // Opcode: VEXT2XV_D_W +/* 22075 */ MCD_OPC_FilterValue, 10, 5, 0, 0, // Skip to: 22085 +/* 22080 */ MCD_OPC_Decode, 177, 7, 135, 1, // Opcode: VEXT2XV_HU_BU +/* 22085 */ MCD_OPC_FilterValue, 11, 5, 0, 0, // Skip to: 22095 +/* 22090 */ MCD_OPC_Decode, 179, 7, 135, 1, // Opcode: VEXT2XV_WU_BU +/* 22095 */ MCD_OPC_FilterValue, 12, 5, 0, 0, // Skip to: 22105 +/* 22100 */ MCD_OPC_Decode, 171, 7, 135, 1, // Opcode: VEXT2XV_DU_BU +/* 22105 */ MCD_OPC_FilterValue, 13, 5, 0, 0, // Skip to: 22115 +/* 22110 */ MCD_OPC_Decode, 180, 7, 135, 1, // Opcode: VEXT2XV_WU_HU +/* 22115 */ MCD_OPC_FilterValue, 14, 5, 0, 0, // Skip to: 22125 +/* 22120 */ MCD_OPC_Decode, 172, 7, 135, 1, // Opcode: VEXT2XV_DU_HU +/* 22125 */ MCD_OPC_FilterValue, 15, 12, 9, 0, // Skip to: 24446 +/* 22130 */ MCD_OPC_Decode, 173, 7, 135, 1, // Opcode: VEXT2XV_DU_WU +/* 22135 */ MCD_OPC_FilterValue, 7, 2, 9, 0, // Skip to: 24446 +/* 22140 */ MCD_OPC_Decode, 150, 15, 133, 1, // Opcode: XVHSELI_D +/* 22145 */ MCD_OPC_FilterValue, 168, 1, 66, 0, 0, // Skip to: 22217 +/* 22151 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 22154 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 22207 +/* 22159 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 22162 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 22197 +/* 22167 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 22170 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 22187 +/* 22175 */ MCD_OPC_CheckField, 13, 1, 1, 216, 8, 0, // Skip to: 24446 +/* 22182 */ MCD_OPC_Decode, 220, 16, 138, 1, // Opcode: XVROTRI_B +/* 22187 */ MCD_OPC_FilterValue, 1, 206, 8, 0, // Skip to: 24446 +/* 22192 */ MCD_OPC_Decode, 222, 16, 139, 1, // Opcode: XVROTRI_H +/* 22197 */ MCD_OPC_FilterValue, 1, 196, 8, 0, // Skip to: 24446 +/* 22202 */ MCD_OPC_Decode, 223, 16, 133, 1, // Opcode: XVROTRI_W +/* 22207 */ MCD_OPC_FilterValue, 1, 186, 8, 0, // Skip to: 24446 +/* 22212 */ MCD_OPC_Decode, 221, 16, 140, 1, // Opcode: XVROTRI_D +/* 22217 */ MCD_OPC_FilterValue, 169, 1, 66, 0, 0, // Skip to: 22289 +/* 22223 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 22226 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 22279 +/* 22231 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 22234 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 22269 +/* 22239 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 22242 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 22259 +/* 22247 */ MCD_OPC_CheckField, 13, 1, 1, 144, 8, 0, // Skip to: 24446 +/* 22254 */ MCD_OPC_Decode, 233, 17, 138, 1, // Opcode: XVSRLRI_B +/* 22259 */ MCD_OPC_FilterValue, 1, 134, 8, 0, // Skip to: 24446 +/* 22264 */ MCD_OPC_Decode, 235, 17, 139, 1, // Opcode: XVSRLRI_H +/* 22269 */ MCD_OPC_FilterValue, 1, 124, 8, 0, // Skip to: 24446 +/* 22274 */ MCD_OPC_Decode, 236, 17, 133, 1, // Opcode: XVSRLRI_W +/* 22279 */ MCD_OPC_FilterValue, 1, 114, 8, 0, // Skip to: 24446 +/* 22284 */ MCD_OPC_Decode, 234, 17, 140, 1, // Opcode: XVSRLRI_D +/* 22289 */ MCD_OPC_FilterValue, 170, 1, 66, 0, 0, // Skip to: 22361 +/* 22295 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 22298 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 22351 +/* 22303 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 22306 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 22341 +/* 22311 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 22314 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 22331 +/* 22319 */ MCD_OPC_CheckField, 13, 1, 1, 72, 8, 0, // Skip to: 24446 +/* 22326 */ MCD_OPC_Decode, 203, 17, 138, 1, // Opcode: XVSRARI_B +/* 22331 */ MCD_OPC_FilterValue, 1, 62, 8, 0, // Skip to: 24446 +/* 22336 */ MCD_OPC_Decode, 205, 17, 139, 1, // Opcode: XVSRARI_H +/* 22341 */ MCD_OPC_FilterValue, 1, 52, 8, 0, // Skip to: 24446 +/* 22346 */ MCD_OPC_Decode, 206, 17, 133, 1, // Opcode: XVSRARI_W +/* 22351 */ MCD_OPC_FilterValue, 1, 42, 8, 0, // Skip to: 24446 +/* 22356 */ MCD_OPC_Decode, 204, 17, 140, 1, // Opcode: XVSRARI_D +/* 22361 */ MCD_OPC_FilterValue, 186, 1, 30, 0, 0, // Skip to: 22397 +/* 22367 */ MCD_OPC_ExtractField, 13, 5, // Inst{17-13} ... +/* 22370 */ MCD_OPC_FilterValue, 30, 5, 0, 0, // Skip to: 22380 +/* 22375 */ MCD_OPC_Decode, 168, 15, 141, 1, // Opcode: XVINSGR2VR_W +/* 22380 */ MCD_OPC_FilterValue, 31, 13, 8, 0, // Skip to: 24446 +/* 22385 */ MCD_OPC_CheckField, 12, 1, 0, 6, 8, 0, // Skip to: 24446 +/* 22392 */ MCD_OPC_Decode, 167, 15, 142, 1, // Opcode: XVINSGR2VR_D +/* 22397 */ MCD_OPC_FilterValue, 187, 1, 30, 0, 0, // Skip to: 22433 +/* 22403 */ MCD_OPC_ExtractField, 13, 5, // Inst{17-13} ... +/* 22406 */ MCD_OPC_FilterValue, 30, 5, 0, 0, // Skip to: 22416 +/* 22411 */ MCD_OPC_Decode, 199, 16, 143, 1, // Opcode: XVPICKVE2GR_W +/* 22416 */ MCD_OPC_FilterValue, 31, 233, 7, 0, // Skip to: 24446 +/* 22421 */ MCD_OPC_CheckField, 12, 1, 0, 226, 7, 0, // Skip to: 24446 +/* 22428 */ MCD_OPC_Decode, 197, 16, 144, 1, // Opcode: XVPICKVE2GR_D +/* 22433 */ MCD_OPC_FilterValue, 188, 1, 30, 0, 0, // Skip to: 22469 +/* 22439 */ MCD_OPC_ExtractField, 13, 5, // Inst{17-13} ... +/* 22442 */ MCD_OPC_FilterValue, 30, 5, 0, 0, // Skip to: 22452 +/* 22447 */ MCD_OPC_Decode, 200, 16, 143, 1, // Opcode: XVPICKVE2GR_WU +/* 22452 */ MCD_OPC_FilterValue, 31, 197, 7, 0, // Skip to: 24446 +/* 22457 */ MCD_OPC_CheckField, 12, 1, 0, 190, 7, 0, // Skip to: 24446 +/* 22464 */ MCD_OPC_Decode, 198, 16, 144, 1, // Opcode: XVPICKVE2GR_DU +/* 22469 */ MCD_OPC_FilterValue, 189, 1, 66, 0, 0, // Skip to: 22541 +/* 22475 */ MCD_OPC_ExtractField, 14, 4, // Inst{17-14} ... +/* 22478 */ MCD_OPC_FilterValue, 14, 5, 0, 0, // Skip to: 22488 +/* 22483 */ MCD_OPC_Decode, 203, 16, 139, 1, // Opcode: XVREPL128VEI_B +/* 22488 */ MCD_OPC_FilterValue, 15, 161, 7, 0, // Skip to: 24446 +/* 22493 */ MCD_OPC_ExtractField, 13, 1, // Inst{13} ... +/* 22496 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 22506 +/* 22501 */ MCD_OPC_Decode, 205, 16, 138, 1, // Opcode: XVREPL128VEI_H +/* 22506 */ MCD_OPC_FilterValue, 1, 143, 7, 0, // Skip to: 24446 +/* 22511 */ MCD_OPC_ExtractField, 12, 1, // Inst{12} ... +/* 22514 */ MCD_OPC_FilterValue, 0, 5, 0, 0, // Skip to: 22524 +/* 22519 */ MCD_OPC_Decode, 206, 16, 145, 1, // Opcode: XVREPL128VEI_W +/* 22524 */ MCD_OPC_FilterValue, 1, 125, 7, 0, // Skip to: 24446 +/* 22529 */ MCD_OPC_CheckField, 11, 1, 0, 118, 7, 0, // Skip to: 24446 +/* 22536 */ MCD_OPC_Decode, 204, 16, 146, 1, // Opcode: XVREPL128VEI_D +/* 22541 */ MCD_OPC_FilterValue, 191, 1, 30, 0, 0, // Skip to: 22577 +/* 22547 */ MCD_OPC_ExtractField, 13, 5, // Inst{17-13} ... +/* 22550 */ MCD_OPC_FilterValue, 30, 5, 0, 0, // Skip to: 22560 +/* 22555 */ MCD_OPC_Decode, 170, 15, 147, 1, // Opcode: XVINSVE0_W +/* 22560 */ MCD_OPC_FilterValue, 31, 89, 7, 0, // Skip to: 24446 +/* 22565 */ MCD_OPC_CheckField, 12, 1, 0, 82, 7, 0, // Skip to: 24446 +/* 22572 */ MCD_OPC_Decode, 169, 15, 148, 1, // Opcode: XVINSVE0_D +/* 22577 */ MCD_OPC_FilterValue, 192, 1, 30, 0, 0, // Skip to: 22613 +/* 22583 */ MCD_OPC_ExtractField, 13, 5, // Inst{17-13} ... +/* 22586 */ MCD_OPC_FilterValue, 30, 5, 0, 0, // Skip to: 22596 +/* 22591 */ MCD_OPC_Decode, 202, 16, 138, 1, // Opcode: XVPICKVE_W +/* 22596 */ MCD_OPC_FilterValue, 31, 53, 7, 0, // Skip to: 24446 +/* 22601 */ MCD_OPC_CheckField, 12, 1, 0, 46, 7, 0, // Skip to: 24446 +/* 22608 */ MCD_OPC_Decode, 201, 16, 145, 1, // Opcode: XVPICKVE_D +/* 22613 */ MCD_OPC_FilterValue, 193, 1, 58, 0, 0, // Skip to: 22677 +/* 22619 */ MCD_OPC_ExtractField, 10, 8, // Inst{17-10} ... +/* 22622 */ MCD_OPC_FilterValue, 192, 1, 5, 0, 0, // Skip to: 22633 +/* 22628 */ MCD_OPC_Decode, 211, 16, 135, 1, // Opcode: XVREPLVE0_B +/* 22633 */ MCD_OPC_FilterValue, 224, 1, 5, 0, 0, // Skip to: 22644 +/* 22639 */ MCD_OPC_Decode, 213, 16, 135, 1, // Opcode: XVREPLVE0_H +/* 22644 */ MCD_OPC_FilterValue, 240, 1, 5, 0, 0, // Skip to: 22655 +/* 22650 */ MCD_OPC_Decode, 215, 16, 135, 1, // Opcode: XVREPLVE0_W +/* 22655 */ MCD_OPC_FilterValue, 248, 1, 5, 0, 0, // Skip to: 22666 +/* 22661 */ MCD_OPC_Decode, 212, 16, 135, 1, // Opcode: XVREPLVE0_D +/* 22666 */ MCD_OPC_FilterValue, 252, 1, 238, 6, 0, // Skip to: 24446 +/* 22672 */ MCD_OPC_Decode, 214, 16, 135, 1, // Opcode: XVREPLVE0_Q +/* 22677 */ MCD_OPC_FilterValue, 194, 1, 65, 0, 0, // Skip to: 22748 +/* 22683 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 22686 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 22721 +/* 22691 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 22694 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 22711 +/* 22699 */ MCD_OPC_CheckField, 13, 1, 1, 204, 6, 0, // Skip to: 24446 +/* 22706 */ MCD_OPC_Decode, 169, 17, 138, 1, // Opcode: XVSLLWIL_H_B +/* 22711 */ MCD_OPC_FilterValue, 1, 194, 6, 0, // Skip to: 24446 +/* 22716 */ MCD_OPC_Decode, 171, 17, 139, 1, // Opcode: XVSLLWIL_W_H +/* 22721 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 22731 +/* 22726 */ MCD_OPC_Decode, 167, 17, 133, 1, // Opcode: XVSLLWIL_D_W +/* 22731 */ MCD_OPC_FilterValue, 2, 174, 6, 0, // Skip to: 24446 +/* 22736 */ MCD_OPC_CheckField, 10, 5, 0, 167, 6, 0, // Skip to: 24446 +/* 22743 */ MCD_OPC_Decode, 255, 13, 135, 1, // Opcode: XVEXTL_Q_D +/* 22748 */ MCD_OPC_FilterValue, 195, 1, 65, 0, 0, // Skip to: 22819 +/* 22754 */ MCD_OPC_ExtractField, 15, 3, // Inst{17-15} ... +/* 22757 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 22792 +/* 22762 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 22765 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 22782 +/* 22770 */ MCD_OPC_CheckField, 13, 1, 1, 133, 6, 0, // Skip to: 24446 +/* 22777 */ MCD_OPC_Decode, 168, 17, 138, 1, // Opcode: XVSLLWIL_HU_BU +/* 22782 */ MCD_OPC_FilterValue, 1, 123, 6, 0, // Skip to: 24446 +/* 22787 */ MCD_OPC_Decode, 170, 17, 139, 1, // Opcode: XVSLLWIL_WU_HU +/* 22792 */ MCD_OPC_FilterValue, 1, 5, 0, 0, // Skip to: 22802 +/* 22797 */ MCD_OPC_Decode, 166, 17, 133, 1, // Opcode: XVSLLWIL_DU_WU +/* 22802 */ MCD_OPC_FilterValue, 2, 103, 6, 0, // Skip to: 24446 +/* 22807 */ MCD_OPC_CheckField, 10, 5, 0, 96, 6, 0, // Skip to: 24446 +/* 22814 */ MCD_OPC_Decode, 254, 13, 135, 1, // Opcode: XVEXTL_QU_DU +/* 22819 */ MCD_OPC_FilterValue, 196, 1, 66, 0, 0, // Skip to: 22891 +/* 22825 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 22828 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 22881 +/* 22833 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 22836 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 22871 +/* 22841 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 22844 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 22861 +/* 22849 */ MCD_OPC_CheckField, 13, 1, 1, 54, 6, 0, // Skip to: 24446 +/* 22856 */ MCD_OPC_Decode, 202, 13, 138, 1, // Opcode: XVBITCLRI_B +/* 22861 */ MCD_OPC_FilterValue, 1, 44, 6, 0, // Skip to: 24446 +/* 22866 */ MCD_OPC_Decode, 204, 13, 139, 1, // Opcode: XVBITCLRI_H +/* 22871 */ MCD_OPC_FilterValue, 1, 34, 6, 0, // Skip to: 24446 +/* 22876 */ MCD_OPC_Decode, 205, 13, 133, 1, // Opcode: XVBITCLRI_W +/* 22881 */ MCD_OPC_FilterValue, 1, 24, 6, 0, // Skip to: 24446 +/* 22886 */ MCD_OPC_Decode, 203, 13, 140, 1, // Opcode: XVBITCLRI_D +/* 22891 */ MCD_OPC_FilterValue, 197, 1, 66, 0, 0, // Skip to: 22963 +/* 22897 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 22900 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 22953 +/* 22905 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 22908 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 22943 +/* 22913 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 22916 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 22933 +/* 22921 */ MCD_OPC_CheckField, 13, 1, 1, 238, 5, 0, // Skip to: 24446 +/* 22928 */ MCD_OPC_Decode, 220, 13, 138, 1, // Opcode: XVBITSETI_B +/* 22933 */ MCD_OPC_FilterValue, 1, 228, 5, 0, // Skip to: 24446 +/* 22938 */ MCD_OPC_Decode, 222, 13, 139, 1, // Opcode: XVBITSETI_H +/* 22943 */ MCD_OPC_FilterValue, 1, 218, 5, 0, // Skip to: 24446 +/* 22948 */ MCD_OPC_Decode, 223, 13, 133, 1, // Opcode: XVBITSETI_W +/* 22953 */ MCD_OPC_FilterValue, 1, 208, 5, 0, // Skip to: 24446 +/* 22958 */ MCD_OPC_Decode, 221, 13, 140, 1, // Opcode: XVBITSETI_D +/* 22963 */ MCD_OPC_FilterValue, 198, 1, 66, 0, 0, // Skip to: 23035 +/* 22969 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 22972 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23025 +/* 22977 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 22980 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23015 +/* 22985 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 22988 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23005 +/* 22993 */ MCD_OPC_CheckField, 13, 1, 1, 166, 5, 0, // Skip to: 24446 +/* 23000 */ MCD_OPC_Decode, 210, 13, 138, 1, // Opcode: XVBITREVI_B +/* 23005 */ MCD_OPC_FilterValue, 1, 156, 5, 0, // Skip to: 24446 +/* 23010 */ MCD_OPC_Decode, 212, 13, 139, 1, // Opcode: XVBITREVI_H +/* 23015 */ MCD_OPC_FilterValue, 1, 146, 5, 0, // Skip to: 24446 +/* 23020 */ MCD_OPC_Decode, 213, 13, 133, 1, // Opcode: XVBITREVI_W +/* 23025 */ MCD_OPC_FilterValue, 1, 136, 5, 0, // Skip to: 24446 +/* 23030 */ MCD_OPC_Decode, 211, 13, 140, 1, // Opcode: XVBITREVI_D +/* 23035 */ MCD_OPC_FilterValue, 201, 1, 66, 0, 0, // Skip to: 23107 +/* 23041 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 23044 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23097 +/* 23049 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23052 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23087 +/* 23057 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 23060 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23077 +/* 23065 */ MCD_OPC_CheckField, 13, 1, 1, 94, 5, 0, // Skip to: 24446 +/* 23072 */ MCD_OPC_Decode, 236, 16, 138, 1, // Opcode: XVSAT_B +/* 23077 */ MCD_OPC_FilterValue, 1, 84, 5, 0, // Skip to: 24446 +/* 23082 */ MCD_OPC_Decode, 240, 16, 139, 1, // Opcode: XVSAT_H +/* 23087 */ MCD_OPC_FilterValue, 1, 74, 5, 0, // Skip to: 24446 +/* 23092 */ MCD_OPC_Decode, 242, 16, 133, 1, // Opcode: XVSAT_W +/* 23097 */ MCD_OPC_FilterValue, 1, 64, 5, 0, // Skip to: 24446 +/* 23102 */ MCD_OPC_Decode, 238, 16, 140, 1, // Opcode: XVSAT_D +/* 23107 */ MCD_OPC_FilterValue, 202, 1, 66, 0, 0, // Skip to: 23179 +/* 23113 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 23116 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23169 +/* 23121 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23124 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23159 +/* 23129 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 23132 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23149 +/* 23137 */ MCD_OPC_CheckField, 13, 1, 1, 22, 5, 0, // Skip to: 24446 +/* 23144 */ MCD_OPC_Decode, 237, 16, 138, 1, // Opcode: XVSAT_BU +/* 23149 */ MCD_OPC_FilterValue, 1, 12, 5, 0, // Skip to: 24446 +/* 23154 */ MCD_OPC_Decode, 241, 16, 139, 1, // Opcode: XVSAT_HU +/* 23159 */ MCD_OPC_FilterValue, 1, 2, 5, 0, // Skip to: 24446 +/* 23164 */ MCD_OPC_Decode, 243, 16, 133, 1, // Opcode: XVSAT_WU +/* 23169 */ MCD_OPC_FilterValue, 1, 248, 4, 0, // Skip to: 24446 +/* 23174 */ MCD_OPC_Decode, 239, 16, 140, 1, // Opcode: XVSAT_DU +/* 23179 */ MCD_OPC_FilterValue, 203, 1, 66, 0, 0, // Skip to: 23251 +/* 23185 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 23188 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23241 +/* 23193 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23196 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23231 +/* 23201 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 23204 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23221 +/* 23209 */ MCD_OPC_CheckField, 13, 1, 1, 206, 4, 0, // Skip to: 24446 +/* 23216 */ MCD_OPC_Decode, 162, 17, 138, 1, // Opcode: XVSLLI_B +/* 23221 */ MCD_OPC_FilterValue, 1, 196, 4, 0, // Skip to: 24446 +/* 23226 */ MCD_OPC_Decode, 164, 17, 139, 1, // Opcode: XVSLLI_H +/* 23231 */ MCD_OPC_FilterValue, 1, 186, 4, 0, // Skip to: 24446 +/* 23236 */ MCD_OPC_Decode, 165, 17, 133, 1, // Opcode: XVSLLI_W +/* 23241 */ MCD_OPC_FilterValue, 1, 176, 4, 0, // Skip to: 24446 +/* 23246 */ MCD_OPC_Decode, 163, 17, 140, 1, // Opcode: XVSLLI_D +/* 23251 */ MCD_OPC_FilterValue, 204, 1, 66, 0, 0, // Skip to: 23323 +/* 23257 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 23260 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23313 +/* 23265 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23268 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23303 +/* 23273 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 23276 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23293 +/* 23281 */ MCD_OPC_CheckField, 13, 1, 1, 134, 4, 0, // Skip to: 24446 +/* 23288 */ MCD_OPC_Decode, 222, 17, 138, 1, // Opcode: XVSRLI_B +/* 23293 */ MCD_OPC_FilterValue, 1, 124, 4, 0, // Skip to: 24446 +/* 23298 */ MCD_OPC_Decode, 224, 17, 139, 1, // Opcode: XVSRLI_H +/* 23303 */ MCD_OPC_FilterValue, 1, 114, 4, 0, // Skip to: 24446 +/* 23308 */ MCD_OPC_Decode, 225, 17, 133, 1, // Opcode: XVSRLI_W +/* 23313 */ MCD_OPC_FilterValue, 1, 104, 4, 0, // Skip to: 24446 +/* 23318 */ MCD_OPC_Decode, 223, 17, 140, 1, // Opcode: XVSRLI_D +/* 23323 */ MCD_OPC_FilterValue, 205, 1, 66, 0, 0, // Skip to: 23395 +/* 23329 */ MCD_OPC_ExtractField, 16, 2, // Inst{17-16} ... +/* 23332 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23385 +/* 23337 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23340 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23375 +/* 23345 */ MCD_OPC_ExtractField, 14, 1, // Inst{14} ... +/* 23348 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23365 +/* 23353 */ MCD_OPC_CheckField, 13, 1, 1, 62, 4, 0, // Skip to: 24446 +/* 23360 */ MCD_OPC_Decode, 192, 17, 138, 1, // Opcode: XVSRAI_B +/* 23365 */ MCD_OPC_FilterValue, 1, 52, 4, 0, // Skip to: 24446 +/* 23370 */ MCD_OPC_Decode, 194, 17, 139, 1, // Opcode: XVSRAI_H +/* 23375 */ MCD_OPC_FilterValue, 1, 42, 4, 0, // Skip to: 24446 +/* 23380 */ MCD_OPC_Decode, 195, 17, 133, 1, // Opcode: XVSRAI_W +/* 23385 */ MCD_OPC_FilterValue, 1, 32, 4, 0, // Skip to: 24446 +/* 23390 */ MCD_OPC_Decode, 193, 17, 140, 1, // Opcode: XVSRAI_D +/* 23395 */ MCD_OPC_FilterValue, 208, 1, 66, 0, 0, // Skip to: 23467 +/* 23401 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23404 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23457 +/* 23409 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23412 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23447 +/* 23417 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23420 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23437 +/* 23425 */ MCD_OPC_CheckField, 14, 1, 1, 246, 3, 0, // Skip to: 24446 +/* 23432 */ MCD_OPC_Decode, 226, 17, 149, 1, // Opcode: XVSRLNI_B_H +/* 23437 */ MCD_OPC_FilterValue, 1, 236, 3, 0, // Skip to: 24446 +/* 23442 */ MCD_OPC_Decode, 228, 17, 134, 1, // Opcode: XVSRLNI_H_W +/* 23447 */ MCD_OPC_FilterValue, 1, 226, 3, 0, // Skip to: 24446 +/* 23452 */ MCD_OPC_Decode, 229, 17, 150, 1, // Opcode: XVSRLNI_W_D +/* 23457 */ MCD_OPC_FilterValue, 1, 216, 3, 0, // Skip to: 24446 +/* 23462 */ MCD_OPC_Decode, 227, 17, 151, 1, // Opcode: XVSRLNI_D_Q +/* 23467 */ MCD_OPC_FilterValue, 209, 1, 66, 0, 0, // Skip to: 23539 +/* 23473 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23476 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23529 +/* 23481 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23484 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23519 +/* 23489 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23492 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23509 +/* 23497 */ MCD_OPC_CheckField, 14, 1, 1, 174, 3, 0, // Skip to: 24446 +/* 23504 */ MCD_OPC_Decode, 237, 17, 149, 1, // Opcode: XVSRLRNI_B_H +/* 23509 */ MCD_OPC_FilterValue, 1, 164, 3, 0, // Skip to: 24446 +/* 23514 */ MCD_OPC_Decode, 239, 17, 134, 1, // Opcode: XVSRLRNI_H_W +/* 23519 */ MCD_OPC_FilterValue, 1, 154, 3, 0, // Skip to: 24446 +/* 23524 */ MCD_OPC_Decode, 240, 17, 150, 1, // Opcode: XVSRLRNI_W_D +/* 23529 */ MCD_OPC_FilterValue, 1, 144, 3, 0, // Skip to: 24446 +/* 23534 */ MCD_OPC_Decode, 238, 17, 151, 1, // Opcode: XVSRLRNI_D_Q +/* 23539 */ MCD_OPC_FilterValue, 210, 1, 66, 0, 0, // Skip to: 23611 +/* 23545 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23548 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23601 +/* 23553 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23556 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23591 +/* 23561 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23564 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23581 +/* 23569 */ MCD_OPC_CheckField, 14, 1, 1, 102, 3, 0, // Skip to: 24446 +/* 23576 */ MCD_OPC_Decode, 153, 18, 149, 1, // Opcode: XVSSRLNI_B_H +/* 23581 */ MCD_OPC_FilterValue, 1, 92, 3, 0, // Skip to: 24446 +/* 23586 */ MCD_OPC_Decode, 157, 18, 134, 1, // Opcode: XVSSRLNI_H_W +/* 23591 */ MCD_OPC_FilterValue, 1, 82, 3, 0, // Skip to: 24446 +/* 23596 */ MCD_OPC_Decode, 159, 18, 150, 1, // Opcode: XVSSRLNI_W_D +/* 23601 */ MCD_OPC_FilterValue, 1, 72, 3, 0, // Skip to: 24446 +/* 23606 */ MCD_OPC_Decode, 155, 18, 151, 1, // Opcode: XVSSRLNI_D_Q +/* 23611 */ MCD_OPC_FilterValue, 211, 1, 66, 0, 0, // Skip to: 23683 +/* 23617 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23620 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23673 +/* 23625 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23628 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23663 +/* 23633 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23636 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23653 +/* 23641 */ MCD_OPC_CheckField, 14, 1, 1, 30, 3, 0, // Skip to: 24446 +/* 23648 */ MCD_OPC_Decode, 152, 18, 149, 1, // Opcode: XVSSRLNI_BU_H +/* 23653 */ MCD_OPC_FilterValue, 1, 20, 3, 0, // Skip to: 24446 +/* 23658 */ MCD_OPC_Decode, 156, 18, 134, 1, // Opcode: XVSSRLNI_HU_W +/* 23663 */ MCD_OPC_FilterValue, 1, 10, 3, 0, // Skip to: 24446 +/* 23668 */ MCD_OPC_Decode, 158, 18, 150, 1, // Opcode: XVSSRLNI_WU_D +/* 23673 */ MCD_OPC_FilterValue, 1, 0, 3, 0, // Skip to: 24446 +/* 23678 */ MCD_OPC_Decode, 154, 18, 151, 1, // Opcode: XVSSRLNI_DU_Q +/* 23683 */ MCD_OPC_FilterValue, 212, 1, 66, 0, 0, // Skip to: 23755 +/* 23689 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23692 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23745 +/* 23697 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23700 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23735 +/* 23705 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23708 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23725 +/* 23713 */ MCD_OPC_CheckField, 14, 1, 1, 214, 2, 0, // Skip to: 24446 +/* 23720 */ MCD_OPC_Decode, 167, 18, 149, 1, // Opcode: XVSSRLRNI_B_H +/* 23725 */ MCD_OPC_FilterValue, 1, 204, 2, 0, // Skip to: 24446 +/* 23730 */ MCD_OPC_Decode, 171, 18, 134, 1, // Opcode: XVSSRLRNI_H_W +/* 23735 */ MCD_OPC_FilterValue, 1, 194, 2, 0, // Skip to: 24446 +/* 23740 */ MCD_OPC_Decode, 173, 18, 150, 1, // Opcode: XVSSRLRNI_W_D +/* 23745 */ MCD_OPC_FilterValue, 1, 184, 2, 0, // Skip to: 24446 +/* 23750 */ MCD_OPC_Decode, 169, 18, 151, 1, // Opcode: XVSSRLRNI_D_Q +/* 23755 */ MCD_OPC_FilterValue, 213, 1, 66, 0, 0, // Skip to: 23827 +/* 23761 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23764 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23817 +/* 23769 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23772 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23807 +/* 23777 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23780 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23797 +/* 23785 */ MCD_OPC_CheckField, 14, 1, 1, 142, 2, 0, // Skip to: 24446 +/* 23792 */ MCD_OPC_Decode, 166, 18, 149, 1, // Opcode: XVSSRLRNI_BU_H +/* 23797 */ MCD_OPC_FilterValue, 1, 132, 2, 0, // Skip to: 24446 +/* 23802 */ MCD_OPC_Decode, 170, 18, 134, 1, // Opcode: XVSSRLRNI_HU_W +/* 23807 */ MCD_OPC_FilterValue, 1, 122, 2, 0, // Skip to: 24446 +/* 23812 */ MCD_OPC_Decode, 172, 18, 150, 1, // Opcode: XVSSRLRNI_WU_D +/* 23817 */ MCD_OPC_FilterValue, 1, 112, 2, 0, // Skip to: 24446 +/* 23822 */ MCD_OPC_Decode, 168, 18, 151, 1, // Opcode: XVSSRLRNI_DU_Q +/* 23827 */ MCD_OPC_FilterValue, 214, 1, 66, 0, 0, // Skip to: 23899 +/* 23833 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23836 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23889 +/* 23841 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23844 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23879 +/* 23849 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23852 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23869 +/* 23857 */ MCD_OPC_CheckField, 14, 1, 1, 70, 2, 0, // Skip to: 24446 +/* 23864 */ MCD_OPC_Decode, 196, 17, 149, 1, // Opcode: XVSRANI_B_H +/* 23869 */ MCD_OPC_FilterValue, 1, 60, 2, 0, // Skip to: 24446 +/* 23874 */ MCD_OPC_Decode, 198, 17, 134, 1, // Opcode: XVSRANI_H_W +/* 23879 */ MCD_OPC_FilterValue, 1, 50, 2, 0, // Skip to: 24446 +/* 23884 */ MCD_OPC_Decode, 199, 17, 150, 1, // Opcode: XVSRANI_W_D +/* 23889 */ MCD_OPC_FilterValue, 1, 40, 2, 0, // Skip to: 24446 +/* 23894 */ MCD_OPC_Decode, 197, 17, 151, 1, // Opcode: XVSRANI_D_Q +/* 23899 */ MCD_OPC_FilterValue, 215, 1, 66, 0, 0, // Skip to: 23971 +/* 23905 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23908 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 23961 +/* 23913 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23916 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 23951 +/* 23921 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23924 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 23941 +/* 23929 */ MCD_OPC_CheckField, 14, 1, 1, 254, 1, 0, // Skip to: 24446 +/* 23936 */ MCD_OPC_Decode, 207, 17, 149, 1, // Opcode: XVSRARNI_B_H +/* 23941 */ MCD_OPC_FilterValue, 1, 244, 1, 0, // Skip to: 24446 +/* 23946 */ MCD_OPC_Decode, 209, 17, 134, 1, // Opcode: XVSRARNI_H_W +/* 23951 */ MCD_OPC_FilterValue, 1, 234, 1, 0, // Skip to: 24446 +/* 23956 */ MCD_OPC_Decode, 210, 17, 150, 1, // Opcode: XVSRARNI_W_D +/* 23961 */ MCD_OPC_FilterValue, 1, 224, 1, 0, // Skip to: 24446 +/* 23966 */ MCD_OPC_Decode, 208, 17, 151, 1, // Opcode: XVSRARNI_D_Q +/* 23971 */ MCD_OPC_FilterValue, 216, 1, 66, 0, 0, // Skip to: 24043 +/* 23977 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 23980 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 24033 +/* 23985 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 23988 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 24023 +/* 23993 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 23996 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 24013 +/* 24001 */ MCD_OPC_CheckField, 14, 1, 1, 182, 1, 0, // Skip to: 24446 +/* 24008 */ MCD_OPC_Decode, 253, 17, 149, 1, // Opcode: XVSSRANI_B_H +/* 24013 */ MCD_OPC_FilterValue, 1, 172, 1, 0, // Skip to: 24446 +/* 24018 */ MCD_OPC_Decode, 129, 18, 134, 1, // Opcode: XVSSRANI_H_W +/* 24023 */ MCD_OPC_FilterValue, 1, 162, 1, 0, // Skip to: 24446 +/* 24028 */ MCD_OPC_Decode, 131, 18, 150, 1, // Opcode: XVSSRANI_W_D +/* 24033 */ MCD_OPC_FilterValue, 1, 152, 1, 0, // Skip to: 24446 +/* 24038 */ MCD_OPC_Decode, 255, 17, 151, 1, // Opcode: XVSSRANI_D_Q +/* 24043 */ MCD_OPC_FilterValue, 217, 1, 66, 0, 0, // Skip to: 24115 +/* 24049 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 24052 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 24105 +/* 24057 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 24060 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 24095 +/* 24065 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 24068 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 24085 +/* 24073 */ MCD_OPC_CheckField, 14, 1, 1, 110, 1, 0, // Skip to: 24446 +/* 24080 */ MCD_OPC_Decode, 252, 17, 149, 1, // Opcode: XVSSRANI_BU_H +/* 24085 */ MCD_OPC_FilterValue, 1, 100, 1, 0, // Skip to: 24446 +/* 24090 */ MCD_OPC_Decode, 128, 18, 134, 1, // Opcode: XVSSRANI_HU_W +/* 24095 */ MCD_OPC_FilterValue, 1, 90, 1, 0, // Skip to: 24446 +/* 24100 */ MCD_OPC_Decode, 130, 18, 150, 1, // Opcode: XVSSRANI_WU_D +/* 24105 */ MCD_OPC_FilterValue, 1, 80, 1, 0, // Skip to: 24446 +/* 24110 */ MCD_OPC_Decode, 254, 17, 151, 1, // Opcode: XVSSRANI_DU_Q +/* 24115 */ MCD_OPC_FilterValue, 218, 1, 66, 0, 0, // Skip to: 24187 +/* 24121 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 24124 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 24177 +/* 24129 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 24132 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 24167 +/* 24137 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 24140 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 24157 +/* 24145 */ MCD_OPC_CheckField, 14, 1, 1, 38, 1, 0, // Skip to: 24446 +/* 24152 */ MCD_OPC_Decode, 139, 18, 149, 1, // Opcode: XVSSRARNI_B_H +/* 24157 */ MCD_OPC_FilterValue, 1, 28, 1, 0, // Skip to: 24446 +/* 24162 */ MCD_OPC_Decode, 143, 18, 134, 1, // Opcode: XVSSRARNI_H_W +/* 24167 */ MCD_OPC_FilterValue, 1, 18, 1, 0, // Skip to: 24446 +/* 24172 */ MCD_OPC_Decode, 145, 18, 150, 1, // Opcode: XVSSRARNI_W_D +/* 24177 */ MCD_OPC_FilterValue, 1, 8, 1, 0, // Skip to: 24446 +/* 24182 */ MCD_OPC_Decode, 141, 18, 151, 1, // Opcode: XVSSRARNI_D_Q +/* 24187 */ MCD_OPC_FilterValue, 219, 1, 66, 0, 0, // Skip to: 24259 +/* 24193 */ MCD_OPC_ExtractField, 17, 1, // Inst{17} ... +/* 24196 */ MCD_OPC_FilterValue, 0, 48, 0, 0, // Skip to: 24249 +/* 24201 */ MCD_OPC_ExtractField, 16, 1, // Inst{16} ... +/* 24204 */ MCD_OPC_FilterValue, 0, 30, 0, 0, // Skip to: 24239 +/* 24209 */ MCD_OPC_ExtractField, 15, 1, // Inst{15} ... +/* 24212 */ MCD_OPC_FilterValue, 0, 12, 0, 0, // Skip to: 24229 +/* 24217 */ MCD_OPC_CheckField, 14, 1, 1, 222, 0, 0, // Skip to: 24446 +/* 24224 */ MCD_OPC_Decode, 138, 18, 149, 1, // Opcode: XVSSRARNI_BU_H +/* 24229 */ MCD_OPC_FilterValue, 1, 212, 0, 0, // Skip to: 24446 +/* 24234 */ MCD_OPC_Decode, 142, 18, 134, 1, // Opcode: XVSSRARNI_HU_W +/* 24239 */ MCD_OPC_FilterValue, 1, 202, 0, 0, // Skip to: 24446 +/* 24244 */ MCD_OPC_Decode, 144, 18, 150, 1, // Opcode: XVSSRARNI_WU_D +/* 24249 */ MCD_OPC_FilterValue, 1, 192, 0, 0, // Skip to: 24446 +/* 24254 */ MCD_OPC_Decode, 140, 18, 151, 1, // Opcode: XVSSRARNI_DU_Q +/* 24259 */ MCD_OPC_FilterValue, 224, 1, 5, 0, 0, // Skip to: 24270 +/* 24265 */ MCD_OPC_Decode, 129, 14, 152, 1, // Opcode: XVEXTRINS_D +/* 24270 */ MCD_OPC_FilterValue, 225, 1, 5, 0, 0, // Skip to: 24281 +/* 24276 */ MCD_OPC_Decode, 131, 14, 152, 1, // Opcode: XVEXTRINS_W +/* 24281 */ MCD_OPC_FilterValue, 226, 1, 5, 0, 0, // Skip to: 24292 +/* 24287 */ MCD_OPC_Decode, 130, 14, 152, 1, // Opcode: XVEXTRINS_H +/* 24292 */ MCD_OPC_FilterValue, 227, 1, 5, 0, 0, // Skip to: 24303 +/* 24298 */ MCD_OPC_Decode, 128, 14, 152, 1, // Opcode: XVEXTRINS_B +/* 24303 */ MCD_OPC_FilterValue, 228, 1, 5, 0, 0, // Skip to: 24314 +/* 24309 */ MCD_OPC_Decode, 134, 17, 153, 1, // Opcode: XVSHUF4I_B +/* 24314 */ MCD_OPC_FilterValue, 229, 1, 5, 0, 0, // Skip to: 24325 +/* 24320 */ MCD_OPC_Decode, 136, 17, 153, 1, // Opcode: XVSHUF4I_H +/* 24325 */ MCD_OPC_FilterValue, 230, 1, 5, 0, 0, // Skip to: 24336 +/* 24331 */ MCD_OPC_Decode, 137, 17, 153, 1, // Opcode: XVSHUF4I_W +/* 24336 */ MCD_OPC_FilterValue, 231, 1, 5, 0, 0, // Skip to: 24347 +/* 24342 */ MCD_OPC_Decode, 135, 17, 152, 1, // Opcode: XVSHUF4I_D +/* 24347 */ MCD_OPC_FilterValue, 241, 1, 5, 0, 0, // Skip to: 24358 +/* 24353 */ MCD_OPC_Decode, 218, 13, 152, 1, // Opcode: XVBITSELI_B +/* 24358 */ MCD_OPC_FilterValue, 244, 1, 5, 0, 0, // Skip to: 24369 +/* 24364 */ MCD_OPC_Decode, 183, 13, 153, 1, // Opcode: XVANDI_B +/* 24369 */ MCD_OPC_FilterValue, 245, 1, 5, 0, 0, // Skip to: 24380 +/* 24375 */ MCD_OPC_Decode, 170, 16, 153, 1, // Opcode: XVORI_B +/* 24380 */ MCD_OPC_FilterValue, 246, 1, 5, 0, 0, // Skip to: 24391 +/* 24386 */ MCD_OPC_Decode, 219, 18, 153, 1, // Opcode: XVXORI_B +/* 24391 */ MCD_OPC_FilterValue, 247, 1, 5, 0, 0, // Skip to: 24402 +/* 24397 */ MCD_OPC_Decode, 168, 16, 153, 1, // Opcode: XVNORI_B +/* 24402 */ MCD_OPC_FilterValue, 248, 1, 5, 0, 0, // Skip to: 24413 +/* 24408 */ MCD_OPC_Decode, 172, 15, 154, 1, // Opcode: XVLDI +/* 24413 */ MCD_OPC_FilterValue, 249, 1, 5, 0, 0, // Skip to: 24424 +/* 24419 */ MCD_OPC_Decode, 187, 16, 152, 1, // Opcode: XVPERMI_W +/* 24424 */ MCD_OPC_FilterValue, 250, 1, 5, 0, 0, // Skip to: 24435 +/* 24430 */ MCD_OPC_Decode, 185, 16, 153, 1, // Opcode: XVPERMI_D +/* 24435 */ MCD_OPC_FilterValue, 251, 1, 5, 0, 0, // Skip to: 24446 +/* 24441 */ MCD_OPC_Decode, 186, 16, 152, 1, // Opcode: XVPERMI_Q +/* 24446 */ MCD_OPC_Fail, + 0 +}; + +static bool checkDecoderPredicate(MCInst *Inst, unsigned Idx) { + switch (Idx) { + default: /* llvm_unreachable("Invalid index!"); */ + case 0: + return (LoongArch_getFeatureBits(Inst->csh->mode, LoongArch_Feature64Bit)); + } +} + +#define DecodeToMCInst(fname, fieldname, InsnType) \ +static DecodeStatus fname(DecodeStatus S, unsigned Idx, InsnType insn, MCInst *MI, \ + uint64_t Address, const void *Decoder, bool *DecodeComplete) \ +{ \ + *DecodeComplete = true; \ + InsnType tmp; \ + switch (Idx) { \ + default: /* llvm_unreachable("Invalid index!"); */ \ + case 0: \ + tmp = fieldname(insn, 0, 2); \ + if (!Check(&S, DecodeSCRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 1: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 2); \ + if (!Check(&S, DecodeSCRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 2: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 3: \ + tmp = fieldname(insn, 5, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 4: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 5: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 6: \ + return S; \ + case 7: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 8: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 15, 2); \ + if (!Check(&S, decodeUImmOperand_2_1(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 9: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 15, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 10: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 15, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 11: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 12: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, decodeSImmOperand_5_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 13: \ + tmp = fieldname(insn, 0, 15); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 14: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 15: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 16: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 17: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + tmp = fieldname(insn, 0, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 18: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 19: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 20: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 21: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 22: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 23: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 24: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 25: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + tmp = fieldname(insn, 10, 8); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 26: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 27: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 16, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 28: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 16, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 29: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 16, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + tmp = fieldname(insn, 10, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 30: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 16, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + tmp = fieldname(insn, 10, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 31: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 32: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 33: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 34: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 35: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 36: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 37: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 38: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 39: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 40: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFCSRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 41: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFCSRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 42: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 43: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 44: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 45: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 46: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 47: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 48: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 12); \ + if (!Check(&S, decodeSImmOperand_12_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 49: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 12); \ + if (!Check(&S, decodeUImmOperand_12_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 50: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 14); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 51: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 14); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 52: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 14); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 53: \ + tmp = fieldname(insn, 0, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 12); \ + if (!Check(&S, decodeSImmOperand_12_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 54: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 55: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 56: \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 57: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 15, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 58: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 15, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 59: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 15, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 60: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 15, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 61: \ + tmp = fieldname(insn, 0, 3); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 62: \ + tmp = fieldname(insn, 0, 3); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 63: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 64: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 65: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 15, 3); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 66: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 16); \ + if (!Check(&S, decodeSImmOperand_16_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 67: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 20); \ + if (!Check(&S, decodeSImmOperand_20_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 68: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 20); \ + if (!Check(&S, decodeSImmOperand_20_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 69: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 14); \ + if (!Check(&S, decodeSImmOperand_14_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 70: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 14); \ + if (!Check(&S, decodeSImmOperand_14_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 71: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 12); \ + if (!Check(&S, decodeSImmOperand_12_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 72: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 12); \ + if (!Check(&S, decodeSImmOperand_12_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 73: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 12); \ + if (!Check(&S, decodeSImmOperand_12_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 74: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 12); \ + if (!Check(&S, decodeSImmOperand_12_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 75: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 9); \ + if (!Check(&S, decodeSImmOperand_9_3(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 76: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 10); \ + if (!Check(&S, decodeSImmOperand_10_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 77: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 11); \ + if (!Check(&S, decodeSImmOperand_11_1(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 78: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + if (!Check(&S, decodeSImmOperand_8_3(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 18, 1); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 79: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + if (!Check(&S, decodeSImmOperand_8_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 18, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 80: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + if (!Check(&S, decodeSImmOperand_8_1(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 18, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 81: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + if (!Check(&S, decodeSImmOperand_8_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 18, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 82: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 9); \ + if (!Check(&S, decodeSImmOperand_9_3(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 83: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 10); \ + if (!Check(&S, decodeSImmOperand_10_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 84: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 11); \ + if (!Check(&S, decodeSImmOperand_11_1(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 85: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + if (!Check(&S, decodeSImmOperand_8_3(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 18, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 86: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + if (!Check(&S, decodeSImmOperand_8_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 18, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 87: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + if (!Check(&S, decodeSImmOperand_8_1(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 18, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 88: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + if (!Check(&S, decodeSImmOperand_8_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 18, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 89: \ + tmp = fieldname(insn, 0, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 90: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 91: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 92: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 93: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 94: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 95: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 96: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 97: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = 0x0; \ + tmp |= fieldname(insn, 0, 5) << 16; \ + tmp |= fieldname(insn, 10, 16) << 0; \ + if (!Check(&S, decodeSImmOperand_21_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 98: \ + tmp = fieldname(insn, 5, 3); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = 0x0; \ + tmp |= fieldname(insn, 0, 5) << 16; \ + tmp |= fieldname(insn, 10, 16) << 0; \ + if (!Check(&S, decodeSImmOperand_21_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 99: \ + tmp = 0x0; \ + tmp |= fieldname(insn, 0, 5) << 16; \ + tmp |= fieldname(insn, 10, 16) << 0; \ + if (!Check(&S, decodeSImmOperand_21_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 100: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 16); \ + if (!Check(&S, decodeSImmOperand_16_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 101: \ + tmp = 0x0; \ + tmp |= fieldname(insn, 0, 10) << 16; \ + tmp |= fieldname(insn, 10, 16) << 0; \ + if (!Check(&S, decodeSImmOperand_26_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 102: \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 16); \ + if (!Check(&S, decodeSImmOperand_16_2(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 103: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 104: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 105: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, decodeSImmOperand_5_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 106: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 107: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 108: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 109: \ + tmp = fieldname(insn, 0, 3); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 110: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 111: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 112: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 113: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 114: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 115: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 116: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 117: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 1); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 118: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 119: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 120: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 121: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 1); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 122: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 123: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 1); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 124: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 125: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 126: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 7); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 127: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 128: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 129: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLSX128RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 13); \ + if (!Check(&S, decodeSImmOperand_13_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 130: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 131: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 132: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + if (!Check(&S, decodeSImmOperand_5_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 133: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 134: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 5); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 135: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 136: \ + tmp = fieldname(insn, 0, 3); \ + if (!Check(&S, DecodeCFRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 137: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + case 138: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 139: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 140: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 141: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 142: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 143: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 144: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 145: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 146: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 1); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 147: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 3); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 148: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 2); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 149: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 4); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 150: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 6); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 151: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 7); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 152: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 153: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 10, 8); \ + MCOperand_CreateImm0(MI, tmp); \ + return S; \ + case 154: \ + tmp = fieldname(insn, 0, 5); \ + if (!Check(&S, DecodeLASX256RegisterClass(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + tmp = fieldname(insn, 5, 13); \ + if (!Check(&S, decodeSImmOperand_13_0(MI, tmp, Address, Decoder))) { return MCDisassembler_Fail; } \ + return S; \ + } \ +} + +#define DecodeInstruction(fname, fieldname, decoder, InsnType) \ +static DecodeStatus fname(const uint8_t DecodeTable[], MCInst *MI, \ + InsnType insn, uint64_t Address, const void *Decoder) { \ + const uint8_t *Ptr = DecodeTable; \ + uint64_t CurFieldValue = 0; \ + DecodeStatus S = MCDisassembler_Success; \ + while (true) { \ + switch (*Ptr) { \ + default: \ + return MCDisassembler_Fail; \ + case MCD_OPC_ExtractField: { \ + unsigned Start = *++Ptr; \ + unsigned Len = *++Ptr; \ + ++Ptr; \ + CurFieldValue = fieldname(insn, Start, Len); \ + break; \ + } \ + case MCD_OPC_FilterValue: { \ + /* Decode the field value. */ \ + unsigned Len; \ + uint64_t Val = decodeULEB128(++Ptr, &Len); \ + Ptr += Len; \ + /* NumToSkip is a plain 24-bit integer. */ \ + unsigned NumToSkip = *Ptr++; \ + NumToSkip |= (*Ptr++) << 8; \ + NumToSkip |= (*Ptr++) << 16; \ + /* Perform the filter operation. */ \ + if (Val != CurFieldValue) \ + Ptr += NumToSkip; \ + break; \ + } \ + case MCD_OPC_CheckField: { \ + unsigned Start = *++Ptr; \ + unsigned Len = *++Ptr; \ + uint64_t FieldValue = fieldname(insn, Start, Len); \ + /* Decode the field value. */ \ + unsigned PtrLen = 0; \ + uint64_t ExpectedValue = decodeULEB128(++Ptr, &PtrLen); \ + Ptr += PtrLen; \ + /* NumToSkip is a plain 24-bit integer. */ \ + unsigned NumToSkip = *Ptr++; \ + NumToSkip |= (*Ptr++) << 8; \ + NumToSkip |= (*Ptr++) << 16; \ + /* If the actual and expected values don't match, skip. */ \ + if (ExpectedValue != FieldValue) \ + Ptr += NumToSkip; \ + break; \ + } \ + case MCD_OPC_CheckPredicate: { \ + unsigned Len; \ + /* Decode the Predicate Index value. */ \ + unsigned PIdx = decodeULEB128(++Ptr, &Len); \ + Ptr += Len; \ + /* NumToSkip is a plain 24-bit integer. */ \ + unsigned NumToSkip = *Ptr++; \ + NumToSkip |= (*Ptr++) << 8; \ + NumToSkip |= (*Ptr++) << 16; \ + /* Check the predicate. */ \ + bool Pred = checkDecoderPredicate(MI, PIdx); \ + if (!Pred) \ + Ptr += NumToSkip; \ + break; \ + } \ + case MCD_OPC_Decode: { \ + unsigned Len; \ + /* Decode the Opcode value. */ \ + unsigned Opc = decodeULEB128(++Ptr, &Len); \ + Ptr += Len; \ + unsigned DecodeIdx = decodeULEB128(Ptr, &Len); \ + Ptr += Len; \ + MCInst_clear(MI); \ + MCInst_setOpcode(MI, Opc); \ + bool DecodeComplete; \ + S = decoder(S, DecodeIdx, insn, MI, Address, Decoder, &DecodeComplete); \ + return S; \ + } \ + case MCD_OPC_TryDecode: { \ + unsigned Len; \ + /* Decode the Opcode value. */ \ + unsigned Opc = decodeULEB128(++Ptr, &Len); \ + Ptr += Len; \ + unsigned DecodeIdx = decodeULEB128(Ptr, &Len); \ + Ptr += Len; \ + /* NumToSkip is a plain 24-bit integer. */ \ + unsigned NumToSkip = *Ptr++; \ + NumToSkip |= (*Ptr++) << 8; \ + NumToSkip |= (*Ptr++) << 16; \ + /* Perform the decode operation. */ \ + MCInst_setOpcode(MI, Opc); \ + bool DecodeComplete; \ + S = decoder(S, DecodeIdx, insn, MI, Address, Decoder, &DecodeComplete); \ + if (DecodeComplete) { \ + /* Decoding complete. */ \ + return S; \ + } else { \ + /* If the decoding was incomplete, skip. */ \ + Ptr += NumToSkip; \ + /* Reset decode status. This also drops a SoftFail status that could be */ \ + /* set before the decode attempt. */ \ + S = MCDisassembler_Success; \ + } \ + break; \ + } \ + case MCD_OPC_SoftFail: { \ + /* Decode the mask values. */ \ + unsigned Len; \ + uint64_t PositiveMask = decodeULEB128(++Ptr, &Len); \ + Ptr += Len; \ + uint64_t NegativeMask = decodeULEB128(Ptr, &Len); \ + Ptr += Len; \ + bool Fail = (insn & PositiveMask) != 0 || (~insn & NegativeMask) != 0; \ + if (Fail) \ + S = MCDisassembler_SoftFail; \ + break; \ + } \ + case MCD_OPC_Fail: { \ + return MCDisassembler_Fail; \ + } \ + } \ + } \ + /* Bogisity detected in disassembler state machine! */ \ +} + +FieldFromInstruction(fieldFromInstruction_4, uint32_t) +DecodeToMCInst(decodeToMCInst_4, fieldFromInstruction_4, uint32_t) +DecodeInstruction(decodeInstruction_4, fieldFromInstruction_4, decodeToMCInst_4, uint32_t) diff --git a/arch/LoongArch/LoongArchGenInstrInfo.inc b/arch/LoongArch/LoongArchGenInstrInfo.inc new file mode 100644 index 0000000000..d49473a2d5 --- /dev/null +++ b/arch/LoongArch/LoongArchGenInstrInfo.inc @@ -0,0 +1,4991 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + +#ifdef GET_INSTRINFO_ENUM +#undef GET_INSTRINFO_ENUM + + enum { + LoongArch_PHI = 0, + LoongArch_INLINEASM = 1, + LoongArch_INLINEASM_BR = 2, + LoongArch_CFI_INSTRUCTION = 3, + LoongArch_EH_LABEL = 4, + LoongArch_GC_LABEL = 5, + LoongArch_ANNOTATION_LABEL = 6, + LoongArch_KILL = 7, + LoongArch_EXTRACT_SUBREG = 8, + LoongArch_INSERT_SUBREG = 9, + LoongArch_IMPLICIT_DEF = 10, + LoongArch_SUBREG_TO_REG = 11, + LoongArch_COPY_TO_REGCLASS = 12, + LoongArch_DBG_VALUE = 13, + LoongArch_DBG_VALUE_LIST = 14, + LoongArch_DBG_INSTR_REF = 15, + LoongArch_DBG_PHI = 16, + LoongArch_DBG_LABEL = 17, + LoongArch_REG_SEQUENCE = 18, + LoongArch_COPY = 19, + LoongArch_BUNDLE = 20, + LoongArch_LIFETIME_START = 21, + LoongArch_LIFETIME_END = 22, + LoongArch_PSEUDO_PROBE = 23, + LoongArch_ARITH_FENCE = 24, + LoongArch_STACKMAP = 25, + LoongArch_FENTRY_CALL = 26, + LoongArch_PATCHPOINT = 27, + LoongArch_LOAD_STACK_GUARD = 28, + LoongArch_PREALLOCATED_SETUP = 29, + LoongArch_PREALLOCATED_ARG = 30, + LoongArch_STATEPOINT = 31, + LoongArch_LOCAL_ESCAPE = 32, + LoongArch_FAULTING_OP = 33, + LoongArch_PATCHABLE_OP = 34, + LoongArch_PATCHABLE_FUNCTION_ENTER = 35, + LoongArch_PATCHABLE_RET = 36, + LoongArch_PATCHABLE_FUNCTION_EXIT = 37, + LoongArch_PATCHABLE_TAIL_CALL = 38, + LoongArch_PATCHABLE_EVENT_CALL = 39, + LoongArch_PATCHABLE_TYPED_EVENT_CALL = 40, + LoongArch_ICALL_BRANCH_FUNNEL = 41, + LoongArch_MEMBARRIER = 42, + LoongArch_JUMP_TABLE_DEBUG_INFO = 43, + LoongArch_G_ASSERT_SEXT = 44, + LoongArch_G_ASSERT_ZEXT = 45, + LoongArch_G_ASSERT_ALIGN = 46, + LoongArch_G_ADD = 47, + LoongArch_G_SUB = 48, + LoongArch_G_MUL = 49, + LoongArch_G_SDIV = 50, + LoongArch_G_UDIV = 51, + LoongArch_G_SREM = 52, + LoongArch_G_UREM = 53, + LoongArch_G_SDIVREM = 54, + LoongArch_G_UDIVREM = 55, + LoongArch_G_AND = 56, + LoongArch_G_OR = 57, + LoongArch_G_XOR = 58, + LoongArch_G_IMPLICIT_DEF = 59, + LoongArch_G_PHI = 60, + LoongArch_G_FRAME_INDEX = 61, + LoongArch_G_GLOBAL_VALUE = 62, + LoongArch_G_CONSTANT_POOL = 63, + LoongArch_G_EXTRACT = 64, + LoongArch_G_UNMERGE_VALUES = 65, + LoongArch_G_INSERT = 66, + LoongArch_G_MERGE_VALUES = 67, + LoongArch_G_BUILD_VECTOR = 68, + LoongArch_G_BUILD_VECTOR_TRUNC = 69, + LoongArch_G_CONCAT_VECTORS = 70, + LoongArch_G_PTRTOINT = 71, + LoongArch_G_INTTOPTR = 72, + LoongArch_G_BITCAST = 73, + LoongArch_G_FREEZE = 74, + LoongArch_G_CONSTANT_FOLD_BARRIER = 75, + LoongArch_G_INTRINSIC_FPTRUNC_ROUND = 76, + LoongArch_G_INTRINSIC_TRUNC = 77, + LoongArch_G_INTRINSIC_ROUND = 78, + LoongArch_G_INTRINSIC_LRINT = 79, + LoongArch_G_INTRINSIC_ROUNDEVEN = 80, + LoongArch_G_READCYCLECOUNTER = 81, + LoongArch_G_LOAD = 82, + LoongArch_G_SEXTLOAD = 83, + LoongArch_G_ZEXTLOAD = 84, + LoongArch_G_INDEXED_LOAD = 85, + LoongArch_G_INDEXED_SEXTLOAD = 86, + LoongArch_G_INDEXED_ZEXTLOAD = 87, + LoongArch_G_STORE = 88, + LoongArch_G_INDEXED_STORE = 89, + LoongArch_G_ATOMIC_CMPXCHG_WITH_SUCCESS = 90, + LoongArch_G_ATOMIC_CMPXCHG = 91, + LoongArch_G_ATOMICRMW_XCHG = 92, + LoongArch_G_ATOMICRMW_ADD = 93, + LoongArch_G_ATOMICRMW_SUB = 94, + LoongArch_G_ATOMICRMW_AND = 95, + LoongArch_G_ATOMICRMW_NAND = 96, + LoongArch_G_ATOMICRMW_OR = 97, + LoongArch_G_ATOMICRMW_XOR = 98, + LoongArch_G_ATOMICRMW_MAX = 99, + LoongArch_G_ATOMICRMW_MIN = 100, + LoongArch_G_ATOMICRMW_UMAX = 101, + LoongArch_G_ATOMICRMW_UMIN = 102, + LoongArch_G_ATOMICRMW_FADD = 103, + LoongArch_G_ATOMICRMW_FSUB = 104, + LoongArch_G_ATOMICRMW_FMAX = 105, + LoongArch_G_ATOMICRMW_FMIN = 106, + LoongArch_G_ATOMICRMW_UINC_WRAP = 107, + LoongArch_G_ATOMICRMW_UDEC_WRAP = 108, + LoongArch_G_FENCE = 109, + LoongArch_G_PREFETCH = 110, + LoongArch_G_BRCOND = 111, + LoongArch_G_BRINDIRECT = 112, + LoongArch_G_INVOKE_REGION_START = 113, + LoongArch_G_INTRINSIC = 114, + LoongArch_G_INTRINSIC_W_SIDE_EFFECTS = 115, + LoongArch_G_INTRINSIC_CONVERGENT = 116, + LoongArch_G_INTRINSIC_CONVERGENT_W_SIDE_EFFECTS = 117, + LoongArch_G_ANYEXT = 118, + LoongArch_G_TRUNC = 119, + LoongArch_G_CONSTANT = 120, + LoongArch_G_FCONSTANT = 121, + LoongArch_G_VASTART = 122, + LoongArch_G_VAARG = 123, + LoongArch_G_SEXT = 124, + LoongArch_G_SEXT_INREG = 125, + LoongArch_G_ZEXT = 126, + LoongArch_G_SHL = 127, + LoongArch_G_LSHR = 128, + LoongArch_G_ASHR = 129, + LoongArch_G_FSHL = 130, + LoongArch_G_FSHR = 131, + LoongArch_G_ROTR = 132, + LoongArch_G_ROTL = 133, + LoongArch_G_ICMP = 134, + LoongArch_G_FCMP = 135, + LoongArch_G_SELECT = 136, + LoongArch_G_UADDO = 137, + LoongArch_G_UADDE = 138, + LoongArch_G_USUBO = 139, + LoongArch_G_USUBE = 140, + LoongArch_G_SADDO = 141, + LoongArch_G_SADDE = 142, + LoongArch_G_SSUBO = 143, + LoongArch_G_SSUBE = 144, + LoongArch_G_UMULO = 145, + LoongArch_G_SMULO = 146, + LoongArch_G_UMULH = 147, + LoongArch_G_SMULH = 148, + LoongArch_G_UADDSAT = 149, + LoongArch_G_SADDSAT = 150, + LoongArch_G_USUBSAT = 151, + LoongArch_G_SSUBSAT = 152, + LoongArch_G_USHLSAT = 153, + LoongArch_G_SSHLSAT = 154, + LoongArch_G_SMULFIX = 155, + LoongArch_G_UMULFIX = 156, + LoongArch_G_SMULFIXSAT = 157, + LoongArch_G_UMULFIXSAT = 158, + LoongArch_G_SDIVFIX = 159, + LoongArch_G_UDIVFIX = 160, + LoongArch_G_SDIVFIXSAT = 161, + LoongArch_G_UDIVFIXSAT = 162, + LoongArch_G_FADD = 163, + LoongArch_G_FSUB = 164, + LoongArch_G_FMUL = 165, + LoongArch_G_FMA = 166, + LoongArch_G_FMAD = 167, + LoongArch_G_FDIV = 168, + LoongArch_G_FREM = 169, + LoongArch_G_FPOW = 170, + LoongArch_G_FPOWI = 171, + LoongArch_G_FEXP = 172, + LoongArch_G_FEXP2 = 173, + LoongArch_G_FEXP10 = 174, + LoongArch_G_FLOG = 175, + LoongArch_G_FLOG2 = 176, + LoongArch_G_FLOG10 = 177, + LoongArch_G_FLDEXP = 178, + LoongArch_G_FFREXP = 179, + LoongArch_G_FNEG = 180, + LoongArch_G_FPEXT = 181, + LoongArch_G_FPTRUNC = 182, + LoongArch_G_FPTOSI = 183, + LoongArch_G_FPTOUI = 184, + LoongArch_G_SITOFP = 185, + LoongArch_G_UITOFP = 186, + LoongArch_G_FABS = 187, + LoongArch_G_FCOPYSIGN = 188, + LoongArch_G_IS_FPCLASS = 189, + LoongArch_G_FCANONICALIZE = 190, + LoongArch_G_FMINNUM = 191, + LoongArch_G_FMAXNUM = 192, + LoongArch_G_FMINNUM_IEEE = 193, + LoongArch_G_FMAXNUM_IEEE = 194, + LoongArch_G_FMINIMUM = 195, + LoongArch_G_FMAXIMUM = 196, + LoongArch_G_GET_FPENV = 197, + LoongArch_G_SET_FPENV = 198, + LoongArch_G_RESET_FPENV = 199, + LoongArch_G_GET_FPMODE = 200, + LoongArch_G_SET_FPMODE = 201, + LoongArch_G_RESET_FPMODE = 202, + LoongArch_G_PTR_ADD = 203, + LoongArch_G_PTRMASK = 204, + LoongArch_G_SMIN = 205, + LoongArch_G_SMAX = 206, + LoongArch_G_UMIN = 207, + LoongArch_G_UMAX = 208, + LoongArch_G_ABS = 209, + LoongArch_G_LROUND = 210, + LoongArch_G_LLROUND = 211, + LoongArch_G_BR = 212, + LoongArch_G_BRJT = 213, + LoongArch_G_INSERT_VECTOR_ELT = 214, + LoongArch_G_EXTRACT_VECTOR_ELT = 215, + LoongArch_G_SHUFFLE_VECTOR = 216, + LoongArch_G_CTTZ = 217, + LoongArch_G_CTTZ_ZERO_UNDEF = 218, + LoongArch_G_CTLZ = 219, + LoongArch_G_CTLZ_ZERO_UNDEF = 220, + LoongArch_G_CTPOP = 221, + LoongArch_G_BSWAP = 222, + LoongArch_G_BITREVERSE = 223, + LoongArch_G_FCEIL = 224, + LoongArch_G_FCOS = 225, + LoongArch_G_FSIN = 226, + LoongArch_G_FSQRT = 227, + LoongArch_G_FFLOOR = 228, + LoongArch_G_FRINT = 229, + LoongArch_G_FNEARBYINT = 230, + LoongArch_G_ADDRSPACE_CAST = 231, + LoongArch_G_BLOCK_ADDR = 232, + LoongArch_G_JUMP_TABLE = 233, + LoongArch_G_DYN_STACKALLOC = 234, + LoongArch_G_STACKSAVE = 235, + LoongArch_G_STACKRESTORE = 236, + LoongArch_G_STRICT_FADD = 237, + LoongArch_G_STRICT_FSUB = 238, + LoongArch_G_STRICT_FMUL = 239, + LoongArch_G_STRICT_FDIV = 240, + LoongArch_G_STRICT_FREM = 241, + LoongArch_G_STRICT_FMA = 242, + LoongArch_G_STRICT_FSQRT = 243, + LoongArch_G_STRICT_FLDEXP = 244, + LoongArch_G_READ_REGISTER = 245, + LoongArch_G_WRITE_REGISTER = 246, + LoongArch_G_MEMCPY = 247, + LoongArch_G_MEMCPY_INLINE = 248, + LoongArch_G_MEMMOVE = 249, + LoongArch_G_MEMSET = 250, + LoongArch_G_BZERO = 251, + LoongArch_G_VECREDUCE_SEQ_FADD = 252, + LoongArch_G_VECREDUCE_SEQ_FMUL = 253, + LoongArch_G_VECREDUCE_FADD = 254, + LoongArch_G_VECREDUCE_FMUL = 255, + LoongArch_G_VECREDUCE_FMAX = 256, + LoongArch_G_VECREDUCE_FMIN = 257, + LoongArch_G_VECREDUCE_FMAXIMUM = 258, + LoongArch_G_VECREDUCE_FMINIMUM = 259, + LoongArch_G_VECREDUCE_ADD = 260, + LoongArch_G_VECREDUCE_MUL = 261, + LoongArch_G_VECREDUCE_AND = 262, + LoongArch_G_VECREDUCE_OR = 263, + LoongArch_G_VECREDUCE_XOR = 264, + LoongArch_G_VECREDUCE_SMAX = 265, + LoongArch_G_VECREDUCE_SMIN = 266, + LoongArch_G_VECREDUCE_UMAX = 267, + LoongArch_G_VECREDUCE_UMIN = 268, + LoongArch_G_SBFX = 269, + LoongArch_G_UBFX = 270, + LoongArch_ADJCALLSTACKDOWN = 271, + LoongArch_ADJCALLSTACKUP = 272, + LoongArch_PseudoAtomicLoadAdd32 = 273, + LoongArch_PseudoAtomicLoadAnd32 = 274, + LoongArch_PseudoAtomicLoadNand32 = 275, + LoongArch_PseudoAtomicLoadNand64 = 276, + LoongArch_PseudoAtomicLoadOr32 = 277, + LoongArch_PseudoAtomicLoadSub32 = 278, + LoongArch_PseudoAtomicLoadXor32 = 279, + LoongArch_PseudoAtomicStoreD = 280, + LoongArch_PseudoAtomicStoreW = 281, + LoongArch_PseudoAtomicSwap32 = 282, + LoongArch_PseudoBR = 283, + LoongArch_PseudoBRIND = 284, + LoongArch_PseudoB_TAIL = 285, + LoongArch_PseudoCALL = 286, + LoongArch_PseudoCALL36 = 287, + LoongArch_PseudoCALLIndirect = 288, + LoongArch_PseudoCALL_LARGE = 289, + LoongArch_PseudoCALL_MEDIUM = 290, + LoongArch_PseudoCmpXchg32 = 291, + LoongArch_PseudoCmpXchg64 = 292, + LoongArch_PseudoCopyCFR = 293, + LoongArch_PseudoJIRL_CALL = 294, + LoongArch_PseudoJIRL_TAIL = 295, + LoongArch_PseudoLA_ABS = 296, + LoongArch_PseudoLA_ABS_LARGE = 297, + LoongArch_PseudoLA_GOT = 298, + LoongArch_PseudoLA_GOT_LARGE = 299, + LoongArch_PseudoLA_PCREL = 300, + LoongArch_PseudoLA_PCREL_LARGE = 301, + LoongArch_PseudoLA_TLS_GD = 302, + LoongArch_PseudoLA_TLS_GD_LARGE = 303, + LoongArch_PseudoLA_TLS_IE = 304, + LoongArch_PseudoLA_TLS_IE_LARGE = 305, + LoongArch_PseudoLA_TLS_LD = 306, + LoongArch_PseudoLA_TLS_LD_LARGE = 307, + LoongArch_PseudoLA_TLS_LE = 308, + LoongArch_PseudoLD_CFR = 309, + LoongArch_PseudoLI_D = 310, + LoongArch_PseudoLI_W = 311, + LoongArch_PseudoMaskedAtomicLoadAdd32 = 312, + LoongArch_PseudoMaskedAtomicLoadMax32 = 313, + LoongArch_PseudoMaskedAtomicLoadMin32 = 314, + LoongArch_PseudoMaskedAtomicLoadNand32 = 315, + LoongArch_PseudoMaskedAtomicLoadSub32 = 316, + LoongArch_PseudoMaskedAtomicLoadUMax32 = 317, + LoongArch_PseudoMaskedAtomicLoadUMin32 = 318, + LoongArch_PseudoMaskedAtomicSwap32 = 319, + LoongArch_PseudoMaskedCmpXchg32 = 320, + LoongArch_PseudoRET = 321, + LoongArch_PseudoST_CFR = 322, + LoongArch_PseudoTAIL = 323, + LoongArch_PseudoTAIL36 = 324, + LoongArch_PseudoTAILIndirect = 325, + LoongArch_PseudoTAIL_LARGE = 326, + LoongArch_PseudoTAIL_MEDIUM = 327, + LoongArch_PseudoUNIMP = 328, + LoongArch_PseudoVBNZ = 329, + LoongArch_PseudoVBNZ_B = 330, + LoongArch_PseudoVBNZ_D = 331, + LoongArch_PseudoVBNZ_H = 332, + LoongArch_PseudoVBNZ_W = 333, + LoongArch_PseudoVBZ = 334, + LoongArch_PseudoVBZ_B = 335, + LoongArch_PseudoVBZ_D = 336, + LoongArch_PseudoVBZ_H = 337, + LoongArch_PseudoVBZ_W = 338, + LoongArch_PseudoVREPLI_B = 339, + LoongArch_PseudoVREPLI_D = 340, + LoongArch_PseudoVREPLI_H = 341, + LoongArch_PseudoVREPLI_W = 342, + LoongArch_PseudoXVBNZ = 343, + LoongArch_PseudoXVBNZ_B = 344, + LoongArch_PseudoXVBNZ_D = 345, + LoongArch_PseudoXVBNZ_H = 346, + LoongArch_PseudoXVBNZ_W = 347, + LoongArch_PseudoXVBZ = 348, + LoongArch_PseudoXVBZ_B = 349, + LoongArch_PseudoXVBZ_D = 350, + LoongArch_PseudoXVBZ_H = 351, + LoongArch_PseudoXVBZ_W = 352, + LoongArch_PseudoXVINSGR2VR_B = 353, + LoongArch_PseudoXVINSGR2VR_H = 354, + LoongArch_PseudoXVREPLI_B = 355, + LoongArch_PseudoXVREPLI_D = 356, + LoongArch_PseudoXVREPLI_H = 357, + LoongArch_PseudoXVREPLI_W = 358, + LoongArch_RDFCSR = 359, + LoongArch_WRFCSR = 360, + LoongArch_ADC_B = 361, + LoongArch_ADC_D = 362, + LoongArch_ADC_H = 363, + LoongArch_ADC_W = 364, + LoongArch_ADDI_D = 365, + LoongArch_ADDI_W = 366, + LoongArch_ADDU12I_D = 367, + LoongArch_ADDU12I_W = 368, + LoongArch_ADDU16I_D = 369, + LoongArch_ADD_D = 370, + LoongArch_ADD_W = 371, + LoongArch_ALSL_D = 372, + LoongArch_ALSL_W = 373, + LoongArch_ALSL_WU = 374, + LoongArch_AMADD_B = 375, + LoongArch_AMADD_D = 376, + LoongArch_AMADD_H = 377, + LoongArch_AMADD_W = 378, + LoongArch_AMADD__DB_B = 379, + LoongArch_AMADD__DB_D = 380, + LoongArch_AMADD__DB_H = 381, + LoongArch_AMADD__DB_W = 382, + LoongArch_AMAND_D = 383, + LoongArch_AMAND_W = 384, + LoongArch_AMAND__DB_D = 385, + LoongArch_AMAND__DB_W = 386, + LoongArch_AMCAS_B = 387, + LoongArch_AMCAS_D = 388, + LoongArch_AMCAS_H = 389, + LoongArch_AMCAS_W = 390, + LoongArch_AMCAS__DB_B = 391, + LoongArch_AMCAS__DB_D = 392, + LoongArch_AMCAS__DB_H = 393, + LoongArch_AMCAS__DB_W = 394, + LoongArch_AMMAX_D = 395, + LoongArch_AMMAX_DU = 396, + LoongArch_AMMAX_W = 397, + LoongArch_AMMAX_WU = 398, + LoongArch_AMMAX__DB_D = 399, + LoongArch_AMMAX__DB_DU = 400, + LoongArch_AMMAX__DB_W = 401, + LoongArch_AMMAX__DB_WU = 402, + LoongArch_AMMIN_D = 403, + LoongArch_AMMIN_DU = 404, + LoongArch_AMMIN_W = 405, + LoongArch_AMMIN_WU = 406, + LoongArch_AMMIN__DB_D = 407, + LoongArch_AMMIN__DB_DU = 408, + LoongArch_AMMIN__DB_W = 409, + LoongArch_AMMIN__DB_WU = 410, + LoongArch_AMOR_D = 411, + LoongArch_AMOR_W = 412, + LoongArch_AMOR__DB_D = 413, + LoongArch_AMOR__DB_W = 414, + LoongArch_AMSWAP_B = 415, + LoongArch_AMSWAP_D = 416, + LoongArch_AMSWAP_H = 417, + LoongArch_AMSWAP_W = 418, + LoongArch_AMSWAP__DB_B = 419, + LoongArch_AMSWAP__DB_D = 420, + LoongArch_AMSWAP__DB_H = 421, + LoongArch_AMSWAP__DB_W = 422, + LoongArch_AMXOR_D = 423, + LoongArch_AMXOR_W = 424, + LoongArch_AMXOR__DB_D = 425, + LoongArch_AMXOR__DB_W = 426, + LoongArch_AND = 427, + LoongArch_ANDI = 428, + LoongArch_ANDN = 429, + LoongArch_ARMADC_W = 430, + LoongArch_ARMADD_W = 431, + LoongArch_ARMAND_W = 432, + LoongArch_ARMMFFLAG = 433, + LoongArch_ARMMOVE = 434, + LoongArch_ARMMOV_D = 435, + LoongArch_ARMMOV_W = 436, + LoongArch_ARMMTFLAG = 437, + LoongArch_ARMNOT_W = 438, + LoongArch_ARMOR_W = 439, + LoongArch_ARMROTRI_W = 440, + LoongArch_ARMROTR_W = 441, + LoongArch_ARMRRX_W = 442, + LoongArch_ARMSBC_W = 443, + LoongArch_ARMSLLI_W = 444, + LoongArch_ARMSLL_W = 445, + LoongArch_ARMSRAI_W = 446, + LoongArch_ARMSRA_W = 447, + LoongArch_ARMSRLI_W = 448, + LoongArch_ARMSRL_W = 449, + LoongArch_ARMSUB_W = 450, + LoongArch_ARMXOR_W = 451, + LoongArch_ASRTGT_D = 452, + LoongArch_ASRTLE_D = 453, + LoongArch_B = 454, + LoongArch_BCEQZ = 455, + LoongArch_BCNEZ = 456, + LoongArch_BEQ = 457, + LoongArch_BEQZ = 458, + LoongArch_BGE = 459, + LoongArch_BGEU = 460, + LoongArch_BITREV_4B = 461, + LoongArch_BITREV_8B = 462, + LoongArch_BITREV_D = 463, + LoongArch_BITREV_W = 464, + LoongArch_BL = 465, + LoongArch_BLT = 466, + LoongArch_BLTU = 467, + LoongArch_BNE = 468, + LoongArch_BNEZ = 469, + LoongArch_BREAK = 470, + LoongArch_BSTRINS_D = 471, + LoongArch_BSTRINS_W = 472, + LoongArch_BSTRPICK_D = 473, + LoongArch_BSTRPICK_W = 474, + LoongArch_BYTEPICK_D = 475, + LoongArch_BYTEPICK_W = 476, + LoongArch_CACOP = 477, + LoongArch_CLO_D = 478, + LoongArch_CLO_W = 479, + LoongArch_CLZ_D = 480, + LoongArch_CLZ_W = 481, + LoongArch_CPUCFG = 482, + LoongArch_CRCC_W_B_W = 483, + LoongArch_CRCC_W_D_W = 484, + LoongArch_CRCC_W_H_W = 485, + LoongArch_CRCC_W_W_W = 486, + LoongArch_CRC_W_B_W = 487, + LoongArch_CRC_W_D_W = 488, + LoongArch_CRC_W_H_W = 489, + LoongArch_CRC_W_W_W = 490, + LoongArch_CSRRD = 491, + LoongArch_CSRWR = 492, + LoongArch_CSRXCHG = 493, + LoongArch_CTO_D = 494, + LoongArch_CTO_W = 495, + LoongArch_CTZ_D = 496, + LoongArch_CTZ_W = 497, + LoongArch_DBAR = 498, + LoongArch_DBCL = 499, + LoongArch_DIV_D = 500, + LoongArch_DIV_DU = 501, + LoongArch_DIV_W = 502, + LoongArch_DIV_WU = 503, + LoongArch_ERTN = 504, + LoongArch_EXT_W_B = 505, + LoongArch_EXT_W_H = 506, + LoongArch_FABS_D = 507, + LoongArch_FABS_S = 508, + LoongArch_FADD_D = 509, + LoongArch_FADD_S = 510, + LoongArch_FCLASS_D = 511, + LoongArch_FCLASS_S = 512, + LoongArch_FCMP_CAF_D = 513, + LoongArch_FCMP_CAF_S = 514, + LoongArch_FCMP_CEQ_D = 515, + LoongArch_FCMP_CEQ_S = 516, + LoongArch_FCMP_CLE_D = 517, + LoongArch_FCMP_CLE_S = 518, + LoongArch_FCMP_CLT_D = 519, + LoongArch_FCMP_CLT_S = 520, + LoongArch_FCMP_CNE_D = 521, + LoongArch_FCMP_CNE_S = 522, + LoongArch_FCMP_COR_D = 523, + LoongArch_FCMP_COR_S = 524, + LoongArch_FCMP_CUEQ_D = 525, + LoongArch_FCMP_CUEQ_S = 526, + LoongArch_FCMP_CULE_D = 527, + LoongArch_FCMP_CULE_S = 528, + LoongArch_FCMP_CULT_D = 529, + LoongArch_FCMP_CULT_S = 530, + LoongArch_FCMP_CUNE_D = 531, + LoongArch_FCMP_CUNE_S = 532, + LoongArch_FCMP_CUN_D = 533, + LoongArch_FCMP_CUN_S = 534, + LoongArch_FCMP_SAF_D = 535, + LoongArch_FCMP_SAF_S = 536, + LoongArch_FCMP_SEQ_D = 537, + LoongArch_FCMP_SEQ_S = 538, + LoongArch_FCMP_SLE_D = 539, + LoongArch_FCMP_SLE_S = 540, + LoongArch_FCMP_SLT_D = 541, + LoongArch_FCMP_SLT_S = 542, + LoongArch_FCMP_SNE_D = 543, + LoongArch_FCMP_SNE_S = 544, + LoongArch_FCMP_SOR_D = 545, + LoongArch_FCMP_SOR_S = 546, + LoongArch_FCMP_SUEQ_D = 547, + LoongArch_FCMP_SUEQ_S = 548, + LoongArch_FCMP_SULE_D = 549, + LoongArch_FCMP_SULE_S = 550, + LoongArch_FCMP_SULT_D = 551, + LoongArch_FCMP_SULT_S = 552, + LoongArch_FCMP_SUNE_D = 553, + LoongArch_FCMP_SUNE_S = 554, + LoongArch_FCMP_SUN_D = 555, + LoongArch_FCMP_SUN_S = 556, + LoongArch_FCOPYSIGN_D = 557, + LoongArch_FCOPYSIGN_S = 558, + LoongArch_FCVT_D_LD = 559, + LoongArch_FCVT_D_S = 560, + LoongArch_FCVT_LD_D = 561, + LoongArch_FCVT_S_D = 562, + LoongArch_FCVT_UD_D = 563, + LoongArch_FDIV_D = 564, + LoongArch_FDIV_S = 565, + LoongArch_FFINT_D_L = 566, + LoongArch_FFINT_D_W = 567, + LoongArch_FFINT_S_L = 568, + LoongArch_FFINT_S_W = 569, + LoongArch_FLDGT_D = 570, + LoongArch_FLDGT_S = 571, + LoongArch_FLDLE_D = 572, + LoongArch_FLDLE_S = 573, + LoongArch_FLDX_D = 574, + LoongArch_FLDX_S = 575, + LoongArch_FLD_D = 576, + LoongArch_FLD_S = 577, + LoongArch_FLOGB_D = 578, + LoongArch_FLOGB_S = 579, + LoongArch_FMADD_D = 580, + LoongArch_FMADD_S = 581, + LoongArch_FMAXA_D = 582, + LoongArch_FMAXA_S = 583, + LoongArch_FMAX_D = 584, + LoongArch_FMAX_S = 585, + LoongArch_FMINA_D = 586, + LoongArch_FMINA_S = 587, + LoongArch_FMIN_D = 588, + LoongArch_FMIN_S = 589, + LoongArch_FMOV_D = 590, + LoongArch_FMOV_S = 591, + LoongArch_FMSUB_D = 592, + LoongArch_FMSUB_S = 593, + LoongArch_FMUL_D = 594, + LoongArch_FMUL_S = 595, + LoongArch_FNEG_D = 596, + LoongArch_FNEG_S = 597, + LoongArch_FNMADD_D = 598, + LoongArch_FNMADD_S = 599, + LoongArch_FNMSUB_D = 600, + LoongArch_FNMSUB_S = 601, + LoongArch_FRECIPE_D = 602, + LoongArch_FRECIPE_S = 603, + LoongArch_FRECIP_D = 604, + LoongArch_FRECIP_S = 605, + LoongArch_FRINT_D = 606, + LoongArch_FRINT_S = 607, + LoongArch_FRSQRTE_D = 608, + LoongArch_FRSQRTE_S = 609, + LoongArch_FRSQRT_D = 610, + LoongArch_FRSQRT_S = 611, + LoongArch_FSCALEB_D = 612, + LoongArch_FSCALEB_S = 613, + LoongArch_FSEL_xD = 614, + LoongArch_FSEL_xS = 615, + LoongArch_FSQRT_D = 616, + LoongArch_FSQRT_S = 617, + LoongArch_FSTGT_D = 618, + LoongArch_FSTGT_S = 619, + LoongArch_FSTLE_D = 620, + LoongArch_FSTLE_S = 621, + LoongArch_FSTX_D = 622, + LoongArch_FSTX_S = 623, + LoongArch_FST_D = 624, + LoongArch_FST_S = 625, + LoongArch_FSUB_D = 626, + LoongArch_FSUB_S = 627, + LoongArch_FTINTRM_L_D = 628, + LoongArch_FTINTRM_L_S = 629, + LoongArch_FTINTRM_W_D = 630, + LoongArch_FTINTRM_W_S = 631, + LoongArch_FTINTRNE_L_D = 632, + LoongArch_FTINTRNE_L_S = 633, + LoongArch_FTINTRNE_W_D = 634, + LoongArch_FTINTRNE_W_S = 635, + LoongArch_FTINTRP_L_D = 636, + LoongArch_FTINTRP_L_S = 637, + LoongArch_FTINTRP_W_D = 638, + LoongArch_FTINTRP_W_S = 639, + LoongArch_FTINTRZ_L_D = 640, + LoongArch_FTINTRZ_L_S = 641, + LoongArch_FTINTRZ_W_D = 642, + LoongArch_FTINTRZ_W_S = 643, + LoongArch_FTINT_L_D = 644, + LoongArch_FTINT_L_S = 645, + LoongArch_FTINT_W_D = 646, + LoongArch_FTINT_W_S = 647, + LoongArch_GCSRRD = 648, + LoongArch_GCSRWR = 649, + LoongArch_GCSRXCHG = 650, + LoongArch_GTLBFLUSH = 651, + LoongArch_HVCL = 652, + LoongArch_IBAR = 653, + LoongArch_IDLE = 654, + LoongArch_INVTLB = 655, + LoongArch_IOCSRRD_B = 656, + LoongArch_IOCSRRD_D = 657, + LoongArch_IOCSRRD_H = 658, + LoongArch_IOCSRRD_W = 659, + LoongArch_IOCSRWR_B = 660, + LoongArch_IOCSRWR_D = 661, + LoongArch_IOCSRWR_H = 662, + LoongArch_IOCSRWR_W = 663, + LoongArch_JIRL = 664, + LoongArch_JISCR0 = 665, + LoongArch_JISCR1 = 666, + LoongArch_LDDIR = 667, + LoongArch_LDGT_B = 668, + LoongArch_LDGT_D = 669, + LoongArch_LDGT_H = 670, + LoongArch_LDGT_W = 671, + LoongArch_LDLE_B = 672, + LoongArch_LDLE_D = 673, + LoongArch_LDLE_H = 674, + LoongArch_LDLE_W = 675, + LoongArch_LDL_D = 676, + LoongArch_LDL_W = 677, + LoongArch_LDPTE = 678, + LoongArch_LDPTR_D = 679, + LoongArch_LDPTR_W = 680, + LoongArch_LDR_D = 681, + LoongArch_LDR_W = 682, + LoongArch_LDX_B = 683, + LoongArch_LDX_BU = 684, + LoongArch_LDX_D = 685, + LoongArch_LDX_H = 686, + LoongArch_LDX_HU = 687, + LoongArch_LDX_W = 688, + LoongArch_LDX_WU = 689, + LoongArch_LD_B = 690, + LoongArch_LD_BU = 691, + LoongArch_LD_D = 692, + LoongArch_LD_H = 693, + LoongArch_LD_HU = 694, + LoongArch_LD_W = 695, + LoongArch_LD_WU = 696, + LoongArch_LLACQ_D = 697, + LoongArch_LLACQ_W = 698, + LoongArch_LL_D = 699, + LoongArch_LL_W = 700, + LoongArch_LU12I_W = 701, + LoongArch_LU32I_D = 702, + LoongArch_LU52I_D = 703, + LoongArch_MASKEQZ = 704, + LoongArch_MASKNEZ = 705, + LoongArch_MOD_D = 706, + LoongArch_MOD_DU = 707, + LoongArch_MOD_W = 708, + LoongArch_MOD_WU = 709, + LoongArch_MOVCF2FR_xS = 710, + LoongArch_MOVCF2GR = 711, + LoongArch_MOVFCSR2GR = 712, + LoongArch_MOVFR2CF_xS = 713, + LoongArch_MOVFR2GR_D = 714, + LoongArch_MOVFR2GR_S = 715, + LoongArch_MOVFR2GR_S_64 = 716, + LoongArch_MOVFRH2GR_S = 717, + LoongArch_MOVGR2CF = 718, + LoongArch_MOVGR2FCSR = 719, + LoongArch_MOVGR2FRH_W = 720, + LoongArch_MOVGR2FR_D = 721, + LoongArch_MOVGR2FR_W = 722, + LoongArch_MOVGR2FR_W_64 = 723, + LoongArch_MOVGR2SCR = 724, + LoongArch_MOVSCR2GR = 725, + LoongArch_MULH_D = 726, + LoongArch_MULH_DU = 727, + LoongArch_MULH_W = 728, + LoongArch_MULH_WU = 729, + LoongArch_MULW_D_W = 730, + LoongArch_MULW_D_WU = 731, + LoongArch_MUL_D = 732, + LoongArch_MUL_W = 733, + LoongArch_NOR = 734, + LoongArch_OR = 735, + LoongArch_ORI = 736, + LoongArch_ORN = 737, + LoongArch_PCADDI = 738, + LoongArch_PCADDU12I = 739, + LoongArch_PCADDU18I = 740, + LoongArch_PCALAU12I = 741, + LoongArch_PRELD = 742, + LoongArch_PRELDX = 743, + LoongArch_RCRI_B = 744, + LoongArch_RCRI_D = 745, + LoongArch_RCRI_H = 746, + LoongArch_RCRI_W = 747, + LoongArch_RCR_B = 748, + LoongArch_RCR_D = 749, + LoongArch_RCR_H = 750, + LoongArch_RCR_W = 751, + LoongArch_RDTIMEH_W = 752, + LoongArch_RDTIMEL_W = 753, + LoongArch_RDTIME_D = 754, + LoongArch_REVB_2H = 755, + LoongArch_REVB_2W = 756, + LoongArch_REVB_4H = 757, + LoongArch_REVB_D = 758, + LoongArch_REVH_2W = 759, + LoongArch_REVH_D = 760, + LoongArch_ROTRI_B = 761, + LoongArch_ROTRI_D = 762, + LoongArch_ROTRI_H = 763, + LoongArch_ROTRI_W = 764, + LoongArch_ROTR_B = 765, + LoongArch_ROTR_D = 766, + LoongArch_ROTR_H = 767, + LoongArch_ROTR_W = 768, + LoongArch_SBC_B = 769, + LoongArch_SBC_D = 770, + LoongArch_SBC_H = 771, + LoongArch_SBC_W = 772, + LoongArch_SCREL_D = 773, + LoongArch_SCREL_W = 774, + LoongArch_SC_D = 775, + LoongArch_SC_Q = 776, + LoongArch_SC_W = 777, + LoongArch_SETARMJ = 778, + LoongArch_SETX86J = 779, + LoongArch_SETX86LOOPE = 780, + LoongArch_SETX86LOOPNE = 781, + LoongArch_SET_CFR_FALSE = 782, + LoongArch_SET_CFR_TRUE = 783, + LoongArch_SLLI_D = 784, + LoongArch_SLLI_W = 785, + LoongArch_SLL_D = 786, + LoongArch_SLL_W = 787, + LoongArch_SLT = 788, + LoongArch_SLTI = 789, + LoongArch_SLTU = 790, + LoongArch_SLTUI = 791, + LoongArch_SRAI_D = 792, + LoongArch_SRAI_W = 793, + LoongArch_SRA_D = 794, + LoongArch_SRA_W = 795, + LoongArch_SRLI_D = 796, + LoongArch_SRLI_W = 797, + LoongArch_SRL_D = 798, + LoongArch_SRL_W = 799, + LoongArch_STGT_B = 800, + LoongArch_STGT_D = 801, + LoongArch_STGT_H = 802, + LoongArch_STGT_W = 803, + LoongArch_STLE_B = 804, + LoongArch_STLE_D = 805, + LoongArch_STLE_H = 806, + LoongArch_STLE_W = 807, + LoongArch_STL_D = 808, + LoongArch_STL_W = 809, + LoongArch_STPTR_D = 810, + LoongArch_STPTR_W = 811, + LoongArch_STR_D = 812, + LoongArch_STR_W = 813, + LoongArch_STX_B = 814, + LoongArch_STX_D = 815, + LoongArch_STX_H = 816, + LoongArch_STX_W = 817, + LoongArch_ST_B = 818, + LoongArch_ST_D = 819, + LoongArch_ST_H = 820, + LoongArch_ST_W = 821, + LoongArch_SUB_D = 822, + LoongArch_SUB_W = 823, + LoongArch_SYSCALL = 824, + LoongArch_TLBCLR = 825, + LoongArch_TLBFILL = 826, + LoongArch_TLBFLUSH = 827, + LoongArch_TLBRD = 828, + LoongArch_TLBSRCH = 829, + LoongArch_TLBWR = 830, + LoongArch_VABSD_B = 831, + LoongArch_VABSD_BU = 832, + LoongArch_VABSD_D = 833, + LoongArch_VABSD_DU = 834, + LoongArch_VABSD_H = 835, + LoongArch_VABSD_HU = 836, + LoongArch_VABSD_W = 837, + LoongArch_VABSD_WU = 838, + LoongArch_VADDA_B = 839, + LoongArch_VADDA_D = 840, + LoongArch_VADDA_H = 841, + LoongArch_VADDA_W = 842, + LoongArch_VADDI_BU = 843, + LoongArch_VADDI_DU = 844, + LoongArch_VADDI_HU = 845, + LoongArch_VADDI_WU = 846, + LoongArch_VADDWEV_D_W = 847, + LoongArch_VADDWEV_D_WU = 848, + LoongArch_VADDWEV_D_WU_W = 849, + LoongArch_VADDWEV_H_B = 850, + LoongArch_VADDWEV_H_BU = 851, + LoongArch_VADDWEV_H_BU_B = 852, + LoongArch_VADDWEV_Q_D = 853, + LoongArch_VADDWEV_Q_DU = 854, + LoongArch_VADDWEV_Q_DU_D = 855, + LoongArch_VADDWEV_W_H = 856, + LoongArch_VADDWEV_W_HU = 857, + LoongArch_VADDWEV_W_HU_H = 858, + LoongArch_VADDWOD_D_W = 859, + LoongArch_VADDWOD_D_WU = 860, + LoongArch_VADDWOD_D_WU_W = 861, + LoongArch_VADDWOD_H_B = 862, + LoongArch_VADDWOD_H_BU = 863, + LoongArch_VADDWOD_H_BU_B = 864, + LoongArch_VADDWOD_Q_D = 865, + LoongArch_VADDWOD_Q_DU = 866, + LoongArch_VADDWOD_Q_DU_D = 867, + LoongArch_VADDWOD_W_H = 868, + LoongArch_VADDWOD_W_HU = 869, + LoongArch_VADDWOD_W_HU_H = 870, + LoongArch_VADD_B = 871, + LoongArch_VADD_D = 872, + LoongArch_VADD_H = 873, + LoongArch_VADD_Q = 874, + LoongArch_VADD_W = 875, + LoongArch_VANDI_B = 876, + LoongArch_VANDN_V = 877, + LoongArch_VAND_V = 878, + LoongArch_VAVGR_B = 879, + LoongArch_VAVGR_BU = 880, + LoongArch_VAVGR_D = 881, + LoongArch_VAVGR_DU = 882, + LoongArch_VAVGR_H = 883, + LoongArch_VAVGR_HU = 884, + LoongArch_VAVGR_W = 885, + LoongArch_VAVGR_WU = 886, + LoongArch_VAVG_B = 887, + LoongArch_VAVG_BU = 888, + LoongArch_VAVG_D = 889, + LoongArch_VAVG_DU = 890, + LoongArch_VAVG_H = 891, + LoongArch_VAVG_HU = 892, + LoongArch_VAVG_W = 893, + LoongArch_VAVG_WU = 894, + LoongArch_VBITCLRI_B = 895, + LoongArch_VBITCLRI_D = 896, + LoongArch_VBITCLRI_H = 897, + LoongArch_VBITCLRI_W = 898, + LoongArch_VBITCLR_B = 899, + LoongArch_VBITCLR_D = 900, + LoongArch_VBITCLR_H = 901, + LoongArch_VBITCLR_W = 902, + LoongArch_VBITREVI_B = 903, + LoongArch_VBITREVI_D = 904, + LoongArch_VBITREVI_H = 905, + LoongArch_VBITREVI_W = 906, + LoongArch_VBITREV_B = 907, + LoongArch_VBITREV_D = 908, + LoongArch_VBITREV_H = 909, + LoongArch_VBITREV_W = 910, + LoongArch_VBITSELI_B = 911, + LoongArch_VBITSEL_V = 912, + LoongArch_VBITSETI_B = 913, + LoongArch_VBITSETI_D = 914, + LoongArch_VBITSETI_H = 915, + LoongArch_VBITSETI_W = 916, + LoongArch_VBITSET_B = 917, + LoongArch_VBITSET_D = 918, + LoongArch_VBITSET_H = 919, + LoongArch_VBITSET_W = 920, + LoongArch_VBSLL_V = 921, + LoongArch_VBSRL_V = 922, + LoongArch_VCLO_B = 923, + LoongArch_VCLO_D = 924, + LoongArch_VCLO_H = 925, + LoongArch_VCLO_W = 926, + LoongArch_VCLZ_B = 927, + LoongArch_VCLZ_D = 928, + LoongArch_VCLZ_H = 929, + LoongArch_VCLZ_W = 930, + LoongArch_VDIV_B = 931, + LoongArch_VDIV_BU = 932, + LoongArch_VDIV_D = 933, + LoongArch_VDIV_DU = 934, + LoongArch_VDIV_H = 935, + LoongArch_VDIV_HU = 936, + LoongArch_VDIV_W = 937, + LoongArch_VDIV_WU = 938, + LoongArch_VEXT2XV_DU_BU = 939, + LoongArch_VEXT2XV_DU_HU = 940, + LoongArch_VEXT2XV_DU_WU = 941, + LoongArch_VEXT2XV_D_B = 942, + LoongArch_VEXT2XV_D_H = 943, + LoongArch_VEXT2XV_D_W = 944, + LoongArch_VEXT2XV_HU_BU = 945, + LoongArch_VEXT2XV_H_B = 946, + LoongArch_VEXT2XV_WU_BU = 947, + LoongArch_VEXT2XV_WU_HU = 948, + LoongArch_VEXT2XV_W_B = 949, + LoongArch_VEXT2XV_W_H = 950, + LoongArch_VEXTH_DU_WU = 951, + LoongArch_VEXTH_D_W = 952, + LoongArch_VEXTH_HU_BU = 953, + LoongArch_VEXTH_H_B = 954, + LoongArch_VEXTH_QU_DU = 955, + LoongArch_VEXTH_Q_D = 956, + LoongArch_VEXTH_WU_HU = 957, + LoongArch_VEXTH_W_H = 958, + LoongArch_VEXTL_QU_DU = 959, + LoongArch_VEXTL_Q_D = 960, + LoongArch_VEXTRINS_B = 961, + LoongArch_VEXTRINS_D = 962, + LoongArch_VEXTRINS_H = 963, + LoongArch_VEXTRINS_W = 964, + LoongArch_VFADD_D = 965, + LoongArch_VFADD_S = 966, + LoongArch_VFCLASS_D = 967, + LoongArch_VFCLASS_S = 968, + LoongArch_VFCMP_CAF_D = 969, + LoongArch_VFCMP_CAF_S = 970, + LoongArch_VFCMP_CEQ_D = 971, + LoongArch_VFCMP_CEQ_S = 972, + LoongArch_VFCMP_CLE_D = 973, + LoongArch_VFCMP_CLE_S = 974, + LoongArch_VFCMP_CLT_D = 975, + LoongArch_VFCMP_CLT_S = 976, + LoongArch_VFCMP_CNE_D = 977, + LoongArch_VFCMP_CNE_S = 978, + LoongArch_VFCMP_COR_D = 979, + LoongArch_VFCMP_COR_S = 980, + LoongArch_VFCMP_CUEQ_D = 981, + LoongArch_VFCMP_CUEQ_S = 982, + LoongArch_VFCMP_CULE_D = 983, + LoongArch_VFCMP_CULE_S = 984, + LoongArch_VFCMP_CULT_D = 985, + LoongArch_VFCMP_CULT_S = 986, + LoongArch_VFCMP_CUNE_D = 987, + LoongArch_VFCMP_CUNE_S = 988, + LoongArch_VFCMP_CUN_D = 989, + LoongArch_VFCMP_CUN_S = 990, + LoongArch_VFCMP_SAF_D = 991, + LoongArch_VFCMP_SAF_S = 992, + LoongArch_VFCMP_SEQ_D = 993, + LoongArch_VFCMP_SEQ_S = 994, + LoongArch_VFCMP_SLE_D = 995, + LoongArch_VFCMP_SLE_S = 996, + LoongArch_VFCMP_SLT_D = 997, + LoongArch_VFCMP_SLT_S = 998, + LoongArch_VFCMP_SNE_D = 999, + LoongArch_VFCMP_SNE_S = 1000, + LoongArch_VFCMP_SOR_D = 1001, + LoongArch_VFCMP_SOR_S = 1002, + LoongArch_VFCMP_SUEQ_D = 1003, + LoongArch_VFCMP_SUEQ_S = 1004, + LoongArch_VFCMP_SULE_D = 1005, + LoongArch_VFCMP_SULE_S = 1006, + LoongArch_VFCMP_SULT_D = 1007, + LoongArch_VFCMP_SULT_S = 1008, + LoongArch_VFCMP_SUNE_D = 1009, + LoongArch_VFCMP_SUNE_S = 1010, + LoongArch_VFCMP_SUN_D = 1011, + LoongArch_VFCMP_SUN_S = 1012, + LoongArch_VFCVTH_D_S = 1013, + LoongArch_VFCVTH_S_H = 1014, + LoongArch_VFCVTL_D_S = 1015, + LoongArch_VFCVTL_S_H = 1016, + LoongArch_VFCVT_H_S = 1017, + LoongArch_VFCVT_S_D = 1018, + LoongArch_VFDIV_D = 1019, + LoongArch_VFDIV_S = 1020, + LoongArch_VFFINTH_D_W = 1021, + LoongArch_VFFINTL_D_W = 1022, + LoongArch_VFFINT_D_L = 1023, + LoongArch_VFFINT_D_LU = 1024, + LoongArch_VFFINT_S_L = 1025, + LoongArch_VFFINT_S_W = 1026, + LoongArch_VFFINT_S_WU = 1027, + LoongArch_VFLOGB_D = 1028, + LoongArch_VFLOGB_S = 1029, + LoongArch_VFMADD_D = 1030, + LoongArch_VFMADD_S = 1031, + LoongArch_VFMAXA_D = 1032, + LoongArch_VFMAXA_S = 1033, + LoongArch_VFMAX_D = 1034, + LoongArch_VFMAX_S = 1035, + LoongArch_VFMINA_D = 1036, + LoongArch_VFMINA_S = 1037, + LoongArch_VFMIN_D = 1038, + LoongArch_VFMIN_S = 1039, + LoongArch_VFMSUB_D = 1040, + LoongArch_VFMSUB_S = 1041, + LoongArch_VFMUL_D = 1042, + LoongArch_VFMUL_S = 1043, + LoongArch_VFNMADD_D = 1044, + LoongArch_VFNMADD_S = 1045, + LoongArch_VFNMSUB_D = 1046, + LoongArch_VFNMSUB_S = 1047, + LoongArch_VFRECIPE_D = 1048, + LoongArch_VFRECIPE_S = 1049, + LoongArch_VFRECIP_D = 1050, + LoongArch_VFRECIP_S = 1051, + LoongArch_VFRINTRM_D = 1052, + LoongArch_VFRINTRM_S = 1053, + LoongArch_VFRINTRNE_D = 1054, + LoongArch_VFRINTRNE_S = 1055, + LoongArch_VFRINTRP_D = 1056, + LoongArch_VFRINTRP_S = 1057, + LoongArch_VFRINTRZ_D = 1058, + LoongArch_VFRINTRZ_S = 1059, + LoongArch_VFRINT_D = 1060, + LoongArch_VFRINT_S = 1061, + LoongArch_VFRSQRTE_D = 1062, + LoongArch_VFRSQRTE_S = 1063, + LoongArch_VFRSQRT_D = 1064, + LoongArch_VFRSQRT_S = 1065, + LoongArch_VFRSTPI_B = 1066, + LoongArch_VFRSTPI_H = 1067, + LoongArch_VFRSTP_B = 1068, + LoongArch_VFRSTP_H = 1069, + LoongArch_VFSQRT_D = 1070, + LoongArch_VFSQRT_S = 1071, + LoongArch_VFSUB_D = 1072, + LoongArch_VFSUB_S = 1073, + LoongArch_VFTINTH_L_S = 1074, + LoongArch_VFTINTL_L_S = 1075, + LoongArch_VFTINTRMH_L_S = 1076, + LoongArch_VFTINTRML_L_S = 1077, + LoongArch_VFTINTRM_L_D = 1078, + LoongArch_VFTINTRM_W_D = 1079, + LoongArch_VFTINTRM_W_S = 1080, + LoongArch_VFTINTRNEH_L_S = 1081, + LoongArch_VFTINTRNEL_L_S = 1082, + LoongArch_VFTINTRNE_L_D = 1083, + LoongArch_VFTINTRNE_W_D = 1084, + LoongArch_VFTINTRNE_W_S = 1085, + LoongArch_VFTINTRPH_L_S = 1086, + LoongArch_VFTINTRPL_L_S = 1087, + LoongArch_VFTINTRP_L_D = 1088, + LoongArch_VFTINTRP_W_D = 1089, + LoongArch_VFTINTRP_W_S = 1090, + LoongArch_VFTINTRZH_L_S = 1091, + LoongArch_VFTINTRZL_L_S = 1092, + LoongArch_VFTINTRZ_LU_D = 1093, + LoongArch_VFTINTRZ_L_D = 1094, + LoongArch_VFTINTRZ_WU_S = 1095, + LoongArch_VFTINTRZ_W_D = 1096, + LoongArch_VFTINTRZ_W_S = 1097, + LoongArch_VFTINT_LU_D = 1098, + LoongArch_VFTINT_L_D = 1099, + LoongArch_VFTINT_WU_S = 1100, + LoongArch_VFTINT_W_D = 1101, + LoongArch_VFTINT_W_S = 1102, + LoongArch_VHADDW_DU_WU = 1103, + LoongArch_VHADDW_D_W = 1104, + LoongArch_VHADDW_HU_BU = 1105, + LoongArch_VHADDW_H_B = 1106, + LoongArch_VHADDW_QU_DU = 1107, + LoongArch_VHADDW_Q_D = 1108, + LoongArch_VHADDW_WU_HU = 1109, + LoongArch_VHADDW_W_H = 1110, + LoongArch_VHSUBW_DU_WU = 1111, + LoongArch_VHSUBW_D_W = 1112, + LoongArch_VHSUBW_HU_BU = 1113, + LoongArch_VHSUBW_H_B = 1114, + LoongArch_VHSUBW_QU_DU = 1115, + LoongArch_VHSUBW_Q_D = 1116, + LoongArch_VHSUBW_WU_HU = 1117, + LoongArch_VHSUBW_W_H = 1118, + LoongArch_VILVH_B = 1119, + LoongArch_VILVH_D = 1120, + LoongArch_VILVH_H = 1121, + LoongArch_VILVH_W = 1122, + LoongArch_VILVL_B = 1123, + LoongArch_VILVL_D = 1124, + LoongArch_VILVL_H = 1125, + LoongArch_VILVL_W = 1126, + LoongArch_VINSGR2VR_B = 1127, + LoongArch_VINSGR2VR_D = 1128, + LoongArch_VINSGR2VR_H = 1129, + LoongArch_VINSGR2VR_W = 1130, + LoongArch_VLD = 1131, + LoongArch_VLDI = 1132, + LoongArch_VLDREPL_B = 1133, + LoongArch_VLDREPL_D = 1134, + LoongArch_VLDREPL_H = 1135, + LoongArch_VLDREPL_W = 1136, + LoongArch_VLDX = 1137, + LoongArch_VMADDWEV_D_W = 1138, + LoongArch_VMADDWEV_D_WU = 1139, + LoongArch_VMADDWEV_D_WU_W = 1140, + LoongArch_VMADDWEV_H_B = 1141, + LoongArch_VMADDWEV_H_BU = 1142, + LoongArch_VMADDWEV_H_BU_B = 1143, + LoongArch_VMADDWEV_Q_D = 1144, + LoongArch_VMADDWEV_Q_DU = 1145, + LoongArch_VMADDWEV_Q_DU_D = 1146, + LoongArch_VMADDWEV_W_H = 1147, + LoongArch_VMADDWEV_W_HU = 1148, + LoongArch_VMADDWEV_W_HU_H = 1149, + LoongArch_VMADDWOD_D_W = 1150, + LoongArch_VMADDWOD_D_WU = 1151, + LoongArch_VMADDWOD_D_WU_W = 1152, + LoongArch_VMADDWOD_H_B = 1153, + LoongArch_VMADDWOD_H_BU = 1154, + LoongArch_VMADDWOD_H_BU_B = 1155, + LoongArch_VMADDWOD_Q_D = 1156, + LoongArch_VMADDWOD_Q_DU = 1157, + LoongArch_VMADDWOD_Q_DU_D = 1158, + LoongArch_VMADDWOD_W_H = 1159, + LoongArch_VMADDWOD_W_HU = 1160, + LoongArch_VMADDWOD_W_HU_H = 1161, + LoongArch_VMADD_B = 1162, + LoongArch_VMADD_D = 1163, + LoongArch_VMADD_H = 1164, + LoongArch_VMADD_W = 1165, + LoongArch_VMAXI_B = 1166, + LoongArch_VMAXI_BU = 1167, + LoongArch_VMAXI_D = 1168, + LoongArch_VMAXI_DU = 1169, + LoongArch_VMAXI_H = 1170, + LoongArch_VMAXI_HU = 1171, + LoongArch_VMAXI_W = 1172, + LoongArch_VMAXI_WU = 1173, + LoongArch_VMAX_B = 1174, + LoongArch_VMAX_BU = 1175, + LoongArch_VMAX_D = 1176, + LoongArch_VMAX_DU = 1177, + LoongArch_VMAX_H = 1178, + LoongArch_VMAX_HU = 1179, + LoongArch_VMAX_W = 1180, + LoongArch_VMAX_WU = 1181, + LoongArch_VMINI_B = 1182, + LoongArch_VMINI_BU = 1183, + LoongArch_VMINI_D = 1184, + LoongArch_VMINI_DU = 1185, + LoongArch_VMINI_H = 1186, + LoongArch_VMINI_HU = 1187, + LoongArch_VMINI_W = 1188, + LoongArch_VMINI_WU = 1189, + LoongArch_VMIN_B = 1190, + LoongArch_VMIN_BU = 1191, + LoongArch_VMIN_D = 1192, + LoongArch_VMIN_DU = 1193, + LoongArch_VMIN_H = 1194, + LoongArch_VMIN_HU = 1195, + LoongArch_VMIN_W = 1196, + LoongArch_VMIN_WU = 1197, + LoongArch_VMOD_B = 1198, + LoongArch_VMOD_BU = 1199, + LoongArch_VMOD_D = 1200, + LoongArch_VMOD_DU = 1201, + LoongArch_VMOD_H = 1202, + LoongArch_VMOD_HU = 1203, + LoongArch_VMOD_W = 1204, + LoongArch_VMOD_WU = 1205, + LoongArch_VMSKGEZ_B = 1206, + LoongArch_VMSKLTZ_B = 1207, + LoongArch_VMSKLTZ_D = 1208, + LoongArch_VMSKLTZ_H = 1209, + LoongArch_VMSKLTZ_W = 1210, + LoongArch_VMSKNZ_B = 1211, + LoongArch_VMSUB_B = 1212, + LoongArch_VMSUB_D = 1213, + LoongArch_VMSUB_H = 1214, + LoongArch_VMSUB_W = 1215, + LoongArch_VMUH_B = 1216, + LoongArch_VMUH_BU = 1217, + LoongArch_VMUH_D = 1218, + LoongArch_VMUH_DU = 1219, + LoongArch_VMUH_H = 1220, + LoongArch_VMUH_HU = 1221, + LoongArch_VMUH_W = 1222, + LoongArch_VMUH_WU = 1223, + LoongArch_VMULWEV_D_W = 1224, + LoongArch_VMULWEV_D_WU = 1225, + LoongArch_VMULWEV_D_WU_W = 1226, + LoongArch_VMULWEV_H_B = 1227, + LoongArch_VMULWEV_H_BU = 1228, + LoongArch_VMULWEV_H_BU_B = 1229, + LoongArch_VMULWEV_Q_D = 1230, + LoongArch_VMULWEV_Q_DU = 1231, + LoongArch_VMULWEV_Q_DU_D = 1232, + LoongArch_VMULWEV_W_H = 1233, + LoongArch_VMULWEV_W_HU = 1234, + LoongArch_VMULWEV_W_HU_H = 1235, + LoongArch_VMULWOD_D_W = 1236, + LoongArch_VMULWOD_D_WU = 1237, + LoongArch_VMULWOD_D_WU_W = 1238, + LoongArch_VMULWOD_H_B = 1239, + LoongArch_VMULWOD_H_BU = 1240, + LoongArch_VMULWOD_H_BU_B = 1241, + LoongArch_VMULWOD_Q_D = 1242, + LoongArch_VMULWOD_Q_DU = 1243, + LoongArch_VMULWOD_Q_DU_D = 1244, + LoongArch_VMULWOD_W_H = 1245, + LoongArch_VMULWOD_W_HU = 1246, + LoongArch_VMULWOD_W_HU_H = 1247, + LoongArch_VMUL_B = 1248, + LoongArch_VMUL_D = 1249, + LoongArch_VMUL_H = 1250, + LoongArch_VMUL_W = 1251, + LoongArch_VNEG_B = 1252, + LoongArch_VNEG_D = 1253, + LoongArch_VNEG_H = 1254, + LoongArch_VNEG_W = 1255, + LoongArch_VNORI_B = 1256, + LoongArch_VNOR_V = 1257, + LoongArch_VORI_B = 1258, + LoongArch_VORN_V = 1259, + LoongArch_VOR_V = 1260, + LoongArch_VPACKEV_B = 1261, + LoongArch_VPACKEV_D = 1262, + LoongArch_VPACKEV_H = 1263, + LoongArch_VPACKEV_W = 1264, + LoongArch_VPACKOD_B = 1265, + LoongArch_VPACKOD_D = 1266, + LoongArch_VPACKOD_H = 1267, + LoongArch_VPACKOD_W = 1268, + LoongArch_VPCNT_B = 1269, + LoongArch_VPCNT_D = 1270, + LoongArch_VPCNT_H = 1271, + LoongArch_VPCNT_W = 1272, + LoongArch_VPERMI_W = 1273, + LoongArch_VPICKEV_B = 1274, + LoongArch_VPICKEV_D = 1275, + LoongArch_VPICKEV_H = 1276, + LoongArch_VPICKEV_W = 1277, + LoongArch_VPICKOD_B = 1278, + LoongArch_VPICKOD_D = 1279, + LoongArch_VPICKOD_H = 1280, + LoongArch_VPICKOD_W = 1281, + LoongArch_VPICKVE2GR_B = 1282, + LoongArch_VPICKVE2GR_BU = 1283, + LoongArch_VPICKVE2GR_D = 1284, + LoongArch_VPICKVE2GR_DU = 1285, + LoongArch_VPICKVE2GR_H = 1286, + LoongArch_VPICKVE2GR_HU = 1287, + LoongArch_VPICKVE2GR_W = 1288, + LoongArch_VPICKVE2GR_WU = 1289, + LoongArch_VREPLGR2VR_B = 1290, + LoongArch_VREPLGR2VR_D = 1291, + LoongArch_VREPLGR2VR_H = 1292, + LoongArch_VREPLGR2VR_W = 1293, + LoongArch_VREPLVEI_B = 1294, + LoongArch_VREPLVEI_D = 1295, + LoongArch_VREPLVEI_H = 1296, + LoongArch_VREPLVEI_W = 1297, + LoongArch_VREPLVE_B = 1298, + LoongArch_VREPLVE_D = 1299, + LoongArch_VREPLVE_H = 1300, + LoongArch_VREPLVE_W = 1301, + LoongArch_VROTRI_B = 1302, + LoongArch_VROTRI_D = 1303, + LoongArch_VROTRI_H = 1304, + LoongArch_VROTRI_W = 1305, + LoongArch_VROTR_B = 1306, + LoongArch_VROTR_D = 1307, + LoongArch_VROTR_H = 1308, + LoongArch_VROTR_W = 1309, + LoongArch_VSADD_B = 1310, + LoongArch_VSADD_BU = 1311, + LoongArch_VSADD_D = 1312, + LoongArch_VSADD_DU = 1313, + LoongArch_VSADD_H = 1314, + LoongArch_VSADD_HU = 1315, + LoongArch_VSADD_W = 1316, + LoongArch_VSADD_WU = 1317, + LoongArch_VSAT_B = 1318, + LoongArch_VSAT_BU = 1319, + LoongArch_VSAT_D = 1320, + LoongArch_VSAT_DU = 1321, + LoongArch_VSAT_H = 1322, + LoongArch_VSAT_HU = 1323, + LoongArch_VSAT_W = 1324, + LoongArch_VSAT_WU = 1325, + LoongArch_VSEQI_B = 1326, + LoongArch_VSEQI_D = 1327, + LoongArch_VSEQI_H = 1328, + LoongArch_VSEQI_W = 1329, + LoongArch_VSEQ_B = 1330, + LoongArch_VSEQ_D = 1331, + LoongArch_VSEQ_H = 1332, + LoongArch_VSEQ_W = 1333, + LoongArch_VSETALLNEZ_B = 1334, + LoongArch_VSETALLNEZ_D = 1335, + LoongArch_VSETALLNEZ_H = 1336, + LoongArch_VSETALLNEZ_W = 1337, + LoongArch_VSETANYEQZ_B = 1338, + LoongArch_VSETANYEQZ_D = 1339, + LoongArch_VSETANYEQZ_H = 1340, + LoongArch_VSETANYEQZ_W = 1341, + LoongArch_VSETEQZ_V = 1342, + LoongArch_VSETNEZ_V = 1343, + LoongArch_VSHUF4I_B = 1344, + LoongArch_VSHUF4I_D = 1345, + LoongArch_VSHUF4I_H = 1346, + LoongArch_VSHUF4I_W = 1347, + LoongArch_VSHUF_B = 1348, + LoongArch_VSHUF_D = 1349, + LoongArch_VSHUF_H = 1350, + LoongArch_VSHUF_W = 1351, + LoongArch_VSIGNCOV_B = 1352, + LoongArch_VSIGNCOV_D = 1353, + LoongArch_VSIGNCOV_H = 1354, + LoongArch_VSIGNCOV_W = 1355, + LoongArch_VSLEI_B = 1356, + LoongArch_VSLEI_BU = 1357, + LoongArch_VSLEI_D = 1358, + LoongArch_VSLEI_DU = 1359, + LoongArch_VSLEI_H = 1360, + LoongArch_VSLEI_HU = 1361, + LoongArch_VSLEI_W = 1362, + LoongArch_VSLEI_WU = 1363, + LoongArch_VSLE_B = 1364, + LoongArch_VSLE_BU = 1365, + LoongArch_VSLE_D = 1366, + LoongArch_VSLE_DU = 1367, + LoongArch_VSLE_H = 1368, + LoongArch_VSLE_HU = 1369, + LoongArch_VSLE_W = 1370, + LoongArch_VSLE_WU = 1371, + LoongArch_VSLLI_B = 1372, + LoongArch_VSLLI_D = 1373, + LoongArch_VSLLI_H = 1374, + LoongArch_VSLLI_W = 1375, + LoongArch_VSLLWIL_DU_WU = 1376, + LoongArch_VSLLWIL_D_W = 1377, + LoongArch_VSLLWIL_HU_BU = 1378, + LoongArch_VSLLWIL_H_B = 1379, + LoongArch_VSLLWIL_WU_HU = 1380, + LoongArch_VSLLWIL_W_H = 1381, + LoongArch_VSLL_B = 1382, + LoongArch_VSLL_D = 1383, + LoongArch_VSLL_H = 1384, + LoongArch_VSLL_W = 1385, + LoongArch_VSLTI_B = 1386, + LoongArch_VSLTI_BU = 1387, + LoongArch_VSLTI_D = 1388, + LoongArch_VSLTI_DU = 1389, + LoongArch_VSLTI_H = 1390, + LoongArch_VSLTI_HU = 1391, + LoongArch_VSLTI_W = 1392, + LoongArch_VSLTI_WU = 1393, + LoongArch_VSLT_B = 1394, + LoongArch_VSLT_BU = 1395, + LoongArch_VSLT_D = 1396, + LoongArch_VSLT_DU = 1397, + LoongArch_VSLT_H = 1398, + LoongArch_VSLT_HU = 1399, + LoongArch_VSLT_W = 1400, + LoongArch_VSLT_WU = 1401, + LoongArch_VSRAI_B = 1402, + LoongArch_VSRAI_D = 1403, + LoongArch_VSRAI_H = 1404, + LoongArch_VSRAI_W = 1405, + LoongArch_VSRANI_B_H = 1406, + LoongArch_VSRANI_D_Q = 1407, + LoongArch_VSRANI_H_W = 1408, + LoongArch_VSRANI_W_D = 1409, + LoongArch_VSRAN_B_H = 1410, + LoongArch_VSRAN_H_W = 1411, + LoongArch_VSRAN_W_D = 1412, + LoongArch_VSRARI_B = 1413, + LoongArch_VSRARI_D = 1414, + LoongArch_VSRARI_H = 1415, + LoongArch_VSRARI_W = 1416, + LoongArch_VSRARNI_B_H = 1417, + LoongArch_VSRARNI_D_Q = 1418, + LoongArch_VSRARNI_H_W = 1419, + LoongArch_VSRARNI_W_D = 1420, + LoongArch_VSRARN_B_H = 1421, + LoongArch_VSRARN_H_W = 1422, + LoongArch_VSRARN_W_D = 1423, + LoongArch_VSRAR_B = 1424, + LoongArch_VSRAR_D = 1425, + LoongArch_VSRAR_H = 1426, + LoongArch_VSRAR_W = 1427, + LoongArch_VSRA_B = 1428, + LoongArch_VSRA_D = 1429, + LoongArch_VSRA_H = 1430, + LoongArch_VSRA_W = 1431, + LoongArch_VSRLI_B = 1432, + LoongArch_VSRLI_D = 1433, + LoongArch_VSRLI_H = 1434, + LoongArch_VSRLI_W = 1435, + LoongArch_VSRLNI_B_H = 1436, + LoongArch_VSRLNI_D_Q = 1437, + LoongArch_VSRLNI_H_W = 1438, + LoongArch_VSRLNI_W_D = 1439, + LoongArch_VSRLN_B_H = 1440, + LoongArch_VSRLN_H_W = 1441, + LoongArch_VSRLN_W_D = 1442, + LoongArch_VSRLRI_B = 1443, + LoongArch_VSRLRI_D = 1444, + LoongArch_VSRLRI_H = 1445, + LoongArch_VSRLRI_W = 1446, + LoongArch_VSRLRNI_B_H = 1447, + LoongArch_VSRLRNI_D_Q = 1448, + LoongArch_VSRLRNI_H_W = 1449, + LoongArch_VSRLRNI_W_D = 1450, + LoongArch_VSRLRN_B_H = 1451, + LoongArch_VSRLRN_H_W = 1452, + LoongArch_VSRLRN_W_D = 1453, + LoongArch_VSRLR_B = 1454, + LoongArch_VSRLR_D = 1455, + LoongArch_VSRLR_H = 1456, + LoongArch_VSRLR_W = 1457, + LoongArch_VSRL_B = 1458, + LoongArch_VSRL_D = 1459, + LoongArch_VSRL_H = 1460, + LoongArch_VSRL_W = 1461, + LoongArch_VSSRANI_BU_H = 1462, + LoongArch_VSSRANI_B_H = 1463, + LoongArch_VSSRANI_DU_Q = 1464, + LoongArch_VSSRANI_D_Q = 1465, + LoongArch_VSSRANI_HU_W = 1466, + LoongArch_VSSRANI_H_W = 1467, + LoongArch_VSSRANI_WU_D = 1468, + LoongArch_VSSRANI_W_D = 1469, + LoongArch_VSSRAN_BU_H = 1470, + LoongArch_VSSRAN_B_H = 1471, + LoongArch_VSSRAN_HU_W = 1472, + LoongArch_VSSRAN_H_W = 1473, + LoongArch_VSSRAN_WU_D = 1474, + LoongArch_VSSRAN_W_D = 1475, + LoongArch_VSSRARNI_BU_H = 1476, + LoongArch_VSSRARNI_B_H = 1477, + LoongArch_VSSRARNI_DU_Q = 1478, + LoongArch_VSSRARNI_D_Q = 1479, + LoongArch_VSSRARNI_HU_W = 1480, + LoongArch_VSSRARNI_H_W = 1481, + LoongArch_VSSRARNI_WU_D = 1482, + LoongArch_VSSRARNI_W_D = 1483, + LoongArch_VSSRARN_BU_H = 1484, + LoongArch_VSSRARN_B_H = 1485, + LoongArch_VSSRARN_HU_W = 1486, + LoongArch_VSSRARN_H_W = 1487, + LoongArch_VSSRARN_WU_D = 1488, + LoongArch_VSSRARN_W_D = 1489, + LoongArch_VSSRLNI_BU_H = 1490, + LoongArch_VSSRLNI_B_H = 1491, + LoongArch_VSSRLNI_DU_Q = 1492, + LoongArch_VSSRLNI_D_Q = 1493, + LoongArch_VSSRLNI_HU_W = 1494, + LoongArch_VSSRLNI_H_W = 1495, + LoongArch_VSSRLNI_WU_D = 1496, + LoongArch_VSSRLNI_W_D = 1497, + LoongArch_VSSRLN_BU_H = 1498, + LoongArch_VSSRLN_B_H = 1499, + LoongArch_VSSRLN_HU_W = 1500, + LoongArch_VSSRLN_H_W = 1501, + LoongArch_VSSRLN_WU_D = 1502, + LoongArch_VSSRLN_W_D = 1503, + LoongArch_VSSRLRNI_BU_H = 1504, + LoongArch_VSSRLRNI_B_H = 1505, + LoongArch_VSSRLRNI_DU_Q = 1506, + LoongArch_VSSRLRNI_D_Q = 1507, + LoongArch_VSSRLRNI_HU_W = 1508, + LoongArch_VSSRLRNI_H_W = 1509, + LoongArch_VSSRLRNI_WU_D = 1510, + LoongArch_VSSRLRNI_W_D = 1511, + LoongArch_VSSRLRN_BU_H = 1512, + LoongArch_VSSRLRN_B_H = 1513, + LoongArch_VSSRLRN_HU_W = 1514, + LoongArch_VSSRLRN_H_W = 1515, + LoongArch_VSSRLRN_WU_D = 1516, + LoongArch_VSSRLRN_W_D = 1517, + LoongArch_VSSUB_B = 1518, + LoongArch_VSSUB_BU = 1519, + LoongArch_VSSUB_D = 1520, + LoongArch_VSSUB_DU = 1521, + LoongArch_VSSUB_H = 1522, + LoongArch_VSSUB_HU = 1523, + LoongArch_VSSUB_W = 1524, + LoongArch_VSSUB_WU = 1525, + LoongArch_VST = 1526, + LoongArch_VSTELM_B = 1527, + LoongArch_VSTELM_D = 1528, + LoongArch_VSTELM_H = 1529, + LoongArch_VSTELM_W = 1530, + LoongArch_VSTX = 1531, + LoongArch_VSUBI_BU = 1532, + LoongArch_VSUBI_DU = 1533, + LoongArch_VSUBI_HU = 1534, + LoongArch_VSUBI_WU = 1535, + LoongArch_VSUBWEV_D_W = 1536, + LoongArch_VSUBWEV_D_WU = 1537, + LoongArch_VSUBWEV_H_B = 1538, + LoongArch_VSUBWEV_H_BU = 1539, + LoongArch_VSUBWEV_Q_D = 1540, + LoongArch_VSUBWEV_Q_DU = 1541, + LoongArch_VSUBWEV_W_H = 1542, + LoongArch_VSUBWEV_W_HU = 1543, + LoongArch_VSUBWOD_D_W = 1544, + LoongArch_VSUBWOD_D_WU = 1545, + LoongArch_VSUBWOD_H_B = 1546, + LoongArch_VSUBWOD_H_BU = 1547, + LoongArch_VSUBWOD_Q_D = 1548, + LoongArch_VSUBWOD_Q_DU = 1549, + LoongArch_VSUBWOD_W_H = 1550, + LoongArch_VSUBWOD_W_HU = 1551, + LoongArch_VSUB_B = 1552, + LoongArch_VSUB_D = 1553, + LoongArch_VSUB_H = 1554, + LoongArch_VSUB_Q = 1555, + LoongArch_VSUB_W = 1556, + LoongArch_VXORI_B = 1557, + LoongArch_VXOR_V = 1558, + LoongArch_X86ADC_B = 1559, + LoongArch_X86ADC_D = 1560, + LoongArch_X86ADC_H = 1561, + LoongArch_X86ADC_W = 1562, + LoongArch_X86ADD_B = 1563, + LoongArch_X86ADD_D = 1564, + LoongArch_X86ADD_DU = 1565, + LoongArch_X86ADD_H = 1566, + LoongArch_X86ADD_W = 1567, + LoongArch_X86ADD_WU = 1568, + LoongArch_X86AND_B = 1569, + LoongArch_X86AND_D = 1570, + LoongArch_X86AND_H = 1571, + LoongArch_X86AND_W = 1572, + LoongArch_X86CLRTM = 1573, + LoongArch_X86DECTOP = 1574, + LoongArch_X86DEC_B = 1575, + LoongArch_X86DEC_D = 1576, + LoongArch_X86DEC_H = 1577, + LoongArch_X86DEC_W = 1578, + LoongArch_X86INCTOP = 1579, + LoongArch_X86INC_B = 1580, + LoongArch_X86INC_D = 1581, + LoongArch_X86INC_H = 1582, + LoongArch_X86INC_W = 1583, + LoongArch_X86MFFLAG = 1584, + LoongArch_X86MFTOP = 1585, + LoongArch_X86MTFLAG = 1586, + LoongArch_X86MTTOP = 1587, + LoongArch_X86MUL_B = 1588, + LoongArch_X86MUL_BU = 1589, + LoongArch_X86MUL_D = 1590, + LoongArch_X86MUL_DU = 1591, + LoongArch_X86MUL_H = 1592, + LoongArch_X86MUL_HU = 1593, + LoongArch_X86MUL_W = 1594, + LoongArch_X86MUL_WU = 1595, + LoongArch_X86OR_B = 1596, + LoongArch_X86OR_D = 1597, + LoongArch_X86OR_H = 1598, + LoongArch_X86OR_W = 1599, + LoongArch_X86RCLI_B = 1600, + LoongArch_X86RCLI_D = 1601, + LoongArch_X86RCLI_H = 1602, + LoongArch_X86RCLI_W = 1603, + LoongArch_X86RCL_B = 1604, + LoongArch_X86RCL_D = 1605, + LoongArch_X86RCL_H = 1606, + LoongArch_X86RCL_W = 1607, + LoongArch_X86RCRI_B = 1608, + LoongArch_X86RCRI_D = 1609, + LoongArch_X86RCRI_H = 1610, + LoongArch_X86RCRI_W = 1611, + LoongArch_X86RCR_B = 1612, + LoongArch_X86RCR_D = 1613, + LoongArch_X86RCR_H = 1614, + LoongArch_X86RCR_W = 1615, + LoongArch_X86ROTLI_B = 1616, + LoongArch_X86ROTLI_D = 1617, + LoongArch_X86ROTLI_H = 1618, + LoongArch_X86ROTLI_W = 1619, + LoongArch_X86ROTL_B = 1620, + LoongArch_X86ROTL_D = 1621, + LoongArch_X86ROTL_H = 1622, + LoongArch_X86ROTL_W = 1623, + LoongArch_X86ROTRI_B = 1624, + LoongArch_X86ROTRI_D = 1625, + LoongArch_X86ROTRI_H = 1626, + LoongArch_X86ROTRI_W = 1627, + LoongArch_X86ROTR_B = 1628, + LoongArch_X86ROTR_D = 1629, + LoongArch_X86ROTR_H = 1630, + LoongArch_X86ROTR_W = 1631, + LoongArch_X86SBC_B = 1632, + LoongArch_X86SBC_D = 1633, + LoongArch_X86SBC_H = 1634, + LoongArch_X86SBC_W = 1635, + LoongArch_X86SETTAG = 1636, + LoongArch_X86SETTM = 1637, + LoongArch_X86SLLI_B = 1638, + LoongArch_X86SLLI_D = 1639, + LoongArch_X86SLLI_H = 1640, + LoongArch_X86SLLI_W = 1641, + LoongArch_X86SLL_B = 1642, + LoongArch_X86SLL_D = 1643, + LoongArch_X86SLL_H = 1644, + LoongArch_X86SLL_W = 1645, + LoongArch_X86SRAI_B = 1646, + LoongArch_X86SRAI_D = 1647, + LoongArch_X86SRAI_H = 1648, + LoongArch_X86SRAI_W = 1649, + LoongArch_X86SRA_B = 1650, + LoongArch_X86SRA_D = 1651, + LoongArch_X86SRA_H = 1652, + LoongArch_X86SRA_W = 1653, + LoongArch_X86SRLI_B = 1654, + LoongArch_X86SRLI_D = 1655, + LoongArch_X86SRLI_H = 1656, + LoongArch_X86SRLI_W = 1657, + LoongArch_X86SRL_B = 1658, + LoongArch_X86SRL_D = 1659, + LoongArch_X86SRL_H = 1660, + LoongArch_X86SRL_W = 1661, + LoongArch_X86SUB_B = 1662, + LoongArch_X86SUB_D = 1663, + LoongArch_X86SUB_DU = 1664, + LoongArch_X86SUB_H = 1665, + LoongArch_X86SUB_W = 1666, + LoongArch_X86SUB_WU = 1667, + LoongArch_X86XOR_B = 1668, + LoongArch_X86XOR_D = 1669, + LoongArch_X86XOR_H = 1670, + LoongArch_X86XOR_W = 1671, + LoongArch_XOR = 1672, + LoongArch_XORI = 1673, + LoongArch_XVABSD_B = 1674, + LoongArch_XVABSD_BU = 1675, + LoongArch_XVABSD_D = 1676, + LoongArch_XVABSD_DU = 1677, + LoongArch_XVABSD_H = 1678, + LoongArch_XVABSD_HU = 1679, + LoongArch_XVABSD_W = 1680, + LoongArch_XVABSD_WU = 1681, + LoongArch_XVADDA_B = 1682, + LoongArch_XVADDA_D = 1683, + LoongArch_XVADDA_H = 1684, + LoongArch_XVADDA_W = 1685, + LoongArch_XVADDI_BU = 1686, + LoongArch_XVADDI_DU = 1687, + LoongArch_XVADDI_HU = 1688, + LoongArch_XVADDI_WU = 1689, + LoongArch_XVADDWEV_D_W = 1690, + LoongArch_XVADDWEV_D_WU = 1691, + LoongArch_XVADDWEV_D_WU_W = 1692, + LoongArch_XVADDWEV_H_B = 1693, + LoongArch_XVADDWEV_H_BU = 1694, + LoongArch_XVADDWEV_H_BU_B = 1695, + LoongArch_XVADDWEV_Q_D = 1696, + LoongArch_XVADDWEV_Q_DU = 1697, + LoongArch_XVADDWEV_Q_DU_D = 1698, + LoongArch_XVADDWEV_W_H = 1699, + LoongArch_XVADDWEV_W_HU = 1700, + LoongArch_XVADDWEV_W_HU_H = 1701, + LoongArch_XVADDWOD_D_W = 1702, + LoongArch_XVADDWOD_D_WU = 1703, + LoongArch_XVADDWOD_D_WU_W = 1704, + LoongArch_XVADDWOD_H_B = 1705, + LoongArch_XVADDWOD_H_BU = 1706, + LoongArch_XVADDWOD_H_BU_B = 1707, + LoongArch_XVADDWOD_Q_D = 1708, + LoongArch_XVADDWOD_Q_DU = 1709, + LoongArch_XVADDWOD_Q_DU_D = 1710, + LoongArch_XVADDWOD_W_H = 1711, + LoongArch_XVADDWOD_W_HU = 1712, + LoongArch_XVADDWOD_W_HU_H = 1713, + LoongArch_XVADD_B = 1714, + LoongArch_XVADD_D = 1715, + LoongArch_XVADD_H = 1716, + LoongArch_XVADD_Q = 1717, + LoongArch_XVADD_W = 1718, + LoongArch_XVANDI_B = 1719, + LoongArch_XVANDN_V = 1720, + LoongArch_XVAND_V = 1721, + LoongArch_XVAVGR_B = 1722, + LoongArch_XVAVGR_BU = 1723, + LoongArch_XVAVGR_D = 1724, + LoongArch_XVAVGR_DU = 1725, + LoongArch_XVAVGR_H = 1726, + LoongArch_XVAVGR_HU = 1727, + LoongArch_XVAVGR_W = 1728, + LoongArch_XVAVGR_WU = 1729, + LoongArch_XVAVG_B = 1730, + LoongArch_XVAVG_BU = 1731, + LoongArch_XVAVG_D = 1732, + LoongArch_XVAVG_DU = 1733, + LoongArch_XVAVG_H = 1734, + LoongArch_XVAVG_HU = 1735, + LoongArch_XVAVG_W = 1736, + LoongArch_XVAVG_WU = 1737, + LoongArch_XVBITCLRI_B = 1738, + LoongArch_XVBITCLRI_D = 1739, + LoongArch_XVBITCLRI_H = 1740, + LoongArch_XVBITCLRI_W = 1741, + LoongArch_XVBITCLR_B = 1742, + LoongArch_XVBITCLR_D = 1743, + LoongArch_XVBITCLR_H = 1744, + LoongArch_XVBITCLR_W = 1745, + LoongArch_XVBITREVI_B = 1746, + LoongArch_XVBITREVI_D = 1747, + LoongArch_XVBITREVI_H = 1748, + LoongArch_XVBITREVI_W = 1749, + LoongArch_XVBITREV_B = 1750, + LoongArch_XVBITREV_D = 1751, + LoongArch_XVBITREV_H = 1752, + LoongArch_XVBITREV_W = 1753, + LoongArch_XVBITSELI_B = 1754, + LoongArch_XVBITSEL_V = 1755, + LoongArch_XVBITSETI_B = 1756, + LoongArch_XVBITSETI_D = 1757, + LoongArch_XVBITSETI_H = 1758, + LoongArch_XVBITSETI_W = 1759, + LoongArch_XVBITSET_B = 1760, + LoongArch_XVBITSET_D = 1761, + LoongArch_XVBITSET_H = 1762, + LoongArch_XVBITSET_W = 1763, + LoongArch_XVBSLL_V = 1764, + LoongArch_XVBSRL_V = 1765, + LoongArch_XVCLO_B = 1766, + LoongArch_XVCLO_D = 1767, + LoongArch_XVCLO_H = 1768, + LoongArch_XVCLO_W = 1769, + LoongArch_XVCLZ_B = 1770, + LoongArch_XVCLZ_D = 1771, + LoongArch_XVCLZ_H = 1772, + LoongArch_XVCLZ_W = 1773, + LoongArch_XVDIV_B = 1774, + LoongArch_XVDIV_BU = 1775, + LoongArch_XVDIV_D = 1776, + LoongArch_XVDIV_DU = 1777, + LoongArch_XVDIV_H = 1778, + LoongArch_XVDIV_HU = 1779, + LoongArch_XVDIV_W = 1780, + LoongArch_XVDIV_WU = 1781, + LoongArch_XVEXTH_DU_WU = 1782, + LoongArch_XVEXTH_D_W = 1783, + LoongArch_XVEXTH_HU_BU = 1784, + LoongArch_XVEXTH_H_B = 1785, + LoongArch_XVEXTH_QU_DU = 1786, + LoongArch_XVEXTH_Q_D = 1787, + LoongArch_XVEXTH_WU_HU = 1788, + LoongArch_XVEXTH_W_H = 1789, + LoongArch_XVEXTL_QU_DU = 1790, + LoongArch_XVEXTL_Q_D = 1791, + LoongArch_XVEXTRINS_B = 1792, + LoongArch_XVEXTRINS_D = 1793, + LoongArch_XVEXTRINS_H = 1794, + LoongArch_XVEXTRINS_W = 1795, + LoongArch_XVFADD_D = 1796, + LoongArch_XVFADD_S = 1797, + LoongArch_XVFCLASS_D = 1798, + LoongArch_XVFCLASS_S = 1799, + LoongArch_XVFCMP_CAF_D = 1800, + LoongArch_XVFCMP_CAF_S = 1801, + LoongArch_XVFCMP_CEQ_D = 1802, + LoongArch_XVFCMP_CEQ_S = 1803, + LoongArch_XVFCMP_CLE_D = 1804, + LoongArch_XVFCMP_CLE_S = 1805, + LoongArch_XVFCMP_CLT_D = 1806, + LoongArch_XVFCMP_CLT_S = 1807, + LoongArch_XVFCMP_CNE_D = 1808, + LoongArch_XVFCMP_CNE_S = 1809, + LoongArch_XVFCMP_COR_D = 1810, + LoongArch_XVFCMP_COR_S = 1811, + LoongArch_XVFCMP_CUEQ_D = 1812, + LoongArch_XVFCMP_CUEQ_S = 1813, + LoongArch_XVFCMP_CULE_D = 1814, + LoongArch_XVFCMP_CULE_S = 1815, + LoongArch_XVFCMP_CULT_D = 1816, + LoongArch_XVFCMP_CULT_S = 1817, + LoongArch_XVFCMP_CUNE_D = 1818, + LoongArch_XVFCMP_CUNE_S = 1819, + LoongArch_XVFCMP_CUN_D = 1820, + LoongArch_XVFCMP_CUN_S = 1821, + LoongArch_XVFCMP_SAF_D = 1822, + LoongArch_XVFCMP_SAF_S = 1823, + LoongArch_XVFCMP_SEQ_D = 1824, + LoongArch_XVFCMP_SEQ_S = 1825, + LoongArch_XVFCMP_SLE_D = 1826, + LoongArch_XVFCMP_SLE_S = 1827, + LoongArch_XVFCMP_SLT_D = 1828, + LoongArch_XVFCMP_SLT_S = 1829, + LoongArch_XVFCMP_SNE_D = 1830, + LoongArch_XVFCMP_SNE_S = 1831, + LoongArch_XVFCMP_SOR_D = 1832, + LoongArch_XVFCMP_SOR_S = 1833, + LoongArch_XVFCMP_SUEQ_D = 1834, + LoongArch_XVFCMP_SUEQ_S = 1835, + LoongArch_XVFCMP_SULE_D = 1836, + LoongArch_XVFCMP_SULE_S = 1837, + LoongArch_XVFCMP_SULT_D = 1838, + LoongArch_XVFCMP_SULT_S = 1839, + LoongArch_XVFCMP_SUNE_D = 1840, + LoongArch_XVFCMP_SUNE_S = 1841, + LoongArch_XVFCMP_SUN_D = 1842, + LoongArch_XVFCMP_SUN_S = 1843, + LoongArch_XVFCVTH_D_S = 1844, + LoongArch_XVFCVTH_S_H = 1845, + LoongArch_XVFCVTL_D_S = 1846, + LoongArch_XVFCVTL_S_H = 1847, + LoongArch_XVFCVT_H_S = 1848, + LoongArch_XVFCVT_S_D = 1849, + LoongArch_XVFDIV_D = 1850, + LoongArch_XVFDIV_S = 1851, + LoongArch_XVFFINTH_D_W = 1852, + LoongArch_XVFFINTL_D_W = 1853, + LoongArch_XVFFINT_D_L = 1854, + LoongArch_XVFFINT_D_LU = 1855, + LoongArch_XVFFINT_S_L = 1856, + LoongArch_XVFFINT_S_W = 1857, + LoongArch_XVFFINT_S_WU = 1858, + LoongArch_XVFLOGB_D = 1859, + LoongArch_XVFLOGB_S = 1860, + LoongArch_XVFMADD_D = 1861, + LoongArch_XVFMADD_S = 1862, + LoongArch_XVFMAXA_D = 1863, + LoongArch_XVFMAXA_S = 1864, + LoongArch_XVFMAX_D = 1865, + LoongArch_XVFMAX_S = 1866, + LoongArch_XVFMINA_D = 1867, + LoongArch_XVFMINA_S = 1868, + LoongArch_XVFMIN_D = 1869, + LoongArch_XVFMIN_S = 1870, + LoongArch_XVFMSUB_D = 1871, + LoongArch_XVFMSUB_S = 1872, + LoongArch_XVFMUL_D = 1873, + LoongArch_XVFMUL_S = 1874, + LoongArch_XVFNMADD_D = 1875, + LoongArch_XVFNMADD_S = 1876, + LoongArch_XVFNMSUB_D = 1877, + LoongArch_XVFNMSUB_S = 1878, + LoongArch_XVFRECIPE_D = 1879, + LoongArch_XVFRECIPE_S = 1880, + LoongArch_XVFRECIP_D = 1881, + LoongArch_XVFRECIP_S = 1882, + LoongArch_XVFRINTRM_D = 1883, + LoongArch_XVFRINTRM_S = 1884, + LoongArch_XVFRINTRNE_D = 1885, + LoongArch_XVFRINTRNE_S = 1886, + LoongArch_XVFRINTRP_D = 1887, + LoongArch_XVFRINTRP_S = 1888, + LoongArch_XVFRINTRZ_D = 1889, + LoongArch_XVFRINTRZ_S = 1890, + LoongArch_XVFRINT_D = 1891, + LoongArch_XVFRINT_S = 1892, + LoongArch_XVFRSQRTE_D = 1893, + LoongArch_XVFRSQRTE_S = 1894, + LoongArch_XVFRSQRT_D = 1895, + LoongArch_XVFRSQRT_S = 1896, + LoongArch_XVFRSTPI_B = 1897, + LoongArch_XVFRSTPI_H = 1898, + LoongArch_XVFRSTP_B = 1899, + LoongArch_XVFRSTP_H = 1900, + LoongArch_XVFSQRT_D = 1901, + LoongArch_XVFSQRT_S = 1902, + LoongArch_XVFSUB_D = 1903, + LoongArch_XVFSUB_S = 1904, + LoongArch_XVFTINTH_L_S = 1905, + LoongArch_XVFTINTL_L_S = 1906, + LoongArch_XVFTINTRMH_L_S = 1907, + LoongArch_XVFTINTRML_L_S = 1908, + LoongArch_XVFTINTRM_L_D = 1909, + LoongArch_XVFTINTRM_W_D = 1910, + LoongArch_XVFTINTRM_W_S = 1911, + LoongArch_XVFTINTRNEH_L_S = 1912, + LoongArch_XVFTINTRNEL_L_S = 1913, + LoongArch_XVFTINTRNE_L_D = 1914, + LoongArch_XVFTINTRNE_W_D = 1915, + LoongArch_XVFTINTRNE_W_S = 1916, + LoongArch_XVFTINTRPH_L_S = 1917, + LoongArch_XVFTINTRPL_L_S = 1918, + LoongArch_XVFTINTRP_L_D = 1919, + LoongArch_XVFTINTRP_W_D = 1920, + LoongArch_XVFTINTRP_W_S = 1921, + LoongArch_XVFTINTRZH_L_S = 1922, + LoongArch_XVFTINTRZL_L_S = 1923, + LoongArch_XVFTINTRZ_LU_D = 1924, + LoongArch_XVFTINTRZ_L_D = 1925, + LoongArch_XVFTINTRZ_WU_S = 1926, + LoongArch_XVFTINTRZ_W_D = 1927, + LoongArch_XVFTINTRZ_W_S = 1928, + LoongArch_XVFTINT_LU_D = 1929, + LoongArch_XVFTINT_L_D = 1930, + LoongArch_XVFTINT_WU_S = 1931, + LoongArch_XVFTINT_W_D = 1932, + LoongArch_XVFTINT_W_S = 1933, + LoongArch_XVHADDW_DU_WU = 1934, + LoongArch_XVHADDW_D_W = 1935, + LoongArch_XVHADDW_HU_BU = 1936, + LoongArch_XVHADDW_H_B = 1937, + LoongArch_XVHADDW_QU_DU = 1938, + LoongArch_XVHADDW_Q_D = 1939, + LoongArch_XVHADDW_WU_HU = 1940, + LoongArch_XVHADDW_W_H = 1941, + LoongArch_XVHSELI_D = 1942, + LoongArch_XVHSUBW_DU_WU = 1943, + LoongArch_XVHSUBW_D_W = 1944, + LoongArch_XVHSUBW_HU_BU = 1945, + LoongArch_XVHSUBW_H_B = 1946, + LoongArch_XVHSUBW_QU_DU = 1947, + LoongArch_XVHSUBW_Q_D = 1948, + LoongArch_XVHSUBW_WU_HU = 1949, + LoongArch_XVHSUBW_W_H = 1950, + LoongArch_XVILVH_B = 1951, + LoongArch_XVILVH_D = 1952, + LoongArch_XVILVH_H = 1953, + LoongArch_XVILVH_W = 1954, + LoongArch_XVILVL_B = 1955, + LoongArch_XVILVL_D = 1956, + LoongArch_XVILVL_H = 1957, + LoongArch_XVILVL_W = 1958, + LoongArch_XVINSGR2VR_D = 1959, + LoongArch_XVINSGR2VR_W = 1960, + LoongArch_XVINSVE0_D = 1961, + LoongArch_XVINSVE0_W = 1962, + LoongArch_XVLD = 1963, + LoongArch_XVLDI = 1964, + LoongArch_XVLDREPL_B = 1965, + LoongArch_XVLDREPL_D = 1966, + LoongArch_XVLDREPL_H = 1967, + LoongArch_XVLDREPL_W = 1968, + LoongArch_XVLDX = 1969, + LoongArch_XVMADDWEV_D_W = 1970, + LoongArch_XVMADDWEV_D_WU = 1971, + LoongArch_XVMADDWEV_D_WU_W = 1972, + LoongArch_XVMADDWEV_H_B = 1973, + LoongArch_XVMADDWEV_H_BU = 1974, + LoongArch_XVMADDWEV_H_BU_B = 1975, + LoongArch_XVMADDWEV_Q_D = 1976, + LoongArch_XVMADDWEV_Q_DU = 1977, + LoongArch_XVMADDWEV_Q_DU_D = 1978, + LoongArch_XVMADDWEV_W_H = 1979, + LoongArch_XVMADDWEV_W_HU = 1980, + LoongArch_XVMADDWEV_W_HU_H = 1981, + LoongArch_XVMADDWOD_D_W = 1982, + LoongArch_XVMADDWOD_D_WU = 1983, + LoongArch_XVMADDWOD_D_WU_W = 1984, + LoongArch_XVMADDWOD_H_B = 1985, + LoongArch_XVMADDWOD_H_BU = 1986, + LoongArch_XVMADDWOD_H_BU_B = 1987, + LoongArch_XVMADDWOD_Q_D = 1988, + LoongArch_XVMADDWOD_Q_DU = 1989, + LoongArch_XVMADDWOD_Q_DU_D = 1990, + LoongArch_XVMADDWOD_W_H = 1991, + LoongArch_XVMADDWOD_W_HU = 1992, + LoongArch_XVMADDWOD_W_HU_H = 1993, + LoongArch_XVMADD_B = 1994, + LoongArch_XVMADD_D = 1995, + LoongArch_XVMADD_H = 1996, + LoongArch_XVMADD_W = 1997, + LoongArch_XVMAXI_B = 1998, + LoongArch_XVMAXI_BU = 1999, + LoongArch_XVMAXI_D = 2000, + LoongArch_XVMAXI_DU = 2001, + LoongArch_XVMAXI_H = 2002, + LoongArch_XVMAXI_HU = 2003, + LoongArch_XVMAXI_W = 2004, + LoongArch_XVMAXI_WU = 2005, + LoongArch_XVMAX_B = 2006, + LoongArch_XVMAX_BU = 2007, + LoongArch_XVMAX_D = 2008, + LoongArch_XVMAX_DU = 2009, + LoongArch_XVMAX_H = 2010, + LoongArch_XVMAX_HU = 2011, + LoongArch_XVMAX_W = 2012, + LoongArch_XVMAX_WU = 2013, + LoongArch_XVMINI_B = 2014, + LoongArch_XVMINI_BU = 2015, + LoongArch_XVMINI_D = 2016, + LoongArch_XVMINI_DU = 2017, + LoongArch_XVMINI_H = 2018, + LoongArch_XVMINI_HU = 2019, + LoongArch_XVMINI_W = 2020, + LoongArch_XVMINI_WU = 2021, + LoongArch_XVMIN_B = 2022, + LoongArch_XVMIN_BU = 2023, + LoongArch_XVMIN_D = 2024, + LoongArch_XVMIN_DU = 2025, + LoongArch_XVMIN_H = 2026, + LoongArch_XVMIN_HU = 2027, + LoongArch_XVMIN_W = 2028, + LoongArch_XVMIN_WU = 2029, + LoongArch_XVMOD_B = 2030, + LoongArch_XVMOD_BU = 2031, + LoongArch_XVMOD_D = 2032, + LoongArch_XVMOD_DU = 2033, + LoongArch_XVMOD_H = 2034, + LoongArch_XVMOD_HU = 2035, + LoongArch_XVMOD_W = 2036, + LoongArch_XVMOD_WU = 2037, + LoongArch_XVMSKGEZ_B = 2038, + LoongArch_XVMSKLTZ_B = 2039, + LoongArch_XVMSKLTZ_D = 2040, + LoongArch_XVMSKLTZ_H = 2041, + LoongArch_XVMSKLTZ_W = 2042, + LoongArch_XVMSKNZ_B = 2043, + LoongArch_XVMSUB_B = 2044, + LoongArch_XVMSUB_D = 2045, + LoongArch_XVMSUB_H = 2046, + LoongArch_XVMSUB_W = 2047, + LoongArch_XVMUH_B = 2048, + LoongArch_XVMUH_BU = 2049, + LoongArch_XVMUH_D = 2050, + LoongArch_XVMUH_DU = 2051, + LoongArch_XVMUH_H = 2052, + LoongArch_XVMUH_HU = 2053, + LoongArch_XVMUH_W = 2054, + LoongArch_XVMUH_WU = 2055, + LoongArch_XVMULWEV_D_W = 2056, + LoongArch_XVMULWEV_D_WU = 2057, + LoongArch_XVMULWEV_D_WU_W = 2058, + LoongArch_XVMULWEV_H_B = 2059, + LoongArch_XVMULWEV_H_BU = 2060, + LoongArch_XVMULWEV_H_BU_B = 2061, + LoongArch_XVMULWEV_Q_D = 2062, + LoongArch_XVMULWEV_Q_DU = 2063, + LoongArch_XVMULWEV_Q_DU_D = 2064, + LoongArch_XVMULWEV_W_H = 2065, + LoongArch_XVMULWEV_W_HU = 2066, + LoongArch_XVMULWEV_W_HU_H = 2067, + LoongArch_XVMULWOD_D_W = 2068, + LoongArch_XVMULWOD_D_WU = 2069, + LoongArch_XVMULWOD_D_WU_W = 2070, + LoongArch_XVMULWOD_H_B = 2071, + LoongArch_XVMULWOD_H_BU = 2072, + LoongArch_XVMULWOD_H_BU_B = 2073, + LoongArch_XVMULWOD_Q_D = 2074, + LoongArch_XVMULWOD_Q_DU = 2075, + LoongArch_XVMULWOD_Q_DU_D = 2076, + LoongArch_XVMULWOD_W_H = 2077, + LoongArch_XVMULWOD_W_HU = 2078, + LoongArch_XVMULWOD_W_HU_H = 2079, + LoongArch_XVMUL_B = 2080, + LoongArch_XVMUL_D = 2081, + LoongArch_XVMUL_H = 2082, + LoongArch_XVMUL_W = 2083, + LoongArch_XVNEG_B = 2084, + LoongArch_XVNEG_D = 2085, + LoongArch_XVNEG_H = 2086, + LoongArch_XVNEG_W = 2087, + LoongArch_XVNORI_B = 2088, + LoongArch_XVNOR_V = 2089, + LoongArch_XVORI_B = 2090, + LoongArch_XVORN_V = 2091, + LoongArch_XVOR_V = 2092, + LoongArch_XVPACKEV_B = 2093, + LoongArch_XVPACKEV_D = 2094, + LoongArch_XVPACKEV_H = 2095, + LoongArch_XVPACKEV_W = 2096, + LoongArch_XVPACKOD_B = 2097, + LoongArch_XVPACKOD_D = 2098, + LoongArch_XVPACKOD_H = 2099, + LoongArch_XVPACKOD_W = 2100, + LoongArch_XVPCNT_B = 2101, + LoongArch_XVPCNT_D = 2102, + LoongArch_XVPCNT_H = 2103, + LoongArch_XVPCNT_W = 2104, + LoongArch_XVPERMI_D = 2105, + LoongArch_XVPERMI_Q = 2106, + LoongArch_XVPERMI_W = 2107, + LoongArch_XVPERM_W = 2108, + LoongArch_XVPICKEV_B = 2109, + LoongArch_XVPICKEV_D = 2110, + LoongArch_XVPICKEV_H = 2111, + LoongArch_XVPICKEV_W = 2112, + LoongArch_XVPICKOD_B = 2113, + LoongArch_XVPICKOD_D = 2114, + LoongArch_XVPICKOD_H = 2115, + LoongArch_XVPICKOD_W = 2116, + LoongArch_XVPICKVE2GR_D = 2117, + LoongArch_XVPICKVE2GR_DU = 2118, + LoongArch_XVPICKVE2GR_W = 2119, + LoongArch_XVPICKVE2GR_WU = 2120, + LoongArch_XVPICKVE_D = 2121, + LoongArch_XVPICKVE_W = 2122, + LoongArch_XVREPL128VEI_B = 2123, + LoongArch_XVREPL128VEI_D = 2124, + LoongArch_XVREPL128VEI_H = 2125, + LoongArch_XVREPL128VEI_W = 2126, + LoongArch_XVREPLGR2VR_B = 2127, + LoongArch_XVREPLGR2VR_D = 2128, + LoongArch_XVREPLGR2VR_H = 2129, + LoongArch_XVREPLGR2VR_W = 2130, + LoongArch_XVREPLVE0_B = 2131, + LoongArch_XVREPLVE0_D = 2132, + LoongArch_XVREPLVE0_H = 2133, + LoongArch_XVREPLVE0_Q = 2134, + LoongArch_XVREPLVE0_W = 2135, + LoongArch_XVREPLVE_B = 2136, + LoongArch_XVREPLVE_D = 2137, + LoongArch_XVREPLVE_H = 2138, + LoongArch_XVREPLVE_W = 2139, + LoongArch_XVROTRI_B = 2140, + LoongArch_XVROTRI_D = 2141, + LoongArch_XVROTRI_H = 2142, + LoongArch_XVROTRI_W = 2143, + LoongArch_XVROTR_B = 2144, + LoongArch_XVROTR_D = 2145, + LoongArch_XVROTR_H = 2146, + LoongArch_XVROTR_W = 2147, + LoongArch_XVSADD_B = 2148, + LoongArch_XVSADD_BU = 2149, + LoongArch_XVSADD_D = 2150, + LoongArch_XVSADD_DU = 2151, + LoongArch_XVSADD_H = 2152, + LoongArch_XVSADD_HU = 2153, + LoongArch_XVSADD_W = 2154, + LoongArch_XVSADD_WU = 2155, + LoongArch_XVSAT_B = 2156, + LoongArch_XVSAT_BU = 2157, + LoongArch_XVSAT_D = 2158, + LoongArch_XVSAT_DU = 2159, + LoongArch_XVSAT_H = 2160, + LoongArch_XVSAT_HU = 2161, + LoongArch_XVSAT_W = 2162, + LoongArch_XVSAT_WU = 2163, + LoongArch_XVSEQI_B = 2164, + LoongArch_XVSEQI_D = 2165, + LoongArch_XVSEQI_H = 2166, + LoongArch_XVSEQI_W = 2167, + LoongArch_XVSEQ_B = 2168, + LoongArch_XVSEQ_D = 2169, + LoongArch_XVSEQ_H = 2170, + LoongArch_XVSEQ_W = 2171, + LoongArch_XVSETALLNEZ_B = 2172, + LoongArch_XVSETALLNEZ_D = 2173, + LoongArch_XVSETALLNEZ_H = 2174, + LoongArch_XVSETALLNEZ_W = 2175, + LoongArch_XVSETANYEQZ_B = 2176, + LoongArch_XVSETANYEQZ_D = 2177, + LoongArch_XVSETANYEQZ_H = 2178, + LoongArch_XVSETANYEQZ_W = 2179, + LoongArch_XVSETEQZ_V = 2180, + LoongArch_XVSETNEZ_V = 2181, + LoongArch_XVSHUF4I_B = 2182, + LoongArch_XVSHUF4I_D = 2183, + LoongArch_XVSHUF4I_H = 2184, + LoongArch_XVSHUF4I_W = 2185, + LoongArch_XVSHUF_B = 2186, + LoongArch_XVSHUF_D = 2187, + LoongArch_XVSHUF_H = 2188, + LoongArch_XVSHUF_W = 2189, + LoongArch_XVSIGNCOV_B = 2190, + LoongArch_XVSIGNCOV_D = 2191, + LoongArch_XVSIGNCOV_H = 2192, + LoongArch_XVSIGNCOV_W = 2193, + LoongArch_XVSLEI_B = 2194, + LoongArch_XVSLEI_BU = 2195, + LoongArch_XVSLEI_D = 2196, + LoongArch_XVSLEI_DU = 2197, + LoongArch_XVSLEI_H = 2198, + LoongArch_XVSLEI_HU = 2199, + LoongArch_XVSLEI_W = 2200, + LoongArch_XVSLEI_WU = 2201, + LoongArch_XVSLE_B = 2202, + LoongArch_XVSLE_BU = 2203, + LoongArch_XVSLE_D = 2204, + LoongArch_XVSLE_DU = 2205, + LoongArch_XVSLE_H = 2206, + LoongArch_XVSLE_HU = 2207, + LoongArch_XVSLE_W = 2208, + LoongArch_XVSLE_WU = 2209, + LoongArch_XVSLLI_B = 2210, + LoongArch_XVSLLI_D = 2211, + LoongArch_XVSLLI_H = 2212, + LoongArch_XVSLLI_W = 2213, + LoongArch_XVSLLWIL_DU_WU = 2214, + LoongArch_XVSLLWIL_D_W = 2215, + LoongArch_XVSLLWIL_HU_BU = 2216, + LoongArch_XVSLLWIL_H_B = 2217, + LoongArch_XVSLLWIL_WU_HU = 2218, + LoongArch_XVSLLWIL_W_H = 2219, + LoongArch_XVSLL_B = 2220, + LoongArch_XVSLL_D = 2221, + LoongArch_XVSLL_H = 2222, + LoongArch_XVSLL_W = 2223, + LoongArch_XVSLTI_B = 2224, + LoongArch_XVSLTI_BU = 2225, + LoongArch_XVSLTI_D = 2226, + LoongArch_XVSLTI_DU = 2227, + LoongArch_XVSLTI_H = 2228, + LoongArch_XVSLTI_HU = 2229, + LoongArch_XVSLTI_W = 2230, + LoongArch_XVSLTI_WU = 2231, + LoongArch_XVSLT_B = 2232, + LoongArch_XVSLT_BU = 2233, + LoongArch_XVSLT_D = 2234, + LoongArch_XVSLT_DU = 2235, + LoongArch_XVSLT_H = 2236, + LoongArch_XVSLT_HU = 2237, + LoongArch_XVSLT_W = 2238, + LoongArch_XVSLT_WU = 2239, + LoongArch_XVSRAI_B = 2240, + LoongArch_XVSRAI_D = 2241, + LoongArch_XVSRAI_H = 2242, + LoongArch_XVSRAI_W = 2243, + LoongArch_XVSRANI_B_H = 2244, + LoongArch_XVSRANI_D_Q = 2245, + LoongArch_XVSRANI_H_W = 2246, + LoongArch_XVSRANI_W_D = 2247, + LoongArch_XVSRAN_B_H = 2248, + LoongArch_XVSRAN_H_W = 2249, + LoongArch_XVSRAN_W_D = 2250, + LoongArch_XVSRARI_B = 2251, + LoongArch_XVSRARI_D = 2252, + LoongArch_XVSRARI_H = 2253, + LoongArch_XVSRARI_W = 2254, + LoongArch_XVSRARNI_B_H = 2255, + LoongArch_XVSRARNI_D_Q = 2256, + LoongArch_XVSRARNI_H_W = 2257, + LoongArch_XVSRARNI_W_D = 2258, + LoongArch_XVSRARN_B_H = 2259, + LoongArch_XVSRARN_H_W = 2260, + LoongArch_XVSRARN_W_D = 2261, + LoongArch_XVSRAR_B = 2262, + LoongArch_XVSRAR_D = 2263, + LoongArch_XVSRAR_H = 2264, + LoongArch_XVSRAR_W = 2265, + LoongArch_XVSRA_B = 2266, + LoongArch_XVSRA_D = 2267, + LoongArch_XVSRA_H = 2268, + LoongArch_XVSRA_W = 2269, + LoongArch_XVSRLI_B = 2270, + LoongArch_XVSRLI_D = 2271, + LoongArch_XVSRLI_H = 2272, + LoongArch_XVSRLI_W = 2273, + LoongArch_XVSRLNI_B_H = 2274, + LoongArch_XVSRLNI_D_Q = 2275, + LoongArch_XVSRLNI_H_W = 2276, + LoongArch_XVSRLNI_W_D = 2277, + LoongArch_XVSRLN_B_H = 2278, + LoongArch_XVSRLN_H_W = 2279, + LoongArch_XVSRLN_W_D = 2280, + LoongArch_XVSRLRI_B = 2281, + LoongArch_XVSRLRI_D = 2282, + LoongArch_XVSRLRI_H = 2283, + LoongArch_XVSRLRI_W = 2284, + LoongArch_XVSRLRNI_B_H = 2285, + LoongArch_XVSRLRNI_D_Q = 2286, + LoongArch_XVSRLRNI_H_W = 2287, + LoongArch_XVSRLRNI_W_D = 2288, + LoongArch_XVSRLRN_B_H = 2289, + LoongArch_XVSRLRN_H_W = 2290, + LoongArch_XVSRLRN_W_D = 2291, + LoongArch_XVSRLR_B = 2292, + LoongArch_XVSRLR_D = 2293, + LoongArch_XVSRLR_H = 2294, + LoongArch_XVSRLR_W = 2295, + LoongArch_XVSRL_B = 2296, + LoongArch_XVSRL_D = 2297, + LoongArch_XVSRL_H = 2298, + LoongArch_XVSRL_W = 2299, + LoongArch_XVSSRANI_BU_H = 2300, + LoongArch_XVSSRANI_B_H = 2301, + LoongArch_XVSSRANI_DU_Q = 2302, + LoongArch_XVSSRANI_D_Q = 2303, + LoongArch_XVSSRANI_HU_W = 2304, + LoongArch_XVSSRANI_H_W = 2305, + LoongArch_XVSSRANI_WU_D = 2306, + LoongArch_XVSSRANI_W_D = 2307, + LoongArch_XVSSRAN_BU_H = 2308, + LoongArch_XVSSRAN_B_H = 2309, + LoongArch_XVSSRAN_HU_W = 2310, + LoongArch_XVSSRAN_H_W = 2311, + LoongArch_XVSSRAN_WU_D = 2312, + LoongArch_XVSSRAN_W_D = 2313, + LoongArch_XVSSRARNI_BU_H = 2314, + LoongArch_XVSSRARNI_B_H = 2315, + LoongArch_XVSSRARNI_DU_Q = 2316, + LoongArch_XVSSRARNI_D_Q = 2317, + LoongArch_XVSSRARNI_HU_W = 2318, + LoongArch_XVSSRARNI_H_W = 2319, + LoongArch_XVSSRARNI_WU_D = 2320, + LoongArch_XVSSRARNI_W_D = 2321, + LoongArch_XVSSRARN_BU_H = 2322, + LoongArch_XVSSRARN_B_H = 2323, + LoongArch_XVSSRARN_HU_W = 2324, + LoongArch_XVSSRARN_H_W = 2325, + LoongArch_XVSSRARN_WU_D = 2326, + LoongArch_XVSSRARN_W_D = 2327, + LoongArch_XVSSRLNI_BU_H = 2328, + LoongArch_XVSSRLNI_B_H = 2329, + LoongArch_XVSSRLNI_DU_Q = 2330, + LoongArch_XVSSRLNI_D_Q = 2331, + LoongArch_XVSSRLNI_HU_W = 2332, + LoongArch_XVSSRLNI_H_W = 2333, + LoongArch_XVSSRLNI_WU_D = 2334, + LoongArch_XVSSRLNI_W_D = 2335, + LoongArch_XVSSRLN_BU_H = 2336, + LoongArch_XVSSRLN_B_H = 2337, + LoongArch_XVSSRLN_HU_W = 2338, + LoongArch_XVSSRLN_H_W = 2339, + LoongArch_XVSSRLN_WU_D = 2340, + LoongArch_XVSSRLN_W_D = 2341, + LoongArch_XVSSRLRNI_BU_H = 2342, + LoongArch_XVSSRLRNI_B_H = 2343, + LoongArch_XVSSRLRNI_DU_Q = 2344, + LoongArch_XVSSRLRNI_D_Q = 2345, + LoongArch_XVSSRLRNI_HU_W = 2346, + LoongArch_XVSSRLRNI_H_W = 2347, + LoongArch_XVSSRLRNI_WU_D = 2348, + LoongArch_XVSSRLRNI_W_D = 2349, + LoongArch_XVSSRLRN_BU_H = 2350, + LoongArch_XVSSRLRN_B_H = 2351, + LoongArch_XVSSRLRN_HU_W = 2352, + LoongArch_XVSSRLRN_H_W = 2353, + LoongArch_XVSSRLRN_WU_D = 2354, + LoongArch_XVSSRLRN_W_D = 2355, + LoongArch_XVSSUB_B = 2356, + LoongArch_XVSSUB_BU = 2357, + LoongArch_XVSSUB_D = 2358, + LoongArch_XVSSUB_DU = 2359, + LoongArch_XVSSUB_H = 2360, + LoongArch_XVSSUB_HU = 2361, + LoongArch_XVSSUB_W = 2362, + LoongArch_XVSSUB_WU = 2363, + LoongArch_XVST = 2364, + LoongArch_XVSTELM_B = 2365, + LoongArch_XVSTELM_D = 2366, + LoongArch_XVSTELM_H = 2367, + LoongArch_XVSTELM_W = 2368, + LoongArch_XVSTX = 2369, + LoongArch_XVSUBI_BU = 2370, + LoongArch_XVSUBI_DU = 2371, + LoongArch_XVSUBI_HU = 2372, + LoongArch_XVSUBI_WU = 2373, + LoongArch_XVSUBWEV_D_W = 2374, + LoongArch_XVSUBWEV_D_WU = 2375, + LoongArch_XVSUBWEV_H_B = 2376, + LoongArch_XVSUBWEV_H_BU = 2377, + LoongArch_XVSUBWEV_Q_D = 2378, + LoongArch_XVSUBWEV_Q_DU = 2379, + LoongArch_XVSUBWEV_W_H = 2380, + LoongArch_XVSUBWEV_W_HU = 2381, + LoongArch_XVSUBWOD_D_W = 2382, + LoongArch_XVSUBWOD_D_WU = 2383, + LoongArch_XVSUBWOD_H_B = 2384, + LoongArch_XVSUBWOD_H_BU = 2385, + LoongArch_XVSUBWOD_Q_D = 2386, + LoongArch_XVSUBWOD_Q_DU = 2387, + LoongArch_XVSUBWOD_W_H = 2388, + LoongArch_XVSUBWOD_W_HU = 2389, + LoongArch_XVSUB_B = 2390, + LoongArch_XVSUB_D = 2391, + LoongArch_XVSUB_H = 2392, + LoongArch_XVSUB_Q = 2393, + LoongArch_XVSUB_W = 2394, + LoongArch_XVXORI_B = 2395, + LoongArch_XVXOR_V = 2396, + INSTRUCTION_LIST_END = 2397 + }; + +#endif // GET_INSTRINFO_ENUM + +#if defined(GET_INSTRINFO_MC_DESC) || defined(GET_INSTRINFO_CTOR_DTOR) +typedef struct LoongArchInstrTable { + MCInstrDesc Insts[2397]; + MCOperandInfo OperandInfo[407]; + MCPhysReg ImplicitOps[12]; +} LoongArchInstrTable; + +#endif // defined(GET_INSTRINFO_MC_DESC) || defined(GET_INSTRINFO_CTOR_DTOR) + +#ifdef GET_INSTRINFO_MC_DESC +#undef GET_INSTRINFO_MC_DESC + +static const unsigned LoongArchImpOpBase = sizeof(MCOperandInfo) / (sizeof(MCPhysReg)); + +static const LoongArchInstrTable LoongArchDescs = { + { + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2396 = XVXOR_V + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2395 = XVXORI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2394 = XVSUB_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2393 = XVSUB_Q + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2392 = XVSUB_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2391 = XVSUB_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2390 = XVSUB_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2389 = XVSUBWOD_W_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2388 = XVSUBWOD_W_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2387 = XVSUBWOD_Q_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2386 = XVSUBWOD_Q_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2385 = XVSUBWOD_H_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2384 = XVSUBWOD_H_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2383 = XVSUBWOD_D_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2382 = XVSUBWOD_D_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2381 = XVSUBWEV_W_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2380 = XVSUBWEV_W_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2379 = XVSUBWEV_Q_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2378 = XVSUBWEV_Q_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2377 = XVSUBWEV_H_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2376 = XVSUBWEV_H_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2375 = XVSUBWEV_D_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2374 = XVSUBWEV_D_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2373 = XVSUBI_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2372 = XVSUBI_HU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2371 = XVSUBI_DU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2370 = XVSUBI_BU + { 3, &LoongArchDescs.OperandInfo[390] }, // Inst #2369 = XVSTX + { 4, &LoongArchDescs.OperandInfo[403] }, // Inst #2368 = XVSTELM_W + { 4, &LoongArchDescs.OperandInfo[403] }, // Inst #2367 = XVSTELM_H + { 4, &LoongArchDescs.OperandInfo[403] }, // Inst #2366 = XVSTELM_D + { 4, &LoongArchDescs.OperandInfo[403] }, // Inst #2365 = XVSTELM_B + { 3, &LoongArchDescs.OperandInfo[387] }, // Inst #2364 = XVST + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2363 = XVSSUB_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2362 = XVSSUB_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2361 = XVSSUB_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2360 = XVSSUB_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2359 = XVSSUB_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2358 = XVSSUB_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2357 = XVSSUB_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2356 = XVSSUB_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2355 = XVSSRLRN_W_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2354 = XVSSRLRN_WU_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2353 = XVSSRLRN_H_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2352 = XVSSRLRN_HU_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2351 = XVSSRLRN_B_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2350 = XVSSRLRN_BU_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2349 = XVSSRLRNI_W_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2348 = XVSSRLRNI_WU_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2347 = XVSSRLRNI_H_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2346 = XVSSRLRNI_HU_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2345 = XVSSRLRNI_D_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2344 = XVSSRLRNI_DU_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2343 = XVSSRLRNI_B_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2342 = XVSSRLRNI_BU_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2341 = XVSSRLN_W_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2340 = XVSSRLN_WU_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2339 = XVSSRLN_H_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2338 = XVSSRLN_HU_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2337 = XVSSRLN_B_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2336 = XVSSRLN_BU_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2335 = XVSSRLNI_W_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2334 = XVSSRLNI_WU_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2333 = XVSSRLNI_H_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2332 = XVSSRLNI_HU_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2331 = XVSSRLNI_D_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2330 = XVSSRLNI_DU_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2329 = XVSSRLNI_B_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2328 = XVSSRLNI_BU_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2327 = XVSSRARN_W_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2326 = XVSSRARN_WU_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2325 = XVSSRARN_H_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2324 = XVSSRARN_HU_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2323 = XVSSRARN_B_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2322 = XVSSRARN_BU_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2321 = XVSSRARNI_W_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2320 = XVSSRARNI_WU_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2319 = XVSSRARNI_H_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2318 = XVSSRARNI_HU_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2317 = XVSSRARNI_D_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2316 = XVSSRARNI_DU_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2315 = XVSSRARNI_B_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2314 = XVSSRARNI_BU_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2313 = XVSSRAN_W_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2312 = XVSSRAN_WU_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2311 = XVSSRAN_H_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2310 = XVSSRAN_HU_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2309 = XVSSRAN_B_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2308 = XVSSRAN_BU_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2307 = XVSSRANI_W_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2306 = XVSSRANI_WU_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2305 = XVSSRANI_H_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2304 = XVSSRANI_HU_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2303 = XVSSRANI_D_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2302 = XVSSRANI_DU_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2301 = XVSSRANI_B_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2300 = XVSSRANI_BU_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2299 = XVSRL_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2298 = XVSRL_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2297 = XVSRL_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2296 = XVSRL_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2295 = XVSRLR_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2294 = XVSRLR_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2293 = XVSRLR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2292 = XVSRLR_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2291 = XVSRLRN_W_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2290 = XVSRLRN_H_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2289 = XVSRLRN_B_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2288 = XVSRLRNI_W_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2287 = XVSRLRNI_H_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2286 = XVSRLRNI_D_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2285 = XVSRLRNI_B_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2284 = XVSRLRI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2283 = XVSRLRI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2282 = XVSRLRI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2281 = XVSRLRI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2280 = XVSRLN_W_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2279 = XVSRLN_H_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2278 = XVSRLN_B_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2277 = XVSRLNI_W_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2276 = XVSRLNI_H_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2275 = XVSRLNI_D_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2274 = XVSRLNI_B_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2273 = XVSRLI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2272 = XVSRLI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2271 = XVSRLI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2270 = XVSRLI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2269 = XVSRA_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2268 = XVSRA_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2267 = XVSRA_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2266 = XVSRA_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2265 = XVSRAR_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2264 = XVSRAR_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2263 = XVSRAR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2262 = XVSRAR_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2261 = XVSRARN_W_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2260 = XVSRARN_H_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2259 = XVSRARN_B_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2258 = XVSRARNI_W_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2257 = XVSRARNI_H_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2256 = XVSRARNI_D_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2255 = XVSRARNI_B_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2254 = XVSRARI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2253 = XVSRARI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2252 = XVSRARI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2251 = XVSRARI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2250 = XVSRAN_W_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2249 = XVSRAN_H_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2248 = XVSRAN_B_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2247 = XVSRANI_W_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2246 = XVSRANI_H_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2245 = XVSRANI_D_Q + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2244 = XVSRANI_B_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2243 = XVSRAI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2242 = XVSRAI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2241 = XVSRAI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2240 = XVSRAI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2239 = XVSLT_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2238 = XVSLT_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2237 = XVSLT_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2236 = XVSLT_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2235 = XVSLT_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2234 = XVSLT_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2233 = XVSLT_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2232 = XVSLT_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2231 = XVSLTI_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2230 = XVSLTI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2229 = XVSLTI_HU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2228 = XVSLTI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2227 = XVSLTI_DU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2226 = XVSLTI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2225 = XVSLTI_BU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2224 = XVSLTI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2223 = XVSLL_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2222 = XVSLL_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2221 = XVSLL_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2220 = XVSLL_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2219 = XVSLLWIL_W_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2218 = XVSLLWIL_WU_HU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2217 = XVSLLWIL_H_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2216 = XVSLLWIL_HU_BU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2215 = XVSLLWIL_D_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2214 = XVSLLWIL_DU_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2213 = XVSLLI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2212 = XVSLLI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2211 = XVSLLI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2210 = XVSLLI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2209 = XVSLE_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2208 = XVSLE_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2207 = XVSLE_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2206 = XVSLE_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2205 = XVSLE_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2204 = XVSLE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2203 = XVSLE_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2202 = XVSLE_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2201 = XVSLEI_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2200 = XVSLEI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2199 = XVSLEI_HU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2198 = XVSLEI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2197 = XVSLEI_DU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2196 = XVSLEI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2195 = XVSLEI_BU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2194 = XVSLEI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2193 = XVSIGNCOV_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2192 = XVSIGNCOV_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2191 = XVSIGNCOV_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2190 = XVSIGNCOV_B + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #2189 = XVSHUF_W + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #2188 = XVSHUF_H + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #2187 = XVSHUF_D + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #2186 = XVSHUF_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2185 = XVSHUF4I_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2184 = XVSHUF4I_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2183 = XVSHUF4I_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2182 = XVSHUF4I_B + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2181 = XVSETNEZ_V + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2180 = XVSETEQZ_V + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2179 = XVSETANYEQZ_W + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2178 = XVSETANYEQZ_H + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2177 = XVSETANYEQZ_D + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2176 = XVSETANYEQZ_B + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2175 = XVSETALLNEZ_W + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2174 = XVSETALLNEZ_H + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2173 = XVSETALLNEZ_D + { 2, &LoongArchDescs.OperandInfo[401] }, // Inst #2172 = XVSETALLNEZ_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2171 = XVSEQ_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2170 = XVSEQ_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2169 = XVSEQ_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2168 = XVSEQ_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2167 = XVSEQI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2166 = XVSEQI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2165 = XVSEQI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2164 = XVSEQI_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2163 = XVSAT_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2162 = XVSAT_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2161 = XVSAT_HU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2160 = XVSAT_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2159 = XVSAT_DU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2158 = XVSAT_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2157 = XVSAT_BU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2156 = XVSAT_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2155 = XVSADD_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2154 = XVSADD_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2153 = XVSADD_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2152 = XVSADD_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2151 = XVSADD_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2150 = XVSADD_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2149 = XVSADD_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2148 = XVSADD_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2147 = XVROTR_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2146 = XVROTR_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2145 = XVROTR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2144 = XVROTR_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2143 = XVROTRI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2142 = XVROTRI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2141 = XVROTRI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2140 = XVROTRI_B + { 3, &LoongArchDescs.OperandInfo[398] }, // Inst #2139 = XVREPLVE_W + { 3, &LoongArchDescs.OperandInfo[398] }, // Inst #2138 = XVREPLVE_H + { 3, &LoongArchDescs.OperandInfo[398] }, // Inst #2137 = XVREPLVE_D + { 3, &LoongArchDescs.OperandInfo[398] }, // Inst #2136 = XVREPLVE_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2135 = XVREPLVE0_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2134 = XVREPLVE0_Q + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2133 = XVREPLVE0_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2132 = XVREPLVE0_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2131 = XVREPLVE0_B + { 2, &LoongArchDescs.OperandInfo[396] }, // Inst #2130 = XVREPLGR2VR_W + { 2, &LoongArchDescs.OperandInfo[396] }, // Inst #2129 = XVREPLGR2VR_H + { 2, &LoongArchDescs.OperandInfo[396] }, // Inst #2128 = XVREPLGR2VR_D + { 2, &LoongArchDescs.OperandInfo[396] }, // Inst #2127 = XVREPLGR2VR_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2126 = XVREPL128VEI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2125 = XVREPL128VEI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2124 = XVREPL128VEI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2123 = XVREPL128VEI_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2122 = XVPICKVE_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2121 = XVPICKVE_D + { 3, &LoongArchDescs.OperandInfo[393] }, // Inst #2120 = XVPICKVE2GR_WU + { 3, &LoongArchDescs.OperandInfo[393] }, // Inst #2119 = XVPICKVE2GR_W + { 3, &LoongArchDescs.OperandInfo[393] }, // Inst #2118 = XVPICKVE2GR_DU + { 3, &LoongArchDescs.OperandInfo[393] }, // Inst #2117 = XVPICKVE2GR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2116 = XVPICKOD_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2115 = XVPICKOD_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2114 = XVPICKOD_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2113 = XVPICKOD_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2112 = XVPICKEV_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2111 = XVPICKEV_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2110 = XVPICKEV_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2109 = XVPICKEV_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2108 = XVPERM_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2107 = XVPERMI_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #2106 = XVPERMI_Q + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2105 = XVPERMI_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2104 = XVPCNT_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2103 = XVPCNT_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2102 = XVPCNT_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2101 = XVPCNT_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2100 = XVPACKOD_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2099 = XVPACKOD_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2098 = XVPACKOD_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2097 = XVPACKOD_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2096 = XVPACKEV_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2095 = XVPACKEV_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2094 = XVPACKEV_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2093 = XVPACKEV_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2092 = XVOR_V + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2091 = XVORN_V + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2090 = XVORI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2089 = XVNOR_V + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2088 = XVNORI_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2087 = XVNEG_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2086 = XVNEG_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2085 = XVNEG_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2084 = XVNEG_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2083 = XVMUL_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2082 = XVMUL_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2081 = XVMUL_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2080 = XVMUL_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2079 = XVMULWOD_W_HU_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2078 = XVMULWOD_W_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2077 = XVMULWOD_W_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2076 = XVMULWOD_Q_DU_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2075 = XVMULWOD_Q_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2074 = XVMULWOD_Q_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2073 = XVMULWOD_H_BU_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2072 = XVMULWOD_H_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2071 = XVMULWOD_H_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2070 = XVMULWOD_D_WU_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2069 = XVMULWOD_D_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2068 = XVMULWOD_D_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2067 = XVMULWEV_W_HU_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2066 = XVMULWEV_W_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2065 = XVMULWEV_W_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2064 = XVMULWEV_Q_DU_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2063 = XVMULWEV_Q_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2062 = XVMULWEV_Q_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2061 = XVMULWEV_H_BU_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2060 = XVMULWEV_H_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2059 = XVMULWEV_H_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2058 = XVMULWEV_D_WU_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2057 = XVMULWEV_D_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2056 = XVMULWEV_D_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2055 = XVMUH_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2054 = XVMUH_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2053 = XVMUH_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2052 = XVMUH_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2051 = XVMUH_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2050 = XVMUH_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2049 = XVMUH_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2048 = XVMUH_B + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #2047 = XVMSUB_W + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #2046 = XVMSUB_H + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #2045 = XVMSUB_D + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #2044 = XVMSUB_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2043 = XVMSKNZ_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2042 = XVMSKLTZ_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2041 = XVMSKLTZ_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2040 = XVMSKLTZ_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2039 = XVMSKLTZ_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #2038 = XVMSKGEZ_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2037 = XVMOD_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2036 = XVMOD_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2035 = XVMOD_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2034 = XVMOD_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2033 = XVMOD_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2032 = XVMOD_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2031 = XVMOD_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2030 = XVMOD_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2029 = XVMIN_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2028 = XVMIN_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2027 = XVMIN_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2026 = XVMIN_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2025 = XVMIN_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2024 = XVMIN_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2023 = XVMIN_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2022 = XVMIN_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2021 = XVMINI_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2020 = XVMINI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2019 = XVMINI_HU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2018 = XVMINI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2017 = XVMINI_DU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2016 = XVMINI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2015 = XVMINI_BU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2014 = XVMINI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2013 = XVMAX_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2012 = XVMAX_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2011 = XVMAX_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2010 = XVMAX_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2009 = XVMAX_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2008 = XVMAX_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2007 = XVMAX_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #2006 = XVMAX_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2005 = XVMAXI_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2004 = XVMAXI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2003 = XVMAXI_HU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2002 = XVMAXI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2001 = XVMAXI_DU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #2000 = XVMAXI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1999 = XVMAXI_BU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1998 = XVMAXI_B + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1997 = XVMADD_W + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1996 = XVMADD_H + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1995 = XVMADD_D + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1994 = XVMADD_B + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1993 = XVMADDWOD_W_HU_H + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1992 = XVMADDWOD_W_HU + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1991 = XVMADDWOD_W_H + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1990 = XVMADDWOD_Q_DU_D + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1989 = XVMADDWOD_Q_DU + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1988 = XVMADDWOD_Q_D + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1987 = XVMADDWOD_H_BU_B + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1986 = XVMADDWOD_H_BU + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1985 = XVMADDWOD_H_B + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1984 = XVMADDWOD_D_WU_W + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1983 = XVMADDWOD_D_WU + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1982 = XVMADDWOD_D_W + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1981 = XVMADDWEV_W_HU_H + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1980 = XVMADDWEV_W_HU + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1979 = XVMADDWEV_W_H + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1978 = XVMADDWEV_Q_DU_D + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1977 = XVMADDWEV_Q_DU + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1976 = XVMADDWEV_Q_D + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1975 = XVMADDWEV_H_BU_B + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1974 = XVMADDWEV_H_BU + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1973 = XVMADDWEV_H_B + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1972 = XVMADDWEV_D_WU_W + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1971 = XVMADDWEV_D_WU + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1970 = XVMADDWEV_D_W + { 3, &LoongArchDescs.OperandInfo[390] }, // Inst #1969 = XVLDX + { 3, &LoongArchDescs.OperandInfo[387] }, // Inst #1968 = XVLDREPL_W + { 3, &LoongArchDescs.OperandInfo[387] }, // Inst #1967 = XVLDREPL_H + { 3, &LoongArchDescs.OperandInfo[387] }, // Inst #1966 = XVLDREPL_D + { 3, &LoongArchDescs.OperandInfo[387] }, // Inst #1965 = XVLDREPL_B + { 2, &LoongArchDescs.OperandInfo[200] }, // Inst #1964 = XVLDI + { 3, &LoongArchDescs.OperandInfo[387] }, // Inst #1963 = XVLD + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1962 = XVINSVE0_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1961 = XVINSVE0_D + { 4, &LoongArchDescs.OperandInfo[196] }, // Inst #1960 = XVINSGR2VR_W + { 4, &LoongArchDescs.OperandInfo[196] }, // Inst #1959 = XVINSGR2VR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1958 = XVILVL_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1957 = XVILVL_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1956 = XVILVL_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1955 = XVILVL_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1954 = XVILVH_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1953 = XVILVH_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1952 = XVILVH_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1951 = XVILVH_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1950 = XVHSUBW_W_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1949 = XVHSUBW_WU_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1948 = XVHSUBW_Q_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1947 = XVHSUBW_QU_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1946 = XVHSUBW_H_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1945 = XVHSUBW_HU_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1944 = XVHSUBW_D_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1943 = XVHSUBW_DU_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1942 = XVHSELI_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1941 = XVHADDW_W_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1940 = XVHADDW_WU_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1939 = XVHADDW_Q_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1938 = XVHADDW_QU_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1937 = XVHADDW_H_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1936 = XVHADDW_HU_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1935 = XVHADDW_D_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1934 = XVHADDW_DU_WU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1933 = XVFTINT_W_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1932 = XVFTINT_W_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1931 = XVFTINT_WU_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1930 = XVFTINT_L_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1929 = XVFTINT_LU_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1928 = XVFTINTRZ_W_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1927 = XVFTINTRZ_W_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1926 = XVFTINTRZ_WU_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1925 = XVFTINTRZ_L_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1924 = XVFTINTRZ_LU_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1923 = XVFTINTRZL_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1922 = XVFTINTRZH_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1921 = XVFTINTRP_W_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1920 = XVFTINTRP_W_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1919 = XVFTINTRP_L_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1918 = XVFTINTRPL_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1917 = XVFTINTRPH_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1916 = XVFTINTRNE_W_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1915 = XVFTINTRNE_W_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1914 = XVFTINTRNE_L_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1913 = XVFTINTRNEL_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1912 = XVFTINTRNEH_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1911 = XVFTINTRM_W_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1910 = XVFTINTRM_W_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1909 = XVFTINTRM_L_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1908 = XVFTINTRML_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1907 = XVFTINTRMH_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1906 = XVFTINTL_L_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1905 = XVFTINTH_L_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1904 = XVFSUB_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1903 = XVFSUB_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1902 = XVFSQRT_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1901 = XVFSQRT_D + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1900 = XVFRSTP_H + { 4, &LoongArchDescs.OperandInfo[383] }, // Inst #1899 = XVFRSTP_B + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1898 = XVFRSTPI_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1897 = XVFRSTPI_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1896 = XVFRSQRT_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1895 = XVFRSQRT_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1894 = XVFRSQRTE_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1893 = XVFRSQRTE_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1892 = XVFRINT_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1891 = XVFRINT_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1890 = XVFRINTRZ_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1889 = XVFRINTRZ_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1888 = XVFRINTRP_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1887 = XVFRINTRP_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1886 = XVFRINTRNE_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1885 = XVFRINTRNE_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1884 = XVFRINTRM_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1883 = XVFRINTRM_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1882 = XVFRECIP_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1881 = XVFRECIP_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1880 = XVFRECIPE_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1879 = XVFRECIPE_D + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1878 = XVFNMSUB_S + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1877 = XVFNMSUB_D + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1876 = XVFNMADD_S + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1875 = XVFNMADD_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1874 = XVFMUL_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1873 = XVFMUL_D + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1872 = XVFMSUB_S + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1871 = XVFMSUB_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1870 = XVFMIN_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1869 = XVFMIN_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1868 = XVFMINA_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1867 = XVFMINA_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1866 = XVFMAX_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1865 = XVFMAX_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1864 = XVFMAXA_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1863 = XVFMAXA_D + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1862 = XVFMADD_S + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1861 = XVFMADD_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1860 = XVFLOGB_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1859 = XVFLOGB_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1858 = XVFFINT_S_WU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1857 = XVFFINT_S_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1856 = XVFFINT_S_L + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1855 = XVFFINT_D_LU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1854 = XVFFINT_D_L + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1853 = XVFFINTL_D_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1852 = XVFFINTH_D_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1851 = XVFDIV_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1850 = XVFDIV_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1849 = XVFCVT_S_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1848 = XVFCVT_H_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1847 = XVFCVTL_S_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1846 = XVFCVTL_D_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1845 = XVFCVTH_S_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1844 = XVFCVTH_D_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1843 = XVFCMP_SUN_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1842 = XVFCMP_SUN_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1841 = XVFCMP_SUNE_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1840 = XVFCMP_SUNE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1839 = XVFCMP_SULT_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1838 = XVFCMP_SULT_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1837 = XVFCMP_SULE_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1836 = XVFCMP_SULE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1835 = XVFCMP_SUEQ_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1834 = XVFCMP_SUEQ_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1833 = XVFCMP_SOR_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1832 = XVFCMP_SOR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1831 = XVFCMP_SNE_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1830 = XVFCMP_SNE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1829 = XVFCMP_SLT_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1828 = XVFCMP_SLT_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1827 = XVFCMP_SLE_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1826 = XVFCMP_SLE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1825 = XVFCMP_SEQ_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1824 = XVFCMP_SEQ_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1823 = XVFCMP_SAF_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1822 = XVFCMP_SAF_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1821 = XVFCMP_CUN_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1820 = XVFCMP_CUN_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1819 = XVFCMP_CUNE_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1818 = XVFCMP_CUNE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1817 = XVFCMP_CULT_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1816 = XVFCMP_CULT_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1815 = XVFCMP_CULE_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1814 = XVFCMP_CULE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1813 = XVFCMP_CUEQ_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1812 = XVFCMP_CUEQ_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1811 = XVFCMP_COR_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1810 = XVFCMP_COR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1809 = XVFCMP_CNE_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1808 = XVFCMP_CNE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1807 = XVFCMP_CLT_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1806 = XVFCMP_CLT_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1805 = XVFCMP_CLE_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1804 = XVFCMP_CLE_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1803 = XVFCMP_CEQ_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1802 = XVFCMP_CEQ_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1801 = XVFCMP_CAF_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1800 = XVFCMP_CAF_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1799 = XVFCLASS_S + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1798 = XVFCLASS_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1797 = XVFADD_S + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1796 = XVFADD_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1795 = XVEXTRINS_W + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1794 = XVEXTRINS_H + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1793 = XVEXTRINS_D + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1792 = XVEXTRINS_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1791 = XVEXTL_Q_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1790 = XVEXTL_QU_DU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1789 = XVEXTH_W_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1788 = XVEXTH_WU_HU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1787 = XVEXTH_Q_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1786 = XVEXTH_QU_DU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1785 = XVEXTH_H_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1784 = XVEXTH_HU_BU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1783 = XVEXTH_D_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1782 = XVEXTH_DU_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1781 = XVDIV_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1780 = XVDIV_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1779 = XVDIV_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1778 = XVDIV_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1777 = XVDIV_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1776 = XVDIV_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1775 = XVDIV_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1774 = XVDIV_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1773 = XVCLZ_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1772 = XVCLZ_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1771 = XVCLZ_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1770 = XVCLZ_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1769 = XVCLO_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1768 = XVCLO_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1767 = XVCLO_D + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #1766 = XVCLO_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1765 = XVBSRL_V + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1764 = XVBSLL_V + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1763 = XVBITSET_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1762 = XVBITSET_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1761 = XVBITSET_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1760 = XVBITSET_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1759 = XVBITSETI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1758 = XVBITSETI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1757 = XVBITSETI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1756 = XVBITSETI_B + { 4, &LoongArchDescs.OperandInfo[379] }, // Inst #1755 = XVBITSEL_V + { 4, &LoongArchDescs.OperandInfo[375] }, // Inst #1754 = XVBITSELI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1753 = XVBITREV_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1752 = XVBITREV_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1751 = XVBITREV_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1750 = XVBITREV_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1749 = XVBITREVI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1748 = XVBITREVI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1747 = XVBITREVI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1746 = XVBITREVI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1745 = XVBITCLR_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1744 = XVBITCLR_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1743 = XVBITCLR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1742 = XVBITCLR_B + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1741 = XVBITCLRI_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1740 = XVBITCLRI_H + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1739 = XVBITCLRI_D + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1738 = XVBITCLRI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1737 = XVAVG_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1736 = XVAVG_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1735 = XVAVG_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1734 = XVAVG_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1733 = XVAVG_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1732 = XVAVG_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1731 = XVAVG_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1730 = XVAVG_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1729 = XVAVGR_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1728 = XVAVGR_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1727 = XVAVGR_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1726 = XVAVGR_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1725 = XVAVGR_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1724 = XVAVGR_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1723 = XVAVGR_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1722 = XVAVGR_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1721 = XVAND_V + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1720 = XVANDN_V + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1719 = XVANDI_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1718 = XVADD_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1717 = XVADD_Q + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1716 = XVADD_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1715 = XVADD_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1714 = XVADD_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1713 = XVADDWOD_W_HU_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1712 = XVADDWOD_W_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1711 = XVADDWOD_W_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1710 = XVADDWOD_Q_DU_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1709 = XVADDWOD_Q_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1708 = XVADDWOD_Q_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1707 = XVADDWOD_H_BU_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1706 = XVADDWOD_H_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1705 = XVADDWOD_H_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1704 = XVADDWOD_D_WU_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1703 = XVADDWOD_D_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1702 = XVADDWOD_D_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1701 = XVADDWEV_W_HU_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1700 = XVADDWEV_W_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1699 = XVADDWEV_W_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1698 = XVADDWEV_Q_DU_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1697 = XVADDWEV_Q_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1696 = XVADDWEV_Q_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1695 = XVADDWEV_H_BU_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1694 = XVADDWEV_H_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1693 = XVADDWEV_H_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1692 = XVADDWEV_D_WU_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1691 = XVADDWEV_D_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1690 = XVADDWEV_D_W + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1689 = XVADDI_WU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1688 = XVADDI_HU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1687 = XVADDI_DU + { 3, &LoongArchDescs.OperandInfo[372] }, // Inst #1686 = XVADDI_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1685 = XVADDA_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1684 = XVADDA_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1683 = XVADDA_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1682 = XVADDA_B + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1681 = XVABSD_WU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1680 = XVABSD_W + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1679 = XVABSD_HU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1678 = XVABSD_H + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1677 = XVABSD_DU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1676 = XVABSD_D + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1675 = XVABSD_BU + { 3, &LoongArchDescs.OperandInfo[369] }, // Inst #1674 = XVABSD_B + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #1673 = XORI + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #1672 = XOR + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1671 = X86XOR_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1670 = X86XOR_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1669 = X86XOR_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1668 = X86XOR_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1667 = X86SUB_WU + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1666 = X86SUB_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1665 = X86SUB_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1664 = X86SUB_DU + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1663 = X86SUB_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1662 = X86SUB_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1661 = X86SRL_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1660 = X86SRL_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1659 = X86SRL_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1658 = X86SRL_B + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1657 = X86SRLI_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1656 = X86SRLI_H + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1655 = X86SRLI_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1654 = X86SRLI_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1653 = X86SRA_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1652 = X86SRA_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1651 = X86SRA_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1650 = X86SRA_B + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1649 = X86SRAI_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1648 = X86SRAI_H + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1647 = X86SRAI_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1646 = X86SRAI_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1645 = X86SLL_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1644 = X86SLL_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1643 = X86SLL_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1642 = X86SLL_B + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1641 = X86SLLI_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1640 = X86SLLI_H + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1639 = X86SLLI_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1638 = X86SLLI_B + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #1637 = X86SETTM + { 3, &LoongArchDescs.OperandInfo[211] }, // Inst #1636 = X86SETTAG + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1635 = X86SBC_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1634 = X86SBC_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1633 = X86SBC_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1632 = X86SBC_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1631 = X86ROTR_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1630 = X86ROTR_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1629 = X86ROTR_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1628 = X86ROTR_B + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1627 = X86ROTRI_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1626 = X86ROTRI_H + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1625 = X86ROTRI_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1624 = X86ROTRI_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1623 = X86ROTL_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1622 = X86ROTL_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1621 = X86ROTL_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1620 = X86ROTL_B + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1619 = X86ROTLI_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1618 = X86ROTLI_H + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1617 = X86ROTLI_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1616 = X86ROTLI_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1615 = X86RCR_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1614 = X86RCR_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1613 = X86RCR_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1612 = X86RCR_B + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1611 = X86RCRI_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1610 = X86RCRI_H + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1609 = X86RCRI_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1608 = X86RCRI_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1607 = X86RCL_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1606 = X86RCL_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1605 = X86RCL_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1604 = X86RCL_B + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1603 = X86RCLI_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1602 = X86RCLI_H + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1601 = X86RCLI_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1600 = X86RCLI_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1599 = X86OR_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1598 = X86OR_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1597 = X86OR_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1596 = X86OR_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1595 = X86MUL_WU + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1594 = X86MUL_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1593 = X86MUL_HU + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1592 = X86MUL_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1591 = X86MUL_DU + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1590 = X86MUL_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1589 = X86MUL_BU + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1588 = X86MUL_B + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #1587 = X86MTTOP + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1586 = X86MTFLAG + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1585 = X86MFTOP + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #1584 = X86MFFLAG + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1583 = X86INC_W + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1582 = X86INC_H + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1581 = X86INC_D + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1580 = X86INC_B + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #1579 = X86INCTOP + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1578 = X86DEC_W + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1577 = X86DEC_H + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1576 = X86DEC_D + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #1575 = X86DEC_B + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #1574 = X86DECTOP + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #1573 = X86CLRTM + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1572 = X86AND_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1571 = X86AND_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1570 = X86AND_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1569 = X86AND_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1568 = X86ADD_WU + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1567 = X86ADD_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1566 = X86ADD_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1565 = X86ADD_DU + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1564 = X86ADD_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1563 = X86ADD_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1562 = X86ADC_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1561 = X86ADC_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1560 = X86ADC_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #1559 = X86ADC_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1558 = VXOR_V + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1557 = VXORI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1556 = VSUB_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1555 = VSUB_Q + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1554 = VSUB_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1553 = VSUB_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1552 = VSUB_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1551 = VSUBWOD_W_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1550 = VSUBWOD_W_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1549 = VSUBWOD_Q_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1548 = VSUBWOD_Q_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1547 = VSUBWOD_H_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1546 = VSUBWOD_H_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1545 = VSUBWOD_D_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1544 = VSUBWOD_D_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1543 = VSUBWEV_W_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1542 = VSUBWEV_W_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1541 = VSUBWEV_Q_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1540 = VSUBWEV_Q_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1539 = VSUBWEV_H_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1538 = VSUBWEV_H_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1537 = VSUBWEV_D_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1536 = VSUBWEV_D_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1535 = VSUBI_WU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1534 = VSUBI_HU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1533 = VSUBI_DU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1532 = VSUBI_BU + { 3, &LoongArchDescs.OperandInfo[352] }, // Inst #1531 = VSTX + { 4, &LoongArchDescs.OperandInfo[365] }, // Inst #1530 = VSTELM_W + { 4, &LoongArchDescs.OperandInfo[365] }, // Inst #1529 = VSTELM_H + { 4, &LoongArchDescs.OperandInfo[365] }, // Inst #1528 = VSTELM_D + { 4, &LoongArchDescs.OperandInfo[365] }, // Inst #1527 = VSTELM_B + { 3, &LoongArchDescs.OperandInfo[349] }, // Inst #1526 = VST + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1525 = VSSUB_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1524 = VSSUB_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1523 = VSSUB_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1522 = VSSUB_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1521 = VSSUB_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1520 = VSSUB_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1519 = VSSUB_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1518 = VSSUB_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1517 = VSSRLRN_W_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1516 = VSSRLRN_WU_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1515 = VSSRLRN_H_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1514 = VSSRLRN_HU_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1513 = VSSRLRN_B_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1512 = VSSRLRN_BU_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1511 = VSSRLRNI_W_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1510 = VSSRLRNI_WU_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1509 = VSSRLRNI_H_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1508 = VSSRLRNI_HU_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1507 = VSSRLRNI_D_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1506 = VSSRLRNI_DU_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1505 = VSSRLRNI_B_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1504 = VSSRLRNI_BU_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1503 = VSSRLN_W_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1502 = VSSRLN_WU_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1501 = VSSRLN_H_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1500 = VSSRLN_HU_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1499 = VSSRLN_B_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1498 = VSSRLN_BU_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1497 = VSSRLNI_W_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1496 = VSSRLNI_WU_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1495 = VSSRLNI_H_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1494 = VSSRLNI_HU_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1493 = VSSRLNI_D_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1492 = VSSRLNI_DU_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1491 = VSSRLNI_B_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1490 = VSSRLNI_BU_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1489 = VSSRARN_W_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1488 = VSSRARN_WU_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1487 = VSSRARN_H_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1486 = VSSRARN_HU_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1485 = VSSRARN_B_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1484 = VSSRARN_BU_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1483 = VSSRARNI_W_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1482 = VSSRARNI_WU_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1481 = VSSRARNI_H_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1480 = VSSRARNI_HU_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1479 = VSSRARNI_D_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1478 = VSSRARNI_DU_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1477 = VSSRARNI_B_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1476 = VSSRARNI_BU_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1475 = VSSRAN_W_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1474 = VSSRAN_WU_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1473 = VSSRAN_H_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1472 = VSSRAN_HU_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1471 = VSSRAN_B_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1470 = VSSRAN_BU_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1469 = VSSRANI_W_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1468 = VSSRANI_WU_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1467 = VSSRANI_H_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1466 = VSSRANI_HU_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1465 = VSSRANI_D_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1464 = VSSRANI_DU_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1463 = VSSRANI_B_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1462 = VSSRANI_BU_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1461 = VSRL_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1460 = VSRL_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1459 = VSRL_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1458 = VSRL_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1457 = VSRLR_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1456 = VSRLR_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1455 = VSRLR_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1454 = VSRLR_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1453 = VSRLRN_W_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1452 = VSRLRN_H_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1451 = VSRLRN_B_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1450 = VSRLRNI_W_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1449 = VSRLRNI_H_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1448 = VSRLRNI_D_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1447 = VSRLRNI_B_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1446 = VSRLRI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1445 = VSRLRI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1444 = VSRLRI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1443 = VSRLRI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1442 = VSRLN_W_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1441 = VSRLN_H_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1440 = VSRLN_B_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1439 = VSRLNI_W_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1438 = VSRLNI_H_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1437 = VSRLNI_D_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1436 = VSRLNI_B_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1435 = VSRLI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1434 = VSRLI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1433 = VSRLI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1432 = VSRLI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1431 = VSRA_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1430 = VSRA_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1429 = VSRA_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1428 = VSRA_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1427 = VSRAR_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1426 = VSRAR_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1425 = VSRAR_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1424 = VSRAR_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1423 = VSRARN_W_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1422 = VSRARN_H_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1421 = VSRARN_B_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1420 = VSRARNI_W_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1419 = VSRARNI_H_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1418 = VSRARNI_D_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1417 = VSRARNI_B_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1416 = VSRARI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1415 = VSRARI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1414 = VSRARI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1413 = VSRARI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1412 = VSRAN_W_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1411 = VSRAN_H_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1410 = VSRAN_B_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1409 = VSRANI_W_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1408 = VSRANI_H_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1407 = VSRANI_D_Q + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1406 = VSRANI_B_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1405 = VSRAI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1404 = VSRAI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1403 = VSRAI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1402 = VSRAI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1401 = VSLT_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1400 = VSLT_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1399 = VSLT_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1398 = VSLT_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1397 = VSLT_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1396 = VSLT_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1395 = VSLT_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1394 = VSLT_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1393 = VSLTI_WU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1392 = VSLTI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1391 = VSLTI_HU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1390 = VSLTI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1389 = VSLTI_DU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1388 = VSLTI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1387 = VSLTI_BU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1386 = VSLTI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1385 = VSLL_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1384 = VSLL_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1383 = VSLL_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1382 = VSLL_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1381 = VSLLWIL_W_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1380 = VSLLWIL_WU_HU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1379 = VSLLWIL_H_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1378 = VSLLWIL_HU_BU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1377 = VSLLWIL_D_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1376 = VSLLWIL_DU_WU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1375 = VSLLI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1374 = VSLLI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1373 = VSLLI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1372 = VSLLI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1371 = VSLE_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1370 = VSLE_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1369 = VSLE_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1368 = VSLE_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1367 = VSLE_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1366 = VSLE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1365 = VSLE_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1364 = VSLE_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1363 = VSLEI_WU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1362 = VSLEI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1361 = VSLEI_HU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1360 = VSLEI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1359 = VSLEI_DU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1358 = VSLEI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1357 = VSLEI_BU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1356 = VSLEI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1355 = VSIGNCOV_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1354 = VSIGNCOV_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1353 = VSIGNCOV_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1352 = VSIGNCOV_B + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1351 = VSHUF_W + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1350 = VSHUF_H + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1349 = VSHUF_D + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1348 = VSHUF_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1347 = VSHUF4I_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1346 = VSHUF4I_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1345 = VSHUF4I_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1344 = VSHUF4I_B + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1343 = VSETNEZ_V + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1342 = VSETEQZ_V + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1341 = VSETANYEQZ_W + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1340 = VSETANYEQZ_H + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1339 = VSETANYEQZ_D + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1338 = VSETANYEQZ_B + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1337 = VSETALLNEZ_W + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1336 = VSETALLNEZ_H + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1335 = VSETALLNEZ_D + { 2, &LoongArchDescs.OperandInfo[363] }, // Inst #1334 = VSETALLNEZ_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1333 = VSEQ_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1332 = VSEQ_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1331 = VSEQ_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1330 = VSEQ_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1329 = VSEQI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1328 = VSEQI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1327 = VSEQI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1326 = VSEQI_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1325 = VSAT_WU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1324 = VSAT_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1323 = VSAT_HU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1322 = VSAT_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1321 = VSAT_DU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1320 = VSAT_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1319 = VSAT_BU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1318 = VSAT_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1317 = VSADD_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1316 = VSADD_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1315 = VSADD_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1314 = VSADD_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1313 = VSADD_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1312 = VSADD_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1311 = VSADD_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1310 = VSADD_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1309 = VROTR_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1308 = VROTR_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1307 = VROTR_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1306 = VROTR_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1305 = VROTRI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1304 = VROTRI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1303 = VROTRI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1302 = VROTRI_B + { 3, &LoongArchDescs.OperandInfo[360] }, // Inst #1301 = VREPLVE_W + { 3, &LoongArchDescs.OperandInfo[360] }, // Inst #1300 = VREPLVE_H + { 3, &LoongArchDescs.OperandInfo[360] }, // Inst #1299 = VREPLVE_D + { 3, &LoongArchDescs.OperandInfo[360] }, // Inst #1298 = VREPLVE_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1297 = VREPLVEI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1296 = VREPLVEI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1295 = VREPLVEI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1294 = VREPLVEI_B + { 2, &LoongArchDescs.OperandInfo[358] }, // Inst #1293 = VREPLGR2VR_W + { 2, &LoongArchDescs.OperandInfo[358] }, // Inst #1292 = VREPLGR2VR_H + { 2, &LoongArchDescs.OperandInfo[358] }, // Inst #1291 = VREPLGR2VR_D + { 2, &LoongArchDescs.OperandInfo[358] }, // Inst #1290 = VREPLGR2VR_B + { 3, &LoongArchDescs.OperandInfo[355] }, // Inst #1289 = VPICKVE2GR_WU + { 3, &LoongArchDescs.OperandInfo[355] }, // Inst #1288 = VPICKVE2GR_W + { 3, &LoongArchDescs.OperandInfo[355] }, // Inst #1287 = VPICKVE2GR_HU + { 3, &LoongArchDescs.OperandInfo[355] }, // Inst #1286 = VPICKVE2GR_H + { 3, &LoongArchDescs.OperandInfo[355] }, // Inst #1285 = VPICKVE2GR_DU + { 3, &LoongArchDescs.OperandInfo[355] }, // Inst #1284 = VPICKVE2GR_D + { 3, &LoongArchDescs.OperandInfo[355] }, // Inst #1283 = VPICKVE2GR_BU + { 3, &LoongArchDescs.OperandInfo[355] }, // Inst #1282 = VPICKVE2GR_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1281 = VPICKOD_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1280 = VPICKOD_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1279 = VPICKOD_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1278 = VPICKOD_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1277 = VPICKEV_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1276 = VPICKEV_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1275 = VPICKEV_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1274 = VPICKEV_B + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1273 = VPERMI_W + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1272 = VPCNT_W + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1271 = VPCNT_H + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1270 = VPCNT_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1269 = VPCNT_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1268 = VPACKOD_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1267 = VPACKOD_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1266 = VPACKOD_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1265 = VPACKOD_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1264 = VPACKEV_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1263 = VPACKEV_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1262 = VPACKEV_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1261 = VPACKEV_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1260 = VOR_V + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1259 = VORN_V + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1258 = VORI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1257 = VNOR_V + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1256 = VNORI_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1255 = VNEG_W + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1254 = VNEG_H + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1253 = VNEG_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1252 = VNEG_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1251 = VMUL_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1250 = VMUL_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1249 = VMUL_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1248 = VMUL_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1247 = VMULWOD_W_HU_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1246 = VMULWOD_W_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1245 = VMULWOD_W_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1244 = VMULWOD_Q_DU_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1243 = VMULWOD_Q_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1242 = VMULWOD_Q_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1241 = VMULWOD_H_BU_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1240 = VMULWOD_H_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1239 = VMULWOD_H_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1238 = VMULWOD_D_WU_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1237 = VMULWOD_D_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1236 = VMULWOD_D_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1235 = VMULWEV_W_HU_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1234 = VMULWEV_W_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1233 = VMULWEV_W_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1232 = VMULWEV_Q_DU_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1231 = VMULWEV_Q_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1230 = VMULWEV_Q_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1229 = VMULWEV_H_BU_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1228 = VMULWEV_H_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1227 = VMULWEV_H_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1226 = VMULWEV_D_WU_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1225 = VMULWEV_D_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1224 = VMULWEV_D_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1223 = VMUH_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1222 = VMUH_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1221 = VMUH_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1220 = VMUH_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1219 = VMUH_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1218 = VMUH_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1217 = VMUH_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1216 = VMUH_B + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1215 = VMSUB_W + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1214 = VMSUB_H + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1213 = VMSUB_D + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1212 = VMSUB_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1211 = VMSKNZ_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1210 = VMSKLTZ_W + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1209 = VMSKLTZ_H + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1208 = VMSKLTZ_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1207 = VMSKLTZ_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1206 = VMSKGEZ_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1205 = VMOD_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1204 = VMOD_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1203 = VMOD_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1202 = VMOD_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1201 = VMOD_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1200 = VMOD_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1199 = VMOD_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1198 = VMOD_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1197 = VMIN_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1196 = VMIN_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1195 = VMIN_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1194 = VMIN_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1193 = VMIN_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1192 = VMIN_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1191 = VMIN_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1190 = VMIN_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1189 = VMINI_WU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1188 = VMINI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1187 = VMINI_HU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1186 = VMINI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1185 = VMINI_DU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1184 = VMINI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1183 = VMINI_BU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1182 = VMINI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1181 = VMAX_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1180 = VMAX_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1179 = VMAX_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1178 = VMAX_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1177 = VMAX_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1176 = VMAX_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1175 = VMAX_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1174 = VMAX_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1173 = VMAXI_WU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1172 = VMAXI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1171 = VMAXI_HU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1170 = VMAXI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1169 = VMAXI_DU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1168 = VMAXI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1167 = VMAXI_BU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #1166 = VMAXI_B + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1165 = VMADD_W + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1164 = VMADD_H + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1163 = VMADD_D + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1162 = VMADD_B + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1161 = VMADDWOD_W_HU_H + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1160 = VMADDWOD_W_HU + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1159 = VMADDWOD_W_H + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1158 = VMADDWOD_Q_DU_D + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1157 = VMADDWOD_Q_DU + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1156 = VMADDWOD_Q_D + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1155 = VMADDWOD_H_BU_B + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1154 = VMADDWOD_H_BU + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1153 = VMADDWOD_H_B + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1152 = VMADDWOD_D_WU_W + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1151 = VMADDWOD_D_WU + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1150 = VMADDWOD_D_W + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1149 = VMADDWEV_W_HU_H + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1148 = VMADDWEV_W_HU + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1147 = VMADDWEV_W_H + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1146 = VMADDWEV_Q_DU_D + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1145 = VMADDWEV_Q_DU + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1144 = VMADDWEV_Q_D + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1143 = VMADDWEV_H_BU_B + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1142 = VMADDWEV_H_BU + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1141 = VMADDWEV_H_B + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1140 = VMADDWEV_D_WU_W + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1139 = VMADDWEV_D_WU + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1138 = VMADDWEV_D_W + { 3, &LoongArchDescs.OperandInfo[352] }, // Inst #1137 = VLDX + { 3, &LoongArchDescs.OperandInfo[349] }, // Inst #1136 = VLDREPL_W + { 3, &LoongArchDescs.OperandInfo[349] }, // Inst #1135 = VLDREPL_H + { 3, &LoongArchDescs.OperandInfo[349] }, // Inst #1134 = VLDREPL_D + { 3, &LoongArchDescs.OperandInfo[349] }, // Inst #1133 = VLDREPL_B + { 2, &LoongArchDescs.OperandInfo[192] }, // Inst #1132 = VLDI + { 3, &LoongArchDescs.OperandInfo[349] }, // Inst #1131 = VLD + { 4, &LoongArchDescs.OperandInfo[345] }, // Inst #1130 = VINSGR2VR_W + { 4, &LoongArchDescs.OperandInfo[345] }, // Inst #1129 = VINSGR2VR_H + { 4, &LoongArchDescs.OperandInfo[345] }, // Inst #1128 = VINSGR2VR_D + { 4, &LoongArchDescs.OperandInfo[345] }, // Inst #1127 = VINSGR2VR_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1126 = VILVL_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1125 = VILVL_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1124 = VILVL_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1123 = VILVL_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1122 = VILVH_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1121 = VILVH_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1120 = VILVH_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1119 = VILVH_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1118 = VHSUBW_W_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1117 = VHSUBW_WU_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1116 = VHSUBW_Q_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1115 = VHSUBW_QU_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1114 = VHSUBW_H_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1113 = VHSUBW_HU_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1112 = VHSUBW_D_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1111 = VHSUBW_DU_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1110 = VHADDW_W_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1109 = VHADDW_WU_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1108 = VHADDW_Q_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1107 = VHADDW_QU_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1106 = VHADDW_H_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1105 = VHADDW_HU_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1104 = VHADDW_D_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1103 = VHADDW_DU_WU + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1102 = VFTINT_W_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1101 = VFTINT_W_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1100 = VFTINT_WU_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1099 = VFTINT_L_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1098 = VFTINT_LU_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1097 = VFTINTRZ_W_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1096 = VFTINTRZ_W_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1095 = VFTINTRZ_WU_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1094 = VFTINTRZ_L_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1093 = VFTINTRZ_LU_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1092 = VFTINTRZL_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1091 = VFTINTRZH_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1090 = VFTINTRP_W_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1089 = VFTINTRP_W_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1088 = VFTINTRP_L_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1087 = VFTINTRPL_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1086 = VFTINTRPH_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1085 = VFTINTRNE_W_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1084 = VFTINTRNE_W_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1083 = VFTINTRNE_L_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1082 = VFTINTRNEL_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1081 = VFTINTRNEH_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1080 = VFTINTRM_W_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1079 = VFTINTRM_W_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1078 = VFTINTRM_L_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1077 = VFTINTRML_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1076 = VFTINTRMH_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1075 = VFTINTL_L_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1074 = VFTINTH_L_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1073 = VFSUB_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1072 = VFSUB_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1071 = VFSQRT_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1070 = VFSQRT_D + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1069 = VFRSTP_H + { 4, &LoongArchDescs.OperandInfo[341] }, // Inst #1068 = VFRSTP_B + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1067 = VFRSTPI_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #1066 = VFRSTPI_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1065 = VFRSQRT_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1064 = VFRSQRT_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1063 = VFRSQRTE_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1062 = VFRSQRTE_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1061 = VFRINT_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1060 = VFRINT_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1059 = VFRINTRZ_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1058 = VFRINTRZ_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1057 = VFRINTRP_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1056 = VFRINTRP_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1055 = VFRINTRNE_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1054 = VFRINTRNE_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1053 = VFRINTRM_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1052 = VFRINTRM_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1051 = VFRECIP_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1050 = VFRECIP_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1049 = VFRECIPE_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1048 = VFRECIPE_D + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1047 = VFNMSUB_S + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1046 = VFNMSUB_D + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1045 = VFNMADD_S + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1044 = VFNMADD_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1043 = VFMUL_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1042 = VFMUL_D + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1041 = VFMSUB_S + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1040 = VFMSUB_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1039 = VFMIN_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1038 = VFMIN_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1037 = VFMINA_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1036 = VFMINA_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1035 = VFMAX_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1034 = VFMAX_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1033 = VFMAXA_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1032 = VFMAXA_D + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1031 = VFMADD_S + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #1030 = VFMADD_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1029 = VFLOGB_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1028 = VFLOGB_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1027 = VFFINT_S_WU + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1026 = VFFINT_S_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1025 = VFFINT_S_L + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1024 = VFFINT_D_LU + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1023 = VFFINT_D_L + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1022 = VFFINTL_D_W + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1021 = VFFINTH_D_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1020 = VFDIV_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1019 = VFDIV_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1018 = VFCVT_S_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1017 = VFCVT_H_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1016 = VFCVTL_S_H + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1015 = VFCVTL_D_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1014 = VFCVTH_S_H + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #1013 = VFCVTH_D_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1012 = VFCMP_SUN_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1011 = VFCMP_SUN_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1010 = VFCMP_SUNE_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1009 = VFCMP_SUNE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1008 = VFCMP_SULT_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1007 = VFCMP_SULT_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1006 = VFCMP_SULE_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1005 = VFCMP_SULE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1004 = VFCMP_SUEQ_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1003 = VFCMP_SUEQ_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1002 = VFCMP_SOR_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1001 = VFCMP_SOR_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #1000 = VFCMP_SNE_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #999 = VFCMP_SNE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #998 = VFCMP_SLT_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #997 = VFCMP_SLT_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #996 = VFCMP_SLE_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #995 = VFCMP_SLE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #994 = VFCMP_SEQ_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #993 = VFCMP_SEQ_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #992 = VFCMP_SAF_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #991 = VFCMP_SAF_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #990 = VFCMP_CUN_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #989 = VFCMP_CUN_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #988 = VFCMP_CUNE_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #987 = VFCMP_CUNE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #986 = VFCMP_CULT_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #985 = VFCMP_CULT_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #984 = VFCMP_CULE_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #983 = VFCMP_CULE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #982 = VFCMP_CUEQ_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #981 = VFCMP_CUEQ_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #980 = VFCMP_COR_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #979 = VFCMP_COR_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #978 = VFCMP_CNE_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #977 = VFCMP_CNE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #976 = VFCMP_CLT_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #975 = VFCMP_CLT_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #974 = VFCMP_CLE_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #973 = VFCMP_CLE_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #972 = VFCMP_CEQ_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #971 = VFCMP_CEQ_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #970 = VFCMP_CAF_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #969 = VFCMP_CAF_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #968 = VFCLASS_S + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #967 = VFCLASS_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #966 = VFADD_S + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #965 = VFADD_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #964 = VEXTRINS_W + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #963 = VEXTRINS_H + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #962 = VEXTRINS_D + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #961 = VEXTRINS_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #960 = VEXTL_Q_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #959 = VEXTL_QU_DU + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #958 = VEXTH_W_H + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #957 = VEXTH_WU_HU + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #956 = VEXTH_Q_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #955 = VEXTH_QU_DU + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #954 = VEXTH_H_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #953 = VEXTH_HU_BU + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #952 = VEXTH_D_W + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #951 = VEXTH_DU_WU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #950 = VEXT2XV_W_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #949 = VEXT2XV_W_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #948 = VEXT2XV_WU_HU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #947 = VEXT2XV_WU_BU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #946 = VEXT2XV_H_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #945 = VEXT2XV_HU_BU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #944 = VEXT2XV_D_W + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #943 = VEXT2XV_D_H + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #942 = VEXT2XV_D_B + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #941 = VEXT2XV_DU_WU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #940 = VEXT2XV_DU_HU + { 2, &LoongArchDescs.OperandInfo[339] }, // Inst #939 = VEXT2XV_DU_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #938 = VDIV_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #937 = VDIV_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #936 = VDIV_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #935 = VDIV_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #934 = VDIV_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #933 = VDIV_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #932 = VDIV_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #931 = VDIV_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #930 = VCLZ_W + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #929 = VCLZ_H + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #928 = VCLZ_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #927 = VCLZ_B + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #926 = VCLO_W + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #925 = VCLO_H + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #924 = VCLO_D + { 2, &LoongArchDescs.OperandInfo[337] }, // Inst #923 = VCLO_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #922 = VBSRL_V + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #921 = VBSLL_V + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #920 = VBITSET_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #919 = VBITSET_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #918 = VBITSET_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #917 = VBITSET_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #916 = VBITSETI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #915 = VBITSETI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #914 = VBITSETI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #913 = VBITSETI_B + { 4, &LoongArchDescs.OperandInfo[333] }, // Inst #912 = VBITSEL_V + { 4, &LoongArchDescs.OperandInfo[329] }, // Inst #911 = VBITSELI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #910 = VBITREV_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #909 = VBITREV_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #908 = VBITREV_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #907 = VBITREV_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #906 = VBITREVI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #905 = VBITREVI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #904 = VBITREVI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #903 = VBITREVI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #902 = VBITCLR_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #901 = VBITCLR_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #900 = VBITCLR_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #899 = VBITCLR_B + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #898 = VBITCLRI_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #897 = VBITCLRI_H + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #896 = VBITCLRI_D + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #895 = VBITCLRI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #894 = VAVG_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #893 = VAVG_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #892 = VAVG_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #891 = VAVG_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #890 = VAVG_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #889 = VAVG_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #888 = VAVG_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #887 = VAVG_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #886 = VAVGR_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #885 = VAVGR_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #884 = VAVGR_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #883 = VAVGR_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #882 = VAVGR_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #881 = VAVGR_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #880 = VAVGR_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #879 = VAVGR_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #878 = VAND_V + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #877 = VANDN_V + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #876 = VANDI_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #875 = VADD_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #874 = VADD_Q + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #873 = VADD_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #872 = VADD_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #871 = VADD_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #870 = VADDWOD_W_HU_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #869 = VADDWOD_W_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #868 = VADDWOD_W_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #867 = VADDWOD_Q_DU_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #866 = VADDWOD_Q_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #865 = VADDWOD_Q_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #864 = VADDWOD_H_BU_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #863 = VADDWOD_H_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #862 = VADDWOD_H_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #861 = VADDWOD_D_WU_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #860 = VADDWOD_D_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #859 = VADDWOD_D_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #858 = VADDWEV_W_HU_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #857 = VADDWEV_W_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #856 = VADDWEV_W_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #855 = VADDWEV_Q_DU_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #854 = VADDWEV_Q_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #853 = VADDWEV_Q_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #852 = VADDWEV_H_BU_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #851 = VADDWEV_H_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #850 = VADDWEV_H_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #849 = VADDWEV_D_WU_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #848 = VADDWEV_D_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #847 = VADDWEV_D_W + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #846 = VADDI_WU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #845 = VADDI_HU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #844 = VADDI_DU + { 3, &LoongArchDescs.OperandInfo[326] }, // Inst #843 = VADDI_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #842 = VADDA_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #841 = VADDA_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #840 = VADDA_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #839 = VADDA_B + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #838 = VABSD_WU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #837 = VABSD_W + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #836 = VABSD_HU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #835 = VABSD_H + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #834 = VABSD_DU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #833 = VABSD_D + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #832 = VABSD_BU + { 3, &LoongArchDescs.OperandInfo[323] }, // Inst #831 = VABSD_B + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #830 = TLBWR + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #829 = TLBSRCH + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #828 = TLBRD + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #827 = TLBFLUSH + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #826 = TLBFILL + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #825 = TLBCLR + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #824 = SYSCALL + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #823 = SUB_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #822 = SUB_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #821 = ST_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #820 = ST_H + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #819 = ST_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #818 = ST_B + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #817 = STX_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #816 = STX_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #815 = STX_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #814 = STX_B + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #813 = STR_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #812 = STR_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #811 = STPTR_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #810 = STPTR_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #809 = STL_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #808 = STL_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #807 = STLE_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #806 = STLE_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #805 = STLE_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #804 = STLE_B + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #803 = STGT_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #802 = STGT_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #801 = STGT_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #800 = STGT_B + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #799 = SRL_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #798 = SRL_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #797 = SRLI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #796 = SRLI_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #795 = SRA_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #794 = SRA_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #793 = SRAI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #792 = SRAI_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #791 = SLTUI + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #790 = SLTU + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #789 = SLTI + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #788 = SLT + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #787 = SLL_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #786 = SLL_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #785 = SLLI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #784 = SLLI_D + { 1, &LoongArchDescs.OperandInfo[322] }, // Inst #783 = SET_CFR_TRUE + { 1, &LoongArchDescs.OperandInfo[322] }, // Inst #782 = SET_CFR_FALSE + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #781 = SETX86LOOPNE + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #780 = SETX86LOOPE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #779 = SETX86J + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #778 = SETARMJ + { 4, &LoongArchDescs.OperandInfo[233] }, // Inst #777 = SC_W + { 4, &LoongArchDescs.OperandInfo[318] }, // Inst #776 = SC_Q + { 4, &LoongArchDescs.OperandInfo[233] }, // Inst #775 = SC_D + { 3, &LoongArchDescs.OperandInfo[315] }, // Inst #774 = SCREL_W + { 3, &LoongArchDescs.OperandInfo[315] }, // Inst #773 = SCREL_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #772 = SBC_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #771 = SBC_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #770 = SBC_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #769 = SBC_B + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #768 = ROTR_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #767 = ROTR_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #766 = ROTR_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #765 = ROTR_B + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #764 = ROTRI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #763 = ROTRI_H + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #762 = ROTRI_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #761 = ROTRI_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #760 = REVH_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #759 = REVH_2W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #758 = REVB_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #757 = REVB_4H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #756 = REVB_2W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #755 = REVB_2H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #754 = RDTIME_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #753 = RDTIMEL_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #752 = RDTIMEH_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #751 = RCR_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #750 = RCR_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #749 = RCR_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #748 = RCR_B + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #747 = RCRI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #746 = RCRI_H + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #745 = RCRI_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #744 = RCRI_B + { 3, &LoongArchDescs.OperandInfo[312] }, // Inst #743 = PRELDX + { 3, &LoongArchDescs.OperandInfo[227] }, // Inst #742 = PRELD + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #741 = PCALAU12I + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #740 = PCADDU18I + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #739 = PCADDU12I + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #738 = PCADDI + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #737 = ORN + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #736 = ORI + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #735 = OR + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #734 = NOR + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #733 = MUL_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #732 = MUL_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #731 = MULW_D_WU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #730 = MULW_D_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #729 = MULH_WU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #728 = MULH_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #727 = MULH_DU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #726 = MULH_D + { 2, &LoongArchDescs.OperandInfo[310] }, // Inst #725 = MOVSCR2GR + { 2, &LoongArchDescs.OperandInfo[308] }, // Inst #724 = MOVGR2SCR + { 2, &LoongArchDescs.OperandInfo[304] }, // Inst #723 = MOVGR2FR_W_64 + { 2, &LoongArchDescs.OperandInfo[306] }, // Inst #722 = MOVGR2FR_W + { 2, &LoongArchDescs.OperandInfo[304] }, // Inst #721 = MOVGR2FR_D + { 3, &LoongArchDescs.OperandInfo[301] }, // Inst #720 = MOVGR2FRH_W + { 2, &LoongArchDescs.OperandInfo[299] }, // Inst #719 = MOVGR2FCSR + { 2, &LoongArchDescs.OperandInfo[297] }, // Inst #718 = MOVGR2CF + { 2, &LoongArchDescs.OperandInfo[293] }, // Inst #717 = MOVFRH2GR_S + { 2, &LoongArchDescs.OperandInfo[293] }, // Inst #716 = MOVFR2GR_S_64 + { 2, &LoongArchDescs.OperandInfo[295] }, // Inst #715 = MOVFR2GR_S + { 2, &LoongArchDescs.OperandInfo[293] }, // Inst #714 = MOVFR2GR_D + { 2, &LoongArchDescs.OperandInfo[291] }, // Inst #713 = MOVFR2CF_xS + { 2, &LoongArchDescs.OperandInfo[289] }, // Inst #712 = MOVFCSR2GR + { 2, &LoongArchDescs.OperandInfo[287] }, // Inst #711 = MOVCF2GR + { 2, &LoongArchDescs.OperandInfo[285] }, // Inst #710 = MOVCF2FR_xS + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #709 = MOD_WU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #708 = MOD_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #707 = MOD_DU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #706 = MOD_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #705 = MASKNEZ + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #704 = MASKEQZ + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #703 = LU52I_D + { 3, &LoongArchDescs.OperandInfo[230] }, // Inst #702 = LU32I_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #701 = LU12I_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #700 = LL_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #699 = LL_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #698 = LLACQ_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #697 = LLACQ_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #696 = LD_WU + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #695 = LD_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #694 = LD_HU + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #693 = LD_H + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #692 = LD_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #691 = LD_BU + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #690 = LD_B + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #689 = LDX_WU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #688 = LDX_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #687 = LDX_HU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #686 = LDX_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #685 = LDX_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #684 = LDX_BU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #683 = LDX_B + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #682 = LDR_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #681 = LDR_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #680 = LDPTR_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #679 = LDPTR_D + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #678 = LDPTE + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #677 = LDL_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #676 = LDL_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #675 = LDLE_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #674 = LDLE_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #673 = LDLE_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #672 = LDLE_B + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #671 = LDGT_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #670 = LDGT_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #669 = LDGT_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #668 = LDGT_B + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #667 = LDDIR + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #666 = JISCR1 + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #665 = JISCR0 + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #664 = JIRL + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #663 = IOCSRWR_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #662 = IOCSRWR_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #661 = IOCSRWR_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #660 = IOCSRWR_B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #659 = IOCSRRD_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #658 = IOCSRRD_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #657 = IOCSRRD_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #656 = IOCSRRD_B + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #655 = INVTLB + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #654 = IDLE + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #653 = IBAR + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #652 = HVCL + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #651 = GTLBFLUSH + { 4, &LoongArchDescs.OperandInfo[233] }, // Inst #650 = GCSRXCHG + { 3, &LoongArchDescs.OperandInfo[230] }, // Inst #649 = GCSRWR + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #648 = GCSRRD + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #647 = FTINT_W_S + { 2, &LoongArchDescs.OperandInfo[255] }, // Inst #646 = FTINT_W_D + { 2, &LoongArchDescs.OperandInfo[253] }, // Inst #645 = FTINT_L_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #644 = FTINT_L_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #643 = FTINTRZ_W_S + { 2, &LoongArchDescs.OperandInfo[255] }, // Inst #642 = FTINTRZ_W_D + { 2, &LoongArchDescs.OperandInfo[253] }, // Inst #641 = FTINTRZ_L_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #640 = FTINTRZ_L_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #639 = FTINTRP_W_S + { 2, &LoongArchDescs.OperandInfo[255] }, // Inst #638 = FTINTRP_W_D + { 2, &LoongArchDescs.OperandInfo[253] }, // Inst #637 = FTINTRP_L_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #636 = FTINTRP_L_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #635 = FTINTRNE_W_S + { 2, &LoongArchDescs.OperandInfo[255] }, // Inst #634 = FTINTRNE_W_D + { 2, &LoongArchDescs.OperandInfo[253] }, // Inst #633 = FTINTRNE_L_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #632 = FTINTRNE_L_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #631 = FTINTRM_W_S + { 2, &LoongArchDescs.OperandInfo[255] }, // Inst #630 = FTINTRM_W_D + { 2, &LoongArchDescs.OperandInfo[253] }, // Inst #629 = FTINTRM_L_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #628 = FTINTRM_L_D + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #627 = FSUB_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #626 = FSUB_D + { 3, &LoongArchDescs.OperandInfo[266] }, // Inst #625 = FST_S + { 3, &LoongArchDescs.OperandInfo[263] }, // Inst #624 = FST_D + { 3, &LoongArchDescs.OperandInfo[260] }, // Inst #623 = FSTX_S + { 3, &LoongArchDescs.OperandInfo[257] }, // Inst #622 = FSTX_D + { 3, &LoongArchDescs.OperandInfo[260] }, // Inst #621 = FSTLE_S + { 3, &LoongArchDescs.OperandInfo[257] }, // Inst #620 = FSTLE_D + { 3, &LoongArchDescs.OperandInfo[260] }, // Inst #619 = FSTGT_S + { 3, &LoongArchDescs.OperandInfo[257] }, // Inst #618 = FSTGT_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #617 = FSQRT_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #616 = FSQRT_D + { 4, &LoongArchDescs.OperandInfo[281] }, // Inst #615 = FSEL_xS + { 4, &LoongArchDescs.OperandInfo[277] }, // Inst #614 = FSEL_xD + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #613 = FSCALEB_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #612 = FSCALEB_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #611 = FRSQRT_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #610 = FRSQRT_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #609 = FRSQRTE_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #608 = FRSQRTE_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #607 = FRINT_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #606 = FRINT_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #605 = FRECIP_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #604 = FRECIP_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #603 = FRECIPE_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #602 = FRECIPE_D + { 4, &LoongArchDescs.OperandInfo[273] }, // Inst #601 = FNMSUB_S + { 4, &LoongArchDescs.OperandInfo[269] }, // Inst #600 = FNMSUB_D + { 4, &LoongArchDescs.OperandInfo[273] }, // Inst #599 = FNMADD_S + { 4, &LoongArchDescs.OperandInfo[269] }, // Inst #598 = FNMADD_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #597 = FNEG_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #596 = FNEG_D + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #595 = FMUL_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #594 = FMUL_D + { 4, &LoongArchDescs.OperandInfo[273] }, // Inst #593 = FMSUB_S + { 4, &LoongArchDescs.OperandInfo[269] }, // Inst #592 = FMSUB_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #591 = FMOV_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #590 = FMOV_D + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #589 = FMIN_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #588 = FMIN_D + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #587 = FMINA_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #586 = FMINA_D + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #585 = FMAX_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #584 = FMAX_D + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #583 = FMAXA_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #582 = FMAXA_D + { 4, &LoongArchDescs.OperandInfo[273] }, // Inst #581 = FMADD_S + { 4, &LoongArchDescs.OperandInfo[269] }, // Inst #580 = FMADD_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #579 = FLOGB_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #578 = FLOGB_D + { 3, &LoongArchDescs.OperandInfo[266] }, // Inst #577 = FLD_S + { 3, &LoongArchDescs.OperandInfo[263] }, // Inst #576 = FLD_D + { 3, &LoongArchDescs.OperandInfo[260] }, // Inst #575 = FLDX_S + { 3, &LoongArchDescs.OperandInfo[257] }, // Inst #574 = FLDX_D + { 3, &LoongArchDescs.OperandInfo[260] }, // Inst #573 = FLDLE_S + { 3, &LoongArchDescs.OperandInfo[257] }, // Inst #572 = FLDLE_D + { 3, &LoongArchDescs.OperandInfo[260] }, // Inst #571 = FLDGT_S + { 3, &LoongArchDescs.OperandInfo[257] }, // Inst #570 = FLDGT_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #569 = FFINT_S_W + { 2, &LoongArchDescs.OperandInfo[255] }, // Inst #568 = FFINT_S_L + { 2, &LoongArchDescs.OperandInfo[253] }, // Inst #567 = FFINT_D_W + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #566 = FFINT_D_L + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #565 = FDIV_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #564 = FDIV_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #563 = FCVT_UD_D + { 2, &LoongArchDescs.OperandInfo[255] }, // Inst #562 = FCVT_S_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #561 = FCVT_LD_D + { 2, &LoongArchDescs.OperandInfo[253] }, // Inst #560 = FCVT_D_S + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #559 = FCVT_D_LD + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #558 = FCOPYSIGN_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #557 = FCOPYSIGN_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #556 = FCMP_SUN_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #555 = FCMP_SUN_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #554 = FCMP_SUNE_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #553 = FCMP_SUNE_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #552 = FCMP_SULT_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #551 = FCMP_SULT_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #550 = FCMP_SULE_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #549 = FCMP_SULE_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #548 = FCMP_SUEQ_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #547 = FCMP_SUEQ_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #546 = FCMP_SOR_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #545 = FCMP_SOR_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #544 = FCMP_SNE_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #543 = FCMP_SNE_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #542 = FCMP_SLT_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #541 = FCMP_SLT_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #540 = FCMP_SLE_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #539 = FCMP_SLE_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #538 = FCMP_SEQ_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #537 = FCMP_SEQ_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #536 = FCMP_SAF_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #535 = FCMP_SAF_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #534 = FCMP_CUN_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #533 = FCMP_CUN_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #532 = FCMP_CUNE_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #531 = FCMP_CUNE_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #530 = FCMP_CULT_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #529 = FCMP_CULT_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #528 = FCMP_CULE_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #527 = FCMP_CULE_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #526 = FCMP_CUEQ_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #525 = FCMP_CUEQ_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #524 = FCMP_COR_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #523 = FCMP_COR_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #522 = FCMP_CNE_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #521 = FCMP_CNE_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #520 = FCMP_CLT_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #519 = FCMP_CLT_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #518 = FCMP_CLE_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #517 = FCMP_CLE_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #516 = FCMP_CEQ_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #515 = FCMP_CEQ_D + { 3, &LoongArchDescs.OperandInfo[250] }, // Inst #514 = FCMP_CAF_S + { 3, &LoongArchDescs.OperandInfo[247] }, // Inst #513 = FCMP_CAF_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #512 = FCLASS_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #511 = FCLASS_D + { 3, &LoongArchDescs.OperandInfo[244] }, // Inst #510 = FADD_S + { 3, &LoongArchDescs.OperandInfo[241] }, // Inst #509 = FADD_D + { 2, &LoongArchDescs.OperandInfo[239] }, // Inst #508 = FABS_S + { 2, &LoongArchDescs.OperandInfo[237] }, // Inst #507 = FABS_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #506 = EXT_W_H + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #505 = EXT_W_B + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #504 = ERTN + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #503 = DIV_WU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #502 = DIV_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #501 = DIV_DU + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #500 = DIV_D + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #499 = DBCL + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #498 = DBAR + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #497 = CTZ_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #496 = CTZ_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #495 = CTO_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #494 = CTO_D + { 4, &LoongArchDescs.OperandInfo[233] }, // Inst #493 = CSRXCHG + { 3, &LoongArchDescs.OperandInfo[230] }, // Inst #492 = CSRWR + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #491 = CSRRD + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #490 = CRC_W_W_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #489 = CRC_W_H_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #488 = CRC_W_D_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #487 = CRC_W_B_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #486 = CRCC_W_W_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #485 = CRCC_W_H_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #484 = CRCC_W_D_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #483 = CRCC_W_B_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #482 = CPUCFG + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #481 = CLZ_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #480 = CLZ_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #479 = CLO_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #478 = CLO_D + { 3, &LoongArchDescs.OperandInfo[227] }, // Inst #477 = CACOP + { 4, &LoongArchDescs.OperandInfo[204] }, // Inst #476 = BYTEPICK_W + { 4, &LoongArchDescs.OperandInfo[204] }, // Inst #475 = BYTEPICK_D + { 4, &LoongArchDescs.OperandInfo[223] }, // Inst #474 = BSTRPICK_W + { 4, &LoongArchDescs.OperandInfo[223] }, // Inst #473 = BSTRPICK_D + { 5, &LoongArchDescs.OperandInfo[218] }, // Inst #472 = BSTRINS_W + { 5, &LoongArchDescs.OperandInfo[218] }, // Inst #471 = BSTRINS_D + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #470 = BREAK + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #469 = BNEZ + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #468 = BNE + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #467 = BLTU + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #466 = BLT + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #465 = BL + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #464 = BITREV_W + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #463 = BITREV_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #462 = BITREV_8B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #461 = BITREV_4B + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #460 = BGEU + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #459 = BGE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #458 = BEQZ + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #457 = BEQ + { 2, &LoongArchDescs.OperandInfo[216] }, // Inst #456 = BCNEZ + { 2, &LoongArchDescs.OperandInfo[216] }, // Inst #455 = BCEQZ + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #454 = B + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #453 = ASRTLE_D + { 2, &LoongArchDescs.OperandInfo[214] }, // Inst #452 = ASRTGT_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #451 = ARMXOR_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #450 = ARMSUB_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #449 = ARMSRL_W + { 3, &LoongArchDescs.OperandInfo[211] }, // Inst #448 = ARMSRLI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #447 = ARMSRA_W + { 3, &LoongArchDescs.OperandInfo[211] }, // Inst #446 = ARMSRAI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #445 = ARMSLL_W + { 3, &LoongArchDescs.OperandInfo[211] }, // Inst #444 = ARMSLLI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #443 = ARMSBC_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #442 = ARMRRX_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #441 = ARMROTR_W + { 3, &LoongArchDescs.OperandInfo[211] }, // Inst #440 = ARMROTRI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #439 = ARMOR_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #438 = ARMNOT_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #437 = ARMMTFLAG + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #436 = ARMMOV_W + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #435 = ARMMOV_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #434 = ARMMOVE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #433 = ARMMFFLAG + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #432 = ARMAND_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #431 = ARMADD_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #430 = ARMADC_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #429 = ANDN + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #428 = ANDI + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #427 = AND + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #426 = AMXOR__DB_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #425 = AMXOR__DB_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #424 = AMXOR_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #423 = AMXOR_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #422 = AMSWAP__DB_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #421 = AMSWAP__DB_H + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #420 = AMSWAP__DB_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #419 = AMSWAP__DB_B + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #418 = AMSWAP_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #417 = AMSWAP_H + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #416 = AMSWAP_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #415 = AMSWAP_B + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #414 = AMOR__DB_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #413 = AMOR__DB_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #412 = AMOR_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #411 = AMOR_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #410 = AMMIN__DB_WU + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #409 = AMMIN__DB_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #408 = AMMIN__DB_DU + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #407 = AMMIN__DB_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #406 = AMMIN_WU + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #405 = AMMIN_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #404 = AMMIN_DU + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #403 = AMMIN_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #402 = AMMAX__DB_WU + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #401 = AMMAX__DB_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #400 = AMMAX__DB_DU + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #399 = AMMAX__DB_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #398 = AMMAX_WU + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #397 = AMMAX_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #396 = AMMAX_DU + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #395 = AMMAX_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #394 = AMCAS__DB_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #393 = AMCAS__DB_H + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #392 = AMCAS__DB_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #391 = AMCAS__DB_B + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #390 = AMCAS_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #389 = AMCAS_H + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #388 = AMCAS_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #387 = AMCAS_B + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #386 = AMAND__DB_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #385 = AMAND__DB_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #384 = AMAND_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #383 = AMAND_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #382 = AMADD__DB_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #381 = AMADD__DB_H + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #380 = AMADD__DB_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #379 = AMADD__DB_B + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #378 = AMADD_W + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #377 = AMADD_H + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #376 = AMADD_D + { 3, &LoongArchDescs.OperandInfo[208] }, // Inst #375 = AMADD_B + { 4, &LoongArchDescs.OperandInfo[204] }, // Inst #374 = ALSL_WU + { 4, &LoongArchDescs.OperandInfo[204] }, // Inst #373 = ALSL_W + { 4, &LoongArchDescs.OperandInfo[204] }, // Inst #372 = ALSL_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #371 = ADD_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #370 = ADD_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #369 = ADDU16I_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #368 = ADDU12I_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #367 = ADDU12I_D + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #366 = ADDI_W + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #365 = ADDI_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #364 = ADC_W + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #363 = ADC_H + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #362 = ADC_D + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #361 = ADC_B + { 2, &LoongArchDescs.OperandInfo[202] }, // Inst #360 = WRFCSR + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #359 = RDFCSR + { 2, &LoongArchDescs.OperandInfo[200] }, // Inst #358 = PseudoXVREPLI_W + { 2, &LoongArchDescs.OperandInfo[200] }, // Inst #357 = PseudoXVREPLI_H + { 2, &LoongArchDescs.OperandInfo[200] }, // Inst #356 = PseudoXVREPLI_D + { 2, &LoongArchDescs.OperandInfo[200] }, // Inst #355 = PseudoXVREPLI_B + { 4, &LoongArchDescs.OperandInfo[196] }, // Inst #354 = PseudoXVINSGR2VR_H + { 4, &LoongArchDescs.OperandInfo[196] }, // Inst #353 = PseudoXVINSGR2VR_B + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #352 = PseudoXVBZ_W + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #351 = PseudoXVBZ_H + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #350 = PseudoXVBZ_D + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #349 = PseudoXVBZ_B + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #348 = PseudoXVBZ + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #347 = PseudoXVBNZ_W + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #346 = PseudoXVBNZ_H + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #345 = PseudoXVBNZ_D + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #344 = PseudoXVBNZ_B + { 2, &LoongArchDescs.OperandInfo[194] }, // Inst #343 = PseudoXVBNZ + { 2, &LoongArchDescs.OperandInfo[192] }, // Inst #342 = PseudoVREPLI_W + { 2, &LoongArchDescs.OperandInfo[192] }, // Inst #341 = PseudoVREPLI_H + { 2, &LoongArchDescs.OperandInfo[192] }, // Inst #340 = PseudoVREPLI_D + { 2, &LoongArchDescs.OperandInfo[192] }, // Inst #339 = PseudoVREPLI_B + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #338 = PseudoVBZ_W + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #337 = PseudoVBZ_H + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #336 = PseudoVBZ_D + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #335 = PseudoVBZ_B + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #334 = PseudoVBZ + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #333 = PseudoVBNZ_W + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #332 = PseudoVBNZ_H + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #331 = PseudoVBNZ_D + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #330 = PseudoVBNZ_B + { 2, &LoongArchDescs.OperandInfo[190] }, // Inst #329 = PseudoVBNZ + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #328 = PseudoUNIMP + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #327 = PseudoTAIL_MEDIUM + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #326 = PseudoTAIL_LARGE + { 1, &LoongArchDescs.OperandInfo[189] }, // Inst #325 = PseudoTAILIndirect + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #324 = PseudoTAIL36 + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #323 = PseudoTAIL + { 3, &LoongArchDescs.OperandInfo[162] }, // Inst #322 = PseudoST_CFR + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #321 = PseudoRET + { 7, &LoongArchDescs.OperandInfo[182] }, // Inst #320 = PseudoMaskedCmpXchg32 + { 6, &LoongArchDescs.OperandInfo[151] }, // Inst #319 = PseudoMaskedAtomicSwap32 + { 7, &LoongArchDescs.OperandInfo[175] }, // Inst #318 = PseudoMaskedAtomicLoadUMin32 + { 7, &LoongArchDescs.OperandInfo[175] }, // Inst #317 = PseudoMaskedAtomicLoadUMax32 + { 6, &LoongArchDescs.OperandInfo[151] }, // Inst #316 = PseudoMaskedAtomicLoadSub32 + { 6, &LoongArchDescs.OperandInfo[151] }, // Inst #315 = PseudoMaskedAtomicLoadNand32 + { 8, &LoongArchDescs.OperandInfo[167] }, // Inst #314 = PseudoMaskedAtomicLoadMin32 + { 8, &LoongArchDescs.OperandInfo[167] }, // Inst #313 = PseudoMaskedAtomicLoadMax32 + { 6, &LoongArchDescs.OperandInfo[151] }, // Inst #312 = PseudoMaskedAtomicLoadAdd32 + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #311 = PseudoLI_W + { 2, &LoongArchDescs.OperandInfo[165] }, // Inst #310 = PseudoLI_D + { 3, &LoongArchDescs.OperandInfo[162] }, // Inst #309 = PseudoLD_CFR + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #308 = PseudoLA_TLS_LE + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #307 = PseudoLA_TLS_LD_LARGE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #306 = PseudoLA_TLS_LD + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #305 = PseudoLA_TLS_IE_LARGE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #304 = PseudoLA_TLS_IE + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #303 = PseudoLA_TLS_GD_LARGE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #302 = PseudoLA_TLS_GD + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #301 = PseudoLA_PCREL_LARGE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #300 = PseudoLA_PCREL + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #299 = PseudoLA_GOT_LARGE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #298 = PseudoLA_GOT + { 3, &LoongArchDescs.OperandInfo[159] }, // Inst #297 = PseudoLA_ABS_LARGE + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #296 = PseudoLA_ABS + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #295 = PseudoJIRL_TAIL + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #294 = PseudoJIRL_CALL + { 2, &LoongArchDescs.OperandInfo[157] }, // Inst #293 = PseudoCopyCFR + { 6, &LoongArchDescs.OperandInfo[151] }, // Inst #292 = PseudoCmpXchg64 + { 6, &LoongArchDescs.OperandInfo[151] }, // Inst #291 = PseudoCmpXchg32 + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #290 = PseudoCALL_MEDIUM + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #289 = PseudoCALL_LARGE + { 1, &LoongArchDescs.OperandInfo[150] }, // Inst #288 = PseudoCALLIndirect + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #287 = PseudoCALL36 + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #286 = PseudoCALL + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #285 = PseudoB_TAIL + { 2, &LoongArchDescs.OperandInfo[148] }, // Inst #284 = PseudoBRIND + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #283 = PseudoBR + { 5, &LoongArchDescs.OperandInfo[140] }, // Inst #282 = PseudoAtomicSwap32 + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #281 = PseudoAtomicStoreW + { 3, &LoongArchDescs.OperandInfo[145] }, // Inst #280 = PseudoAtomicStoreD + { 5, &LoongArchDescs.OperandInfo[140] }, // Inst #279 = PseudoAtomicLoadXor32 + { 5, &LoongArchDescs.OperandInfo[140] }, // Inst #278 = PseudoAtomicLoadSub32 + { 5, &LoongArchDescs.OperandInfo[140] }, // Inst #277 = PseudoAtomicLoadOr32 + { 5, &LoongArchDescs.OperandInfo[140] }, // Inst #276 = PseudoAtomicLoadNand64 + { 5, &LoongArchDescs.OperandInfo[140] }, // Inst #275 = PseudoAtomicLoadNand32 + { 5, &LoongArchDescs.OperandInfo[140] }, // Inst #274 = PseudoAtomicLoadAnd32 + { 5, &LoongArchDescs.OperandInfo[140] }, // Inst #273 = PseudoAtomicLoadAdd32 + { 2, &LoongArchDescs.OperandInfo[21] }, // Inst #272 = ADJCALLSTACKUP + { 2, &LoongArchDescs.OperandInfo[21] }, // Inst #271 = ADJCALLSTACKDOWN + { 4, &LoongArchDescs.OperandInfo[136] }, // Inst #270 = G_UBFX + { 4, &LoongArchDescs.OperandInfo[136] }, // Inst #269 = G_SBFX + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #268 = G_VECREDUCE_UMIN + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #267 = G_VECREDUCE_UMAX + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #266 = G_VECREDUCE_SMIN + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #265 = G_VECREDUCE_SMAX + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #264 = G_VECREDUCE_XOR + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #263 = G_VECREDUCE_OR + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #262 = G_VECREDUCE_AND + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #261 = G_VECREDUCE_MUL + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #260 = G_VECREDUCE_ADD + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #259 = G_VECREDUCE_FMINIMUM + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #258 = G_VECREDUCE_FMAXIMUM + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #257 = G_VECREDUCE_FMIN + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #256 = G_VECREDUCE_FMAX + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #255 = G_VECREDUCE_FMUL + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #254 = G_VECREDUCE_FADD + { 3, &LoongArchDescs.OperandInfo[123] }, // Inst #253 = G_VECREDUCE_SEQ_FMUL + { 3, &LoongArchDescs.OperandInfo[123] }, // Inst #252 = G_VECREDUCE_SEQ_FADD + { 3, &LoongArchDescs.OperandInfo[53] }, // Inst #251 = G_BZERO + { 4, &LoongArchDescs.OperandInfo[132] }, // Inst #250 = G_MEMSET + { 4, &LoongArchDescs.OperandInfo[132] }, // Inst #249 = G_MEMMOVE + { 3, &LoongArchDescs.OperandInfo[123] }, // Inst #248 = G_MEMCPY_INLINE + { 4, &LoongArchDescs.OperandInfo[132] }, // Inst #247 = G_MEMCPY + { 2, &LoongArchDescs.OperandInfo[130] }, // Inst #246 = G_WRITE_REGISTER + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #245 = G_READ_REGISTER + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #244 = G_STRICT_FLDEXP + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #243 = G_STRICT_FSQRT + { 4, &LoongArchDescs.OperandInfo[46] }, // Inst #242 = G_STRICT_FMA + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #241 = G_STRICT_FREM + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #240 = G_STRICT_FDIV + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #239 = G_STRICT_FMUL + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #238 = G_STRICT_FSUB + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #237 = G_STRICT_FADD + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #236 = G_STACKRESTORE + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #235 = G_STACKSAVE + { 3, &LoongArchDescs.OperandInfo[64] }, // Inst #234 = G_DYN_STACKALLOC + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #233 = G_JUMP_TABLE + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #232 = G_BLOCK_ADDR + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #231 = G_ADDRSPACE_CAST + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #230 = G_FNEARBYINT + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #229 = G_FRINT + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #228 = G_FFLOOR + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #227 = G_FSQRT + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #226 = G_FSIN + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #225 = G_FCOS + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #224 = G_FCEIL + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #223 = G_BITREVERSE + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #222 = G_BSWAP + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #221 = G_CTPOP + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #220 = G_CTLZ_ZERO_UNDEF + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #219 = G_CTLZ + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #218 = G_CTTZ_ZERO_UNDEF + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #217 = G_CTTZ + { 4, &LoongArchDescs.OperandInfo[126] }, // Inst #216 = G_SHUFFLE_VECTOR + { 3, &LoongArchDescs.OperandInfo[123] }, // Inst #215 = G_EXTRACT_VECTOR_ELT + { 4, &LoongArchDescs.OperandInfo[119] }, // Inst #214 = G_INSERT_VECTOR_ELT + { 3, &LoongArchDescs.OperandInfo[116] }, // Inst #213 = G_BRJT + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #212 = G_BR + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #211 = G_LLROUND + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #210 = G_LROUND + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #209 = G_ABS + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #208 = G_UMAX + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #207 = G_UMIN + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #206 = G_SMAX + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #205 = G_SMIN + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #204 = G_PTRMASK + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #203 = G_PTR_ADD + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #202 = G_RESET_FPMODE + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #201 = G_SET_FPMODE + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #200 = G_GET_FPMODE + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #199 = G_RESET_FPENV + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #198 = G_SET_FPENV + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #197 = G_GET_FPENV + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #196 = G_FMAXIMUM + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #195 = G_FMINIMUM + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #194 = G_FMAXNUM_IEEE + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #193 = G_FMINNUM_IEEE + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #192 = G_FMAXNUM + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #191 = G_FMINNUM + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #190 = G_FCANONICALIZE + { 3, &LoongArchDescs.OperandInfo[93] }, // Inst #189 = G_IS_FPCLASS + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #188 = G_FCOPYSIGN + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #187 = G_FABS + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #186 = G_UITOFP + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #185 = G_SITOFP + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #184 = G_FPTOUI + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #183 = G_FPTOSI + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #182 = G_FPTRUNC + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #181 = G_FPEXT + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #180 = G_FNEG + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #179 = G_FFREXP + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #178 = G_FLDEXP + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #177 = G_FLOG10 + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #176 = G_FLOG2 + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #175 = G_FLOG + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #174 = G_FEXP10 + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #173 = G_FEXP2 + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #172 = G_FEXP + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #171 = G_FPOWI + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #170 = G_FPOW + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #169 = G_FREM + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #168 = G_FDIV + { 4, &LoongArchDescs.OperandInfo[46] }, // Inst #167 = G_FMAD + { 4, &LoongArchDescs.OperandInfo[46] }, // Inst #166 = G_FMA + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #165 = G_FMUL + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #164 = G_FSUB + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #163 = G_FADD + { 4, &LoongArchDescs.OperandInfo[112] }, // Inst #162 = G_UDIVFIXSAT + { 4, &LoongArchDescs.OperandInfo[112] }, // Inst #161 = G_SDIVFIXSAT + { 4, &LoongArchDescs.OperandInfo[112] }, // Inst #160 = G_UDIVFIX + { 4, &LoongArchDescs.OperandInfo[112] }, // Inst #159 = G_SDIVFIX + { 4, &LoongArchDescs.OperandInfo[112] }, // Inst #158 = G_UMULFIXSAT + { 4, &LoongArchDescs.OperandInfo[112] }, // Inst #157 = G_SMULFIXSAT + { 4, &LoongArchDescs.OperandInfo[112] }, // Inst #156 = G_UMULFIX + { 4, &LoongArchDescs.OperandInfo[112] }, // Inst #155 = G_SMULFIX + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #154 = G_SSHLSAT + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #153 = G_USHLSAT + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #152 = G_SSUBSAT + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #151 = G_USUBSAT + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #150 = G_SADDSAT + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #149 = G_UADDSAT + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #148 = G_SMULH + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #147 = G_UMULH + { 4, &LoongArchDescs.OperandInfo[82] }, // Inst #146 = G_SMULO + { 4, &LoongArchDescs.OperandInfo[82] }, // Inst #145 = G_UMULO + { 5, &LoongArchDescs.OperandInfo[107] }, // Inst #144 = G_SSUBE + { 4, &LoongArchDescs.OperandInfo[82] }, // Inst #143 = G_SSUBO + { 5, &LoongArchDescs.OperandInfo[107] }, // Inst #142 = G_SADDE + { 4, &LoongArchDescs.OperandInfo[82] }, // Inst #141 = G_SADDO + { 5, &LoongArchDescs.OperandInfo[107] }, // Inst #140 = G_USUBE + { 4, &LoongArchDescs.OperandInfo[82] }, // Inst #139 = G_USUBO + { 5, &LoongArchDescs.OperandInfo[107] }, // Inst #138 = G_UADDE + { 4, &LoongArchDescs.OperandInfo[82] }, // Inst #137 = G_UADDO + { 4, &LoongArchDescs.OperandInfo[82] }, // Inst #136 = G_SELECT + { 4, &LoongArchDescs.OperandInfo[103] }, // Inst #135 = G_FCMP + { 4, &LoongArchDescs.OperandInfo[103] }, // Inst #134 = G_ICMP + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #133 = G_ROTL + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #132 = G_ROTR + { 4, &LoongArchDescs.OperandInfo[99] }, // Inst #131 = G_FSHR + { 4, &LoongArchDescs.OperandInfo[99] }, // Inst #130 = G_FSHL + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #129 = G_ASHR + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #128 = G_LSHR + { 3, &LoongArchDescs.OperandInfo[96] }, // Inst #127 = G_SHL + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #126 = G_ZEXT + { 3, &LoongArchDescs.OperandInfo[40] }, // Inst #125 = G_SEXT_INREG + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #124 = G_SEXT + { 3, &LoongArchDescs.OperandInfo[93] }, // Inst #123 = G_VAARG + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #122 = G_VASTART + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #121 = G_FCONSTANT + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #120 = G_CONSTANT + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #119 = G_TRUNC + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #118 = G_ANYEXT + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #117 = G_INTRINSIC_CONVERGENT_W_SIDE_EFFECTS + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #116 = G_INTRINSIC_CONVERGENT + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #115 = G_INTRINSIC_W_SIDE_EFFECTS + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #114 = G_INTRINSIC + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #113 = G_INVOKE_REGION_START + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #112 = G_BRINDIRECT + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #111 = G_BRCOND + { 4, &LoongArchDescs.OperandInfo[89] }, // Inst #110 = G_PREFETCH + { 2, &LoongArchDescs.OperandInfo[21] }, // Inst #109 = G_FENCE + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #108 = G_ATOMICRMW_UDEC_WRAP + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #107 = G_ATOMICRMW_UINC_WRAP + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #106 = G_ATOMICRMW_FMIN + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #105 = G_ATOMICRMW_FMAX + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #104 = G_ATOMICRMW_FSUB + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #103 = G_ATOMICRMW_FADD + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #102 = G_ATOMICRMW_UMIN + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #101 = G_ATOMICRMW_UMAX + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #100 = G_ATOMICRMW_MIN + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #99 = G_ATOMICRMW_MAX + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #98 = G_ATOMICRMW_XOR + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #97 = G_ATOMICRMW_OR + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #96 = G_ATOMICRMW_NAND + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #95 = G_ATOMICRMW_AND + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #94 = G_ATOMICRMW_SUB + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #93 = G_ATOMICRMW_ADD + { 3, &LoongArchDescs.OperandInfo[86] }, // Inst #92 = G_ATOMICRMW_XCHG + { 4, &LoongArchDescs.OperandInfo[82] }, // Inst #91 = G_ATOMIC_CMPXCHG + { 5, &LoongArchDescs.OperandInfo[77] }, // Inst #90 = G_ATOMIC_CMPXCHG_WITH_SUCCESS + { 5, &LoongArchDescs.OperandInfo[72] }, // Inst #89 = G_INDEXED_STORE + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #88 = G_STORE + { 5, &LoongArchDescs.OperandInfo[67] }, // Inst #87 = G_INDEXED_ZEXTLOAD + { 5, &LoongArchDescs.OperandInfo[67] }, // Inst #86 = G_INDEXED_SEXTLOAD + { 5, &LoongArchDescs.OperandInfo[67] }, // Inst #85 = G_INDEXED_LOAD + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #84 = G_ZEXTLOAD + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #83 = G_SEXTLOAD + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #82 = G_LOAD + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #81 = G_READCYCLECOUNTER + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #80 = G_INTRINSIC_ROUNDEVEN + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #79 = G_INTRINSIC_LRINT + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #78 = G_INTRINSIC_ROUND + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #77 = G_INTRINSIC_TRUNC + { 3, &LoongArchDescs.OperandInfo[64] }, // Inst #76 = G_INTRINSIC_FPTRUNC_ROUND + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #75 = G_CONSTANT_FOLD_BARRIER + { 2, &LoongArchDescs.OperandInfo[62] }, // Inst #74 = G_FREEZE + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #73 = G_BITCAST + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #72 = G_INTTOPTR + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #71 = G_PTRTOINT + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #70 = G_CONCAT_VECTORS + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #69 = G_BUILD_VECTOR_TRUNC + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #68 = G_BUILD_VECTOR + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #67 = G_MERGE_VALUES + { 4, &LoongArchDescs.OperandInfo[58] }, // Inst #66 = G_INSERT + { 2, &LoongArchDescs.OperandInfo[56] }, // Inst #65 = G_UNMERGE_VALUES + { 3, &LoongArchDescs.OperandInfo[53] }, // Inst #64 = G_EXTRACT + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #63 = G_CONSTANT_POOL + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #62 = G_GLOBAL_VALUE + { 2, &LoongArchDescs.OperandInfo[51] }, // Inst #61 = G_FRAME_INDEX + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #60 = G_PHI + { 1, &LoongArchDescs.OperandInfo[50] }, // Inst #59 = G_IMPLICIT_DEF + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #58 = G_XOR + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #57 = G_OR + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #56 = G_AND + { 4, &LoongArchDescs.OperandInfo[46] }, // Inst #55 = G_UDIVREM + { 4, &LoongArchDescs.OperandInfo[46] }, // Inst #54 = G_SDIVREM + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #53 = G_UREM + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #52 = G_SREM + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #51 = G_UDIV + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #50 = G_SDIV + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #49 = G_MUL + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #48 = G_SUB + { 3, &LoongArchDescs.OperandInfo[43] }, // Inst #47 = G_ADD + { 3, &LoongArchDescs.OperandInfo[40] }, // Inst #46 = G_ASSERT_ALIGN + { 3, &LoongArchDescs.OperandInfo[40] }, // Inst #45 = G_ASSERT_ZEXT + { 3, &LoongArchDescs.OperandInfo[40] }, // Inst #44 = G_ASSERT_SEXT + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #43 = JUMP_TABLE_DEBUG_INFO + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #42 = MEMBARRIER + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #41 = ICALL_BRANCH_FUNNEL + { 3, &LoongArchDescs.OperandInfo[37] }, // Inst #40 = PATCHABLE_TYPED_EVENT_CALL + { 2, &LoongArchDescs.OperandInfo[35] }, // Inst #39 = PATCHABLE_EVENT_CALL + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #38 = PATCHABLE_TAIL_CALL + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #37 = PATCHABLE_FUNCTION_EXIT + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #36 = PATCHABLE_RET + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #35 = PATCHABLE_FUNCTION_ENTER + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #34 = PATCHABLE_OP + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #33 = FAULTING_OP + { 2, &LoongArchDescs.OperandInfo[33] }, // Inst #32 = LOCAL_ESCAPE + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #31 = STATEPOINT + { 3, &LoongArchDescs.OperandInfo[30] }, // Inst #30 = PREALLOCATED_ARG + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #29 = PREALLOCATED_SETUP + { 1, &LoongArchDescs.OperandInfo[29] }, // Inst #28 = LOAD_STACK_GUARD + { 6, &LoongArchDescs.OperandInfo[23] }, // Inst #27 = PATCHPOINT + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #26 = FENTRY_CALL + { 2, &LoongArchDescs.OperandInfo[21] }, // Inst #25 = STACKMAP + { 2, &LoongArchDescs.OperandInfo[19] }, // Inst #24 = ARITH_FENCE + { 4, &LoongArchDescs.OperandInfo[15] }, // Inst #23 = PSEUDO_PROBE + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #22 = LIFETIME_END + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #21 = LIFETIME_START + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #20 = BUNDLE + { 2, &LoongArchDescs.OperandInfo[13] }, // Inst #19 = COPY + { 2, &LoongArchDescs.OperandInfo[13] }, // Inst #18 = REG_SEQUENCE + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #17 = DBG_LABEL + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #16 = DBG_PHI + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #15 = DBG_INSTR_REF + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #14 = DBG_VALUE_LIST + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #13 = DBG_VALUE + { 3, &LoongArchDescs.OperandInfo[2] }, // Inst #12 = COPY_TO_REGCLASS + { 4, &LoongArchDescs.OperandInfo[9] }, // Inst #11 = SUBREG_TO_REG + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #10 = IMPLICIT_DEF + { 4, &LoongArchDescs.OperandInfo[5] }, // Inst #9 = INSERT_SUBREG + { 3, &LoongArchDescs.OperandInfo[2] }, // Inst #8 = EXTRACT_SUBREG + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #7 = KILL + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #6 = ANNOTATION_LABEL + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #5 = GC_LABEL + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #4 = EH_LABEL + { 1, &LoongArchDescs.OperandInfo[1] }, // Inst #3 = CFI_INSTRUCTION + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #2 = INLINEASM_BR + { 0, &LoongArchDescs.OperandInfo[1] }, // Inst #1 = INLINEASM + { 1, &LoongArchDescs.OperandInfo[0] }, // Inst #0 = PHI + }, { + /* 0 */ { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, + /* 1 */ + /* 1 */ { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, + /* 2 */ { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, + /* 5 */ { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, CONSTRAINT_MCOI_TIED_TO(0) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, + /* 9 */ { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, + /* 13 */ { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, + /* 15 */ { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, + /* 19 */ { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, CONSTRAINT_MCOI_TIED_TO(0) }, + /* 21 */ { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, + /* 23 */ { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, + /* 29 */ { 0, 0|(1<, 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + +#ifdef GET_REGINFO_MC_DESC +#undef GET_REGINFO_MC_DESC + +static const MCPhysReg LoongArchRegDiffLists[] = { + /* 0 */ -32, 64, -144, 0, + /* 4 */ 144, -64, 32, 0, +}; + +static const uint16_t LoongArchSubRegIdxLists[] = { + /* 0 */ 3, 2, 1, 0, +}; + +static const MCRegisterDesc LoongArchRegDesc[] = { // Descriptors + { 3, 0, 0, 0, 0, 0 }, + { 47, 3, 4, 3, 12288, 2 }, + { 116, 3, 4, 3, 12289, 2 }, + { 171, 3, 4, 3, 12290, 2 }, + { 226, 3, 4, 3, 12291, 2 }, + { 495, 3, 4, 3, 12292, 2 }, + { 539, 3, 4, 3, 12293, 2 }, + { 583, 3, 4, 3, 12294, 2 }, + { 627, 3, 4, 3, 12295, 2 }, + { 666, 3, 4, 3, 12296, 2 }, + { 705, 3, 4, 3, 12297, 2 }, + { 0, 3, 4, 3, 12298, 2 }, + { 69, 3, 4, 3, 12299, 2 }, + { 138, 3, 4, 3, 12300, 2 }, + { 193, 3, 4, 3, 12301, 2 }, + { 248, 3, 4, 3, 12302, 2 }, + { 506, 3, 4, 3, 12303, 2 }, + { 550, 3, 4, 3, 12304, 2 }, + { 594, 3, 4, 3, 12305, 2 }, + { 638, 3, 4, 3, 12306, 2 }, + { 677, 3, 4, 3, 12307, 2 }, + { 14, 3, 4, 3, 12308, 2 }, + { 83, 3, 4, 3, 12309, 2 }, + { 152, 3, 4, 3, 12310, 2 }, + { 207, 3, 4, 3, 12311, 2 }, + { 262, 3, 4, 3, 12312, 2 }, + { 520, 3, 4, 3, 12313, 2 }, + { 564, 3, 4, 3, 12314, 2 }, + { 608, 3, 4, 3, 12315, 2 }, + { 652, 3, 4, 3, 12316, 2 }, + { 691, 3, 4, 3, 12317, 2 }, + { 28, 3, 4, 3, 12318, 2 }, + { 97, 3, 4, 3, 12319, 2 }, + { 42, 3, 3, 3, 12320, 2 }, + { 111, 3, 3, 3, 12321, 2 }, + { 166, 3, 3, 3, 12322, 2 }, + { 221, 3, 3, 3, 12323, 2 }, + { 490, 3, 3, 3, 12324, 2 }, + { 534, 3, 3, 3, 12325, 2 }, + { 578, 3, 3, 3, 12326, 2 }, + { 622, 3, 3, 3, 12327, 2 }, + { 55, 3, 3, 3, 12328, 2 }, + { 124, 3, 3, 3, 12329, 2 }, + { 179, 3, 3, 3, 12330, 2 }, + { 234, 3, 3, 3, 12331, 2 }, + { 52, 3, 3, 3, 12332, 2 }, + { 121, 3, 3, 3, 12333, 2 }, + { 176, 3, 3, 3, 12334, 2 }, + { 231, 3, 3, 3, 12335, 2 }, + { 499, 3, 3, 3, 12336, 2 }, + { 543, 3, 3, 3, 12337, 2 }, + { 587, 3, 3, 3, 12338, 2 }, + { 631, 3, 3, 3, 12339, 2 }, + { 670, 3, 3, 3, 12340, 2 }, + { 709, 3, 3, 3, 12341, 2 }, + { 5, 3, 3, 3, 12342, 2 }, + { 74, 3, 3, 3, 12343, 2 }, + { 143, 3, 3, 3, 12344, 2 }, + { 198, 3, 3, 3, 12345, 2 }, + { 253, 3, 3, 3, 12346, 2 }, + { 511, 3, 3, 3, 12347, 2 }, + { 555, 3, 3, 3, 12348, 2 }, + { 599, 3, 3, 3, 12349, 2 }, + { 643, 3, 3, 3, 12350, 2 }, + { 682, 3, 3, 3, 12351, 2 }, + { 19, 3, 3, 3, 12352, 2 }, + { 88, 3, 3, 3, 12353, 2 }, + { 157, 3, 3, 3, 12354, 2 }, + { 212, 3, 3, 3, 12355, 2 }, + { 267, 3, 3, 3, 12356, 2 }, + { 525, 3, 3, 3, 12357, 2 }, + { 569, 3, 3, 3, 12358, 2 }, + { 613, 3, 3, 3, 12359, 2 }, + { 657, 3, 3, 3, 12360, 2 }, + { 696, 3, 3, 3, 12361, 2 }, + { 33, 3, 3, 3, 12362, 2 }, + { 102, 3, 3, 3, 12363, 2 }, + { 50, 3, 3, 3, 12364, 2 }, + { 119, 3, 3, 3, 12365, 2 }, + { 174, 3, 3, 3, 12366, 2 }, + { 229, 3, 3, 3, 12367, 2 }, + { 61, 1, 6, 1, 12288, 0 }, + { 130, 1, 6, 1, 12289, 0 }, + { 185, 1, 6, 1, 12290, 0 }, + { 240, 1, 6, 1, 12291, 0 }, + { 498, 1, 6, 1, 12292, 0 }, + { 542, 1, 6, 1, 12293, 0 }, + { 586, 1, 6, 1, 12294, 0 }, + { 630, 1, 6, 1, 12295, 0 }, + { 669, 1, 6, 1, 12296, 0 }, + { 708, 1, 6, 1, 12297, 0 }, + { 4, 1, 6, 1, 12298, 0 }, + { 73, 1, 6, 1, 12299, 0 }, + { 142, 1, 6, 1, 12300, 0 }, + { 197, 1, 6, 1, 12301, 0 }, + { 252, 1, 6, 1, 12302, 0 }, + { 510, 1, 6, 1, 12303, 0 }, + { 554, 1, 6, 1, 12304, 0 }, + { 598, 1, 6, 1, 12305, 0 }, + { 642, 1, 6, 1, 12306, 0 }, + { 681, 1, 6, 1, 12307, 0 }, + { 18, 1, 6, 1, 12308, 0 }, + { 87, 1, 6, 1, 12309, 0 }, + { 156, 1, 6, 1, 12310, 0 }, + { 211, 1, 6, 1, 12311, 0 }, + { 266, 1, 6, 1, 12312, 0 }, + { 524, 1, 6, 1, 12313, 0 }, + { 568, 1, 6, 1, 12314, 0 }, + { 612, 1, 6, 1, 12315, 0 }, + { 656, 1, 6, 1, 12316, 0 }, + { 695, 1, 6, 1, 12317, 0 }, + { 32, 1, 6, 1, 12318, 0 }, + { 101, 1, 6, 1, 12319, 0 }, + { 65, 0, 3, 0, 12288, 0 }, + { 134, 0, 3, 0, 12289, 0 }, + { 189, 0, 3, 0, 12290, 0 }, + { 244, 0, 3, 0, 12291, 0 }, + { 502, 0, 3, 0, 12292, 0 }, + { 546, 0, 3, 0, 12293, 0 }, + { 590, 0, 3, 0, 12294, 0 }, + { 634, 0, 3, 0, 12295, 0 }, + { 673, 0, 3, 0, 12296, 0 }, + { 712, 0, 3, 0, 12297, 0 }, + { 9, 0, 3, 0, 12298, 0 }, + { 78, 0, 3, 0, 12299, 0 }, + { 147, 0, 3, 0, 12300, 0 }, + { 202, 0, 3, 0, 12301, 0 }, + { 257, 0, 3, 0, 12302, 0 }, + { 515, 0, 3, 0, 12303, 0 }, + { 559, 0, 3, 0, 12304, 0 }, + { 603, 0, 3, 0, 12305, 0 }, + { 647, 0, 3, 0, 12306, 0 }, + { 686, 0, 3, 0, 12307, 0 }, + { 23, 0, 3, 0, 12308, 0 }, + { 92, 0, 3, 0, 12309, 0 }, + { 161, 0, 3, 0, 12310, 0 }, + { 216, 0, 3, 0, 12311, 0 }, + { 271, 0, 3, 0, 12312, 0 }, + { 529, 0, 3, 0, 12313, 0 }, + { 573, 0, 3, 0, 12314, 0 }, + { 617, 0, 3, 0, 12315, 0 }, + { 661, 0, 3, 0, 12316, 0 }, + { 700, 0, 3, 0, 12317, 0 }, + { 37, 0, 3, 0, 12318, 0 }, + { 106, 0, 3, 0, 12319, 0 }, + { 297, 2, 5, 2, 12288, 0 }, + { 324, 2, 5, 2, 12289, 0 }, + { 344, 2, 5, 2, 12290, 0 }, + { 364, 2, 5, 2, 12291, 0 }, + { 384, 2, 5, 2, 12292, 0 }, + { 404, 2, 5, 2, 12293, 0 }, + { 424, 2, 5, 2, 12294, 0 }, + { 444, 2, 5, 2, 12295, 0 }, + { 464, 2, 5, 2, 12296, 0 }, + { 484, 2, 5, 2, 12297, 0 }, + { 276, 2, 5, 2, 12298, 0 }, + { 303, 2, 5, 2, 12299, 0 }, + { 330, 2, 5, 2, 12300, 0 }, + { 350, 2, 5, 2, 12301, 0 }, + { 370, 2, 5, 2, 12302, 0 }, + { 390, 2, 5, 2, 12303, 0 }, + { 410, 2, 5, 2, 12304, 0 }, + { 430, 2, 5, 2, 12305, 0 }, + { 450, 2, 5, 2, 12306, 0 }, + { 470, 2, 5, 2, 12307, 0 }, + { 283, 2, 5, 2, 12308, 0 }, + { 310, 2, 5, 2, 12309, 0 }, + { 337, 2, 5, 2, 12310, 0 }, + { 357, 2, 5, 2, 12311, 0 }, + { 377, 2, 5, 2, 12312, 0 }, + { 397, 2, 5, 2, 12313, 0 }, + { 417, 2, 5, 2, 12314, 0 }, + { 437, 2, 5, 2, 12315, 0 }, + { 457, 2, 5, 2, 12316, 0 }, + { 477, 2, 5, 2, 12317, 0 }, + { 290, 2, 5, 2, 12318, 0 }, + { 317, 2, 5, 2, 12319, 0 }, +}; + + // FPR32 Register Class... + static const MCPhysReg FPR32[] = { + LoongArch_F0, LoongArch_F1, LoongArch_F2, LoongArch_F3, LoongArch_F4, LoongArch_F5, LoongArch_F6, LoongArch_F7, LoongArch_F8, LoongArch_F9, LoongArch_F10, LoongArch_F11, LoongArch_F12, LoongArch_F13, LoongArch_F14, LoongArch_F15, LoongArch_F16, LoongArch_F17, LoongArch_F18, LoongArch_F19, LoongArch_F20, LoongArch_F21, LoongArch_F22, LoongArch_F23, LoongArch_F24, LoongArch_F25, LoongArch_F26, LoongArch_F27, LoongArch_F28, LoongArch_F29, LoongArch_F30, LoongArch_F31, + }; + + // FPR32 Bit set. + static const uint8_t FPR32Bits[] = { + 0xfe, 0xff, 0xff, 0xff, 0x01, + }; + + // GPR Register Class... + static const MCPhysReg GPR[] = { + LoongArch_R4, LoongArch_R5, LoongArch_R6, LoongArch_R7, LoongArch_R8, LoongArch_R9, LoongArch_R10, LoongArch_R11, LoongArch_R12, LoongArch_R13, LoongArch_R14, LoongArch_R15, LoongArch_R16, LoongArch_R17, LoongArch_R18, LoongArch_R19, LoongArch_R20, LoongArch_R22, LoongArch_R23, LoongArch_R24, LoongArch_R25, LoongArch_R26, LoongArch_R27, LoongArch_R28, LoongArch_R29, LoongArch_R30, LoongArch_R31, LoongArch_R0, LoongArch_R1, LoongArch_R2, LoongArch_R3, LoongArch_R21, + }; + + // GPR Bit set. + static const uint8_t GPRBits[] = { + 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x1f, + }; + + // GPRT Register Class... + static const MCPhysReg GPRT[] = { + LoongArch_R4, LoongArch_R5, LoongArch_R6, LoongArch_R7, LoongArch_R8, LoongArch_R9, LoongArch_R10, LoongArch_R11, LoongArch_R12, LoongArch_R13, LoongArch_R14, LoongArch_R15, LoongArch_R16, LoongArch_R17, LoongArch_R18, LoongArch_R19, LoongArch_R20, + }; + + // GPRT Bit set. + static const uint8_t GPRTBits[] = { + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0x03, + }; + + // CFR Register Class... + static const MCPhysReg CFR[] = { + LoongArch_FCC0, LoongArch_FCC1, LoongArch_FCC2, LoongArch_FCC3, LoongArch_FCC4, LoongArch_FCC5, LoongArch_FCC6, LoongArch_FCC7, + }; + + // CFR Bit set. + static const uint8_t CFRBits[] = { + 0x00, 0x00, 0x00, 0x00, 0xfe, 0x01, + }; + + // FCSR Register Class... + static const MCPhysReg FCSR[] = { + LoongArch_FCSR0, LoongArch_FCSR1, LoongArch_FCSR2, LoongArch_FCSR3, + }; + + // FCSR Bit set. + static const uint8_t FCSRBits[] = { + 0x00, 0x00, 0x00, 0x00, 0x00, 0x1e, + }; + + // SCR Register Class... + static const MCPhysReg SCR[] = { + LoongArch_SCR0, LoongArch_SCR1, LoongArch_SCR2, LoongArch_SCR3, + }; + + // SCR Bit set. + static const uint8_t SCRBits[] = { + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x01, + }; + + // FPR64 Register Class... + static const MCPhysReg FPR64[] = { + LoongArch_F0_64, LoongArch_F1_64, LoongArch_F2_64, LoongArch_F3_64, LoongArch_F4_64, LoongArch_F5_64, LoongArch_F6_64, LoongArch_F7_64, LoongArch_F8_64, LoongArch_F9_64, LoongArch_F10_64, LoongArch_F11_64, LoongArch_F12_64, LoongArch_F13_64, LoongArch_F14_64, LoongArch_F15_64, LoongArch_F16_64, LoongArch_F17_64, LoongArch_F18_64, LoongArch_F19_64, LoongArch_F20_64, LoongArch_F21_64, LoongArch_F22_64, LoongArch_F23_64, LoongArch_F24_64, LoongArch_F25_64, LoongArch_F26_64, LoongArch_F27_64, LoongArch_F28_64, LoongArch_F29_64, LoongArch_F30_64, LoongArch_F31_64, + }; + + // FPR64 Bit set. + static const uint8_t FPR64Bits[] = { + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, + }; + + // LSX128 Register Class... + static const MCPhysReg LSX128[] = { + LoongArch_VR0, LoongArch_VR1, LoongArch_VR2, LoongArch_VR3, LoongArch_VR4, LoongArch_VR5, LoongArch_VR6, LoongArch_VR7, LoongArch_VR8, LoongArch_VR9, LoongArch_VR10, LoongArch_VR11, LoongArch_VR12, LoongArch_VR13, LoongArch_VR14, LoongArch_VR15, LoongArch_VR16, LoongArch_VR17, LoongArch_VR18, LoongArch_VR19, LoongArch_VR20, LoongArch_VR21, LoongArch_VR22, LoongArch_VR23, LoongArch_VR24, LoongArch_VR25, LoongArch_VR26, LoongArch_VR27, LoongArch_VR28, LoongArch_VR29, LoongArch_VR30, LoongArch_VR31, + }; + + // LSX128 Bit set. + static const uint8_t LSX128Bits[] = { + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, + }; + + // LASX256 Register Class... + static const MCPhysReg LASX256[] = { + LoongArch_XR0, LoongArch_XR1, LoongArch_XR2, LoongArch_XR3, LoongArch_XR4, LoongArch_XR5, LoongArch_XR6, LoongArch_XR7, LoongArch_XR8, LoongArch_XR9, LoongArch_XR10, LoongArch_XR11, LoongArch_XR12, LoongArch_XR13, LoongArch_XR14, LoongArch_XR15, LoongArch_XR16, LoongArch_XR17, LoongArch_XR18, LoongArch_XR19, LoongArch_XR20, LoongArch_XR21, LoongArch_XR22, LoongArch_XR23, LoongArch_XR24, LoongArch_XR25, LoongArch_XR26, LoongArch_XR27, LoongArch_XR28, LoongArch_XR29, LoongArch_XR30, LoongArch_XR31, + }; + + // LASX256 Bit set. + static const uint8_t LASX256Bits[] = { + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, + }; + +static const MCRegisterClass LoongArchMCRegisterClasses[] = { + { FPR32, FPR32Bits, sizeof(FPR32Bits) }, + { GPR, GPRBits, sizeof(GPRBits) }, + { GPRT, GPRTBits, sizeof(GPRTBits) }, + { CFR, CFRBits, sizeof(CFRBits) }, + { FCSR, FCSRBits, sizeof(FCSRBits) }, + { SCR, SCRBits, sizeof(SCRBits) }, + { FPR64, FPR64Bits, sizeof(FPR64Bits) }, + { LSX128, LSX128Bits, sizeof(LSX128Bits) }, + { LASX256, LASX256Bits, sizeof(LASX256Bits) }, +}; + +static const uint16_t LoongArchRegEncodingTable[] = { + 0, + 0, + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 31, + 0, + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 0, + 1, + 2, + 3, + 0, + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 31, + 0, + 1, + 2, + 3, + 0, + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 31, + 0, + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 31, + 0, + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 31, +}; +#endif // GET_REGINFO_MC_DESC + + + diff --git a/arch/LoongArch/LoongArchGenSubtargetInfo.inc b/arch/LoongArch/LoongArchGenSubtargetInfo.inc new file mode 100644 index 0000000000..c7d7d837b5 --- /dev/null +++ b/arch/LoongArch/LoongArchGenSubtargetInfo.inc @@ -0,0 +1,38 @@ +/* Capstone Disassembly Engine, https://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2024 */ +/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Do not edit. */ + +/* Capstone's LLVM TableGen Backends: */ +/* https://github.com/capstone-engine/llvm-capstone */ + +#ifdef GET_SUBTARGETINFO_ENUM +#undef GET_SUBTARGETINFO_ENUM + +enum { + LoongArch_Feature32Bit = 0, + LoongArch_Feature64Bit = 1, + LoongArch_FeatureAutoVec = 2, + LoongArch_FeatureBasicD = 3, + LoongArch_FeatureBasicF = 4, + LoongArch_FeatureExtLASX = 5, + LoongArch_FeatureExtLBT = 6, + LoongArch_FeatureExtLSX = 7, + LoongArch_FeatureExtLVZ = 8, + LoongArch_FeatureFrecipe = 9, + LoongArch_FeatureRelax = 10, + LoongArch_FeatureUAL = 11, + LoongArch_LaGlobalWithAbs = 12, + LoongArch_LaGlobalWithPcrel = 13, + LoongArch_LaLocalWithAbs = 14, + LoongArch_NumSubtargetFeatures = 15 +}; +#endif // GET_SUBTARGETINFO_ENUM + + + diff --git a/arch/LoongArch/LoongArchInstPrinter.c b/arch/LoongArch/LoongArchInstPrinter.c new file mode 100644 index 0000000000..f3e47094c3 --- /dev/null +++ b/arch/LoongArch/LoongArchInstPrinter.c @@ -0,0 +1,102 @@ +/* Capstone Disassembly Engine, http://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2023 */ +/* Automatically translated source file from LLVM. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Only small edits allowed. */ +/* For multiple similar edits, please create a Patch for the translator. */ + +/* Capstone's C++ file translator: */ +/* https://github.com/capstone-engine/capstone/tree/next/suite/auto-sync */ + +//===- LoongArchInstPrinter.cpp - Convert LoongArch MCInst to asm syntax --===// +// +// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions. +// See https://llvm.org/LICENSE.txt for license information. +// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception +// +//===----------------------------------------------------------------------===// +// +// This class prints an LoongArch MCInst to a .s file. +// +//===----------------------------------------------------------------------===// + +#include +#include +#include +#include + +#include "LoongArchMapping.h" +#include "LoongArchInstPrinter.h" + +#define GET_SUBTARGETINFO_ENUM +#include "LoongArchGenSubtargetInfo.inc" + +#define GET_INSTRINFO_ENUM +#include "LoongArchGenInstrInfo.inc" + +#define GET_REGINFO_ENUM +#include "LoongArchGenRegisterInfo.inc" + +#define CONCAT(a, b) CONCAT_(a, b) +#define CONCAT_(a, b) a##_##b + +#define DEBUG_TYPE "loongarch-asm-printer" + +// Include the auto-generated portion of the assembly writer. +#define PRINT_ALIAS_INSTR +#include "LoongArchGenAsmWriter.inc" + +static void printInst(MCInst *MI, uint64_t Address, const char *Annot, + SStream *O) +{ + if (!printAliasInstr(MI, Address, O)) + printInstruction(MI, Address, O); + ; +} + +void LoongArch_LLVM_printInst(MCInst *MI, uint64_t Address, const char *Annot, + SStream *O) +{ + printInst(MI, Address, Annot, O); +} + +const char *LoongArch_LLVM_getRegisterName(unsigned RegNo, unsigned AltIdx) +{ + return getRegisterName(RegNo, AltIdx); +} + +static void printRegName(SStream *O, MCRegister Reg) +{ + SStream_concat1(O, '$'); + SStream_concat0(O, getRegisterName(Reg, LoongArch_RegAliasName)); +} + +static void printOperand(MCInst *MI, unsigned OpNo, SStream *O) +{ + add_cs_detail(MI, LoongArch_OP_GROUP_Operand, OpNo); + MCOperand *MO = MCInst_getOperand(MI, (OpNo)); + + if (MCOperand_isReg(MO)) { + printRegName(O, MCOperand_getReg(MO)); + return; + } + + if (MCOperand_isImm(MO)) { + printInt64(O, MCOperand_getImm(MO)); + return; + } + + assert(0 && "Expressions are not supported."); +} + +static void printAtomicMemOp(MCInst *MI, unsigned OpNo, SStream *O) +{ + add_cs_detail(MI, LoongArch_OP_GROUP_AtomicMemOp, OpNo); + MCOperand *MO = MCInst_getOperand(MI, (OpNo)); + + printRegName(O, MCOperand_getReg(MO)); +} \ No newline at end of file diff --git a/arch/LoongArch/LoongArchInstPrinter.h b/arch/LoongArch/LoongArchInstPrinter.h new file mode 100644 index 0000000000..7268483361 --- /dev/null +++ b/arch/LoongArch/LoongArchInstPrinter.h @@ -0,0 +1,54 @@ +/* Capstone Disassembly Engine, http://www.capstone-engine.org */ +/* By Nguyen Anh Quynh , 2013-2022, */ +/* Rot127 2022-2023 */ +/* Automatically translated source file from LLVM. */ + +/* LLVM-commit: */ +/* LLVM-tag: */ + +/* Only small edits allowed. */ +/* For multiple similar edits, please create a Patch for the translator. */ + +/* Capstone's C++ file translator: */ +/* https://github.com/capstone-engine/capstone/tree/next/suite/auto-sync */ + +//===-- LoongArchInstPrinter.h - Convert LoongArch MCInst to asm syntax ---===// +// +// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions. +// See https://llvm.org/LICENSE.txt for license information. +// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception +// +//===----------------------------------------------------------------------===// +// +// This class prints a LoongArch MCInst to a .s file. +// +//===----------------------------------------------------------------------===// + +#ifndef LLVM_LIB_TARGET_LOONGARCH_MCTARGETDESC_LOONGARCHINSTPRINTER_H +#define LLVM_LIB_TARGET_LOONGARCH_MCTARGETDESC_LOONGARCHINSTPRINTER_H + +#include +#include +#include +#include + +#include "../../MCInstPrinter.h" +#include "../../cs_priv.h" +#define CONCAT(a, b) CONCAT_(a, b) +#define CONCAT_(a, b) a##_##b + +static void printInst(MCInst *MI, uint64_t Address, const char *Annot, + SStream *O); +static void printRegName(SStream *O, MCRegister Reg); +static void printAtomicMemOp(MCInst *MI, unsigned OpNo, SStream *O); +// Autogenerated by tblgen. +static void printInstruction(MCInst *MI, uint64_t Address, SStream *O); +static bool printAliasInstr(MCInst *MI, uint64_t Address, SStream *O); +static void printCustomAliasOperand(MCInst *MI, uint64_t Address, + unsigned OpIdx, unsigned PrintMethodIdx, + SStream *O); +static void printOperand(MCInst *MI, unsigned OpNo, SStream *O); +; +// end namespace llvm + +#endif // LLVM_LIB_TARGET_LOONGARCH_MCTARGETDESC_LOONGARCHINSTPRINTER_H diff --git a/arch/LoongArch/LoongArchLinkage.h b/arch/LoongArch/LoongArchLinkage.h new file mode 100644 index 0000000000..40d7067ba1 --- /dev/null +++ b/arch/LoongArch/LoongArchLinkage.h @@ -0,0 +1,24 @@ +/* Capstone Disassembly Engine */ +/* By Jiajie Chen , 2024 */ +/* Yanglin Xun <1109673069@qq.com>, 2024 */ + +#ifndef CS_LOONGARCH_LINKAGE_H +#define CS_LOONGARCH_LINKAGE_H + +// Function definitions to call static LLVM functions. + +#include "../../MCDisassembler.h" +#include "../../MCInst.h" +#include "../../MCRegisterInfo.h" +#include "../../SStream.h" +#include "capstone/capstone.h" + +const char *LoongArch_LLVM_getRegisterName(unsigned RegNo, unsigned AltIdx); +void LoongArch_LLVM_printInst(MCInst *MI, uint64_t Address, const char *Annot, + SStream *O); +DecodeStatus LoongArch_LLVM_getInstruction(MCInst *MI, uint64_t *Size, + const uint8_t *Bytes, + size_t BytesLen, uint64_t Address, + SStream *CS); + +#endif // CS_LOONGARCH_LINKAGE_H diff --git a/arch/LoongArch/LoongArchMapping.c b/arch/LoongArch/LoongArchMapping.c new file mode 100644 index 0000000000..c105192f9b --- /dev/null +++ b/arch/LoongArch/LoongArchMapping.c @@ -0,0 +1,499 @@ +/* Capstone Disassembly Engine */ +/* By Jiajie Chen , 2024 */ +/* Yanglin Xun <1109673069@qq.com>, 2024 */ + +#ifdef CAPSTONE_HAS_LOONGARCH + +#include +#include + +#include +#include + +#include "../../Mapping.h" +#include "../../MCDisassembler.h" +#include "../../cs_priv.h" +#include "../../cs_simple_types.h" + +#include "LoongArchMapping.h" +#include "LoongArchLinkage.h" + +#define GET_REGINFO_ENUM +#define GET_REGINFO_MC_DESC +#include "LoongArchGenRegisterInfo.inc" + +#define GET_INSTRINFO_ENUM +#include "LoongArchGenInstrInfo.inc" + +void LoongArch_init_mri(MCRegisterInfo *MRI) +{ + MCRegisterInfo_InitMCRegisterInfo(MRI, LoongArchRegDesc, + sizeof(LoongArchRegDesc), 0, 0, + LoongArchMCRegisterClasses, + ARR_SIZE(LoongArchMCRegisterClasses), + 0, 0, LoongArchRegDiffLists, 0, + LoongArchSubRegIdxLists, + ARR_SIZE(LoongArchSubRegIdxLists), 0); +} + +const char *LoongArch_reg_name(csh handle, unsigned int reg) +{ + int syntax_opt = ((cs_struct *)(uintptr_t)handle)->syntax; + + if (syntax_opt & CS_OPT_SYNTAX_NOREGNAME) { + return LoongArch_LLVM_getRegisterName(reg, + LoongArch_NoRegAltName); + } + return LoongArch_LLVM_getRegisterName(reg, LoongArch_RegAliasName); +} + +void LoongArch_get_insn_id(cs_struct *h, cs_insn *insn, unsigned int id) +{ + // Not used by LoongArch. Information is set after disassembly. +} + +static const char *const insn_name_maps[] = { +#include "LoongArchGenCSMappingInsnName.inc" +}; + +const char *LoongArch_insn_name(csh handle, unsigned int id) +{ +#ifndef CAPSTONE_DIET + if (id < ARR_SIZE(insn_name_maps)) + return insn_name_maps[id]; + // not found + return NULL; +#else + return NULL; +#endif +} + +#ifndef CAPSTONE_DIET +static const name_map group_name_maps[] = { + { LoongArch_GRP_INVALID, NULL }, + + { LoongArch_GRP_JUMP, "jump" }, + { LoongArch_GRP_CALL, "call" }, + { LoongArch_GRP_RET, "return" }, + { LoongArch_GRP_INT, "int" }, + { LoongArch_GRP_IRET, "iret" }, + { LoongArch_GRP_PRIVILEGE, "privilege" }, + { LoongArch_GRP_BRANCH_RELATIVE, "branch_relative" }, + +// architecture-specific groups +#include "LoongArchGenCSFeatureName.inc" +}; +#endif + +const char *LoongArch_group_name(csh handle, unsigned int id) +{ +#ifndef CAPSTONE_DIET + return id2name(group_name_maps, ARR_SIZE(group_name_maps), id); +#else + return NULL; +#endif +} + +void LoongArch_reg_access(const cs_insn *insn, cs_regs regs_read, + uint8_t *regs_read_count, cs_regs regs_write, + uint8_t *regs_write_count) +{ + uint8_t i; + uint8_t read_count, write_count; + cs_loongarch *loongarch = &(insn->detail->loongarch); + + read_count = insn->detail->regs_read_count; + write_count = insn->detail->regs_write_count; + + // implicit registers + memcpy(regs_read, insn->detail->regs_read, + read_count * sizeof(insn->detail->regs_read[0])); + memcpy(regs_write, insn->detail->regs_write, + write_count * sizeof(insn->detail->regs_write[0])); + + // explicit registers + for (i = 0; i < loongarch->op_count; i++) { + cs_loongarch_op *op = &(loongarch->operands[i]); + switch ((int)op->type) { + case LoongArch_OP_REG: + if ((op->access & CS_AC_READ) && + !arr_exist(regs_read, read_count, op->reg)) { + regs_read[read_count] = (uint16_t)op->reg; + read_count++; + } + if ((op->access & CS_AC_WRITE) && + !arr_exist(regs_write, write_count, op->reg)) { + regs_write[write_count] = (uint16_t)op->reg; + write_count++; + } + break; + case LoongArch_OP_MEM: + // registers appeared in memory references always being read + if ((op->mem.base != LoongArch_REG_INVALID) && + !arr_exist(regs_read, read_count, op->mem.base)) { + regs_read[read_count] = (uint16_t)op->mem.base; + read_count++; + } + if ((insn->detail->writeback) && + (op->mem.base != LoongArch_REG_INVALID) && + !arr_exist(regs_write, write_count, op->mem.base)) { + regs_write[write_count] = + (uint16_t)op->mem.base; + write_count++; + } + default: + break; + } + } + + *regs_read_count = read_count; + *regs_write_count = write_count; +} + +const insn_map loongarch_insns[] = { +#include "LoongArchGenCSMappingInsn.inc" +}; + +void LoongArch_rewrite_memory_operand(MCInst *MI) +{ + // rewrite base + disp operands to memory operands in memory instructions + // convert e.g. + // ld.d $t3, $t2, 0x410 + // op_count: 3 + // operands[0].type: REG = t3 + // operands[0].access: WRITE + // operands[1].type: REG = t2 + // operands[1].access: READ + // operands[2].type: IMM = 0x410 + // operands[2].access: READ + // to: + // op_count: 3 + // operands[0].type: REG = t3 + // operands[0].access: WRITE + // operands[1].type: MEM + // operands[1].mem.base: REG = t2 + // operands[1].mem.disp: 0x410 + // operands[1].access: READ + + if (!detail_is_set(MI)) + return; + + const loongarch_suppl_info *suppl_info = + map_get_suppl_info(MI, loongarch_insns); + if (suppl_info->memory_access == CS_AC_INVALID) { + // not memory instruction + return; + } + + // handle special cases + unsigned int base; + switch (MI->flat_insn->id) { + case LoongArch_INS_SC_Q: + case LoongArch_INS_LLACQ_W: + case LoongArch_INS_LLACQ_D: + case LoongArch_INS_SCREL_W: + case LoongArch_INS_SCREL_D: + // last register rj is memory operand + LoongArch_get_detail_op(MI, -1)->type = LoongArch_OP_MEM; + base = LoongArch_get_detail_op(MI, -1)->reg; + LoongArch_get_detail_op(MI, -1)->mem.base = base; + LoongArch_get_detail_op(MI, -1)->access = + suppl_info->memory_access; + return; + + case LoongArch_INS_LDGT_B: + case LoongArch_INS_LDGT_H: + case LoongArch_INS_LDGT_W: + case LoongArch_INS_LDGT_D: + case LoongArch_INS_LDLE_B: + case LoongArch_INS_LDLE_H: + case LoongArch_INS_LDLE_W: + case LoongArch_INS_LDLE_D: + case LoongArch_INS_STGT_B: + case LoongArch_INS_STGT_H: + case LoongArch_INS_STGT_W: + case LoongArch_INS_STGT_D: + case LoongArch_INS_STLE_B: + case LoongArch_INS_STLE_H: + case LoongArch_INS_STLE_W: + case LoongArch_INS_STLE_D: + case LoongArch_INS_FLDLE_S: + case LoongArch_INS_FLDLE_D: + case LoongArch_INS_FLDGT_S: + case LoongArch_INS_FLDGT_D: + case LoongArch_INS_FSTLE_S: + case LoongArch_INS_FSTLE_D: + case LoongArch_INS_FSTGT_S: + case LoongArch_INS_FSTGT_D: + // second register rj is memory operand + LoongArch_get_detail_op(MI, -2)->type = LoongArch_OP_MEM; + base = LoongArch_get_detail_op(MI, -2)->reg; + LoongArch_get_detail_op(MI, -2)->mem.base = base; + LoongArch_get_detail_op(MI, -2)->access = + suppl_info->memory_access; + return; + default: + break; + } + + switch (suppl_info->form) { + case LoongArch_INSN_FORM_FMT2RI12: // ld, ldl, ldr, st, stl, str + case LoongArch_INSN_FORM_FMT2RI14: // ll, sc, ldptr, stptr + case LoongArch_INSN_FORM_FMT2RI9_VRI: // vldrepl.d + case LoongArch_INSN_FORM_FMT2RI10_VRI: // vldrepl.w + case LoongArch_INSN_FORM_FMT2RI11_VRI: // vldrepl.h + case LoongArch_INSN_FORM_FMT2RI12_VRI: // vld, vldrepl, vst + case LoongArch_INSN_FORM_FMT2RI8I1_VRII: // vstelm.d + case LoongArch_INSN_FORM_FMT2RI8I2_VRII: // vstelm.w + case LoongArch_INSN_FORM_FMT2RI8I3_VRII: // vstelm.h + case LoongArch_INSN_FORM_FMT2RI8I4_VRII: // vstelm.b + case LoongArch_INSN_FORM_FMT2RI9_XRI: // xvldrepl.d + case LoongArch_INSN_FORM_FMT2RI10_XRI: // xvldrepl.w + case LoongArch_INSN_FORM_FMT2RI11_XRI: // xvldrepl.h + case LoongArch_INSN_FORM_FMT2RI12_XRI: // xvld, xvldrepl, xvst + case LoongArch_INSN_FORM_FMT2RI8I2_XRII: // xvstelm.d + case LoongArch_INSN_FORM_FMT2RI8I3_XRII: // xvstelm.w + case LoongArch_INSN_FORM_FMT2RI8I4_XRII: // xvstelm.h + case LoongArch_INSN_FORM_FMT2RI8I5_XRII: // xvstelm.b + case LoongArch_INSN_FORM_FMTPRELD: // preld + case LoongArch_INSN_FORM_FPFMT2RI12: // fld, fst + // immediate offset + LoongArch_get_detail_op(MI, -2)->type = LoongArch_OP_MEM; + base = LoongArch_get_detail_op(MI, -2)->reg; + LoongArch_get_detail_op(MI, -2)->mem.base = base; + LoongArch_get_detail_op(MI, -2)->mem.disp = + LoongArch_get_detail_op(MI, -1)->imm; + LoongArch_get_detail_op(MI, -2)->access = + suppl_info->memory_access; + LoongArch_dec_op_count(MI); + break; + + case LoongArch_INSN_FORM_FMT3R: // ldx, stx, amo + if (suppl_info->memory_access == CS_AC_READ_WRITE) { + // amo: read + write + // last register rj is memory operand + LoongArch_get_detail_op(MI, -1)->type = + LoongArch_OP_MEM; + base = LoongArch_get_detail_op(MI, -1)->reg; + LoongArch_get_detail_op(MI, -1)->mem.base = base; + LoongArch_get_detail_op(MI, -1)->access = + suppl_info->memory_access; + break; + } + // fallthrough + + case LoongArch_INSN_FORM_FPFMTMEM: // fldx, fstx + case LoongArch_INSN_FORM_FMT3R_VRR: // vldx, vstx + case LoongArch_INSN_FORM_FMT3R_XRR: // xvldx, xvstx + case LoongArch_INSN_FORM_FMTPRELDX: // preldx + // register offset + LoongArch_get_detail_op(MI, -2)->type = LoongArch_OP_MEM; + base = LoongArch_get_detail_op(MI, -2)->reg; + LoongArch_get_detail_op(MI, -2)->mem.base = base; + LoongArch_get_detail_op(MI, -2)->mem.index = + LoongArch_get_detail_op(MI, -1)->reg; + LoongArch_get_detail_op(MI, -2)->access = + suppl_info->memory_access; + LoongArch_dec_op_count(MI); + break; + + default: + assert(0 && "Unknown LoongArch memory instruction"); + break; + } +} + +void LoongArch_set_instr_map_data(MCInst *MI) +{ + map_cs_id(MI, loongarch_insns, ARR_SIZE(loongarch_insns)); + map_implicit_reads(MI, loongarch_insns); + map_implicit_writes(MI, loongarch_insns); + map_groups(MI, loongarch_insns); + const loongarch_suppl_info *suppl_info = + map_get_suppl_info(MI, loongarch_insns); + if (suppl_info) { + LoongArch_get_detail(MI)->format = suppl_info->form; + } +} + +bool LoongArch_getInstruction(csh handle, const uint8_t *code, size_t code_len, + MCInst *instr, uint16_t *size, uint64_t address, + void *info) +{ + uint64_t temp_size; + LoongArch_init_cs_detail(instr); + bool Result = LoongArch_LLVM_getInstruction(instr, &temp_size, code, + code_len, address, info) != + MCDisassembler_Fail; + LoongArch_set_instr_map_data(instr); + *size = temp_size; + return Result; +} + +/// Adds group to the instruction which are not defined in LLVM. +static void LoongArch_add_cs_groups(MCInst *MI) +{ + if (!MI->flat_insn->detail) + return; + unsigned Opcode = MI->flat_insn->id; + cs_loongarch *loongarch = &(MI->flat_insn->detail->loongarch); + switch (Opcode) { + default: + return; + case LoongArch_INS_BL: + add_group(MI, LoongArch_GRP_CALL); + break; + case LoongArch_INS_JIRL: + if (loongarch->op_count == 3 && + loongarch->operands[0].reg == LoongArch_REG_RA) { + // call: jirl ra, rj, offs16 + add_group(MI, LoongArch_GRP_CALL); + } else if (loongarch->op_count == 0) { + // ret + add_group(MI, LoongArch_GRP_RET); + } else if (loongarch->op_count == 1) { + // jr rj + add_group(MI, LoongArch_GRP_JUMP); + } + break; + case LoongArch_INS_B: + case LoongArch_INS_BCEQZ: + case LoongArch_INS_BEQ: + case LoongArch_INS_BEQZ: + case LoongArch_INS_BGE: + case LoongArch_INS_BGEU: + case LoongArch_INS_BLT: + case LoongArch_INS_BLTU: + case LoongArch_INS_BNE: + case LoongArch_INS_BNEZ: + add_group(MI, LoongArch_GRP_JUMP); + add_group(MI, LoongArch_GRP_BRANCH_RELATIVE); + break; + case LoongArch_INS_SYSCALL: + add_group(MI, LoongArch_GRP_INT); + break; + case LoongArch_INS_ERTN: + add_group(MI, LoongArch_GRP_IRET); + add_group(MI, LoongArch_GRP_PRIVILEGE); + break; + case LoongArch_INS_CSRXCHG: + case LoongArch_INS_CACOP: + case LoongArch_INS_LDDIR: + case LoongArch_INS_LDPTE: + case LoongArch_INS_IOCSRRD_B: + case LoongArch_INS_IOCSRRD_H: + case LoongArch_INS_IOCSRRD_W: + case LoongArch_INS_IOCSRRD_D: + case LoongArch_INS_IOCSRWR_B: + case LoongArch_INS_IOCSRWR_H: + case LoongArch_INS_IOCSRWR_W: + case LoongArch_INS_IOCSRWR_D: + case LoongArch_INS_TLBCLR: + case LoongArch_INS_TLBFLUSH: + case LoongArch_INS_TLBSRCH: + case LoongArch_INS_TLBRD: + case LoongArch_INS_TLBWR: + case LoongArch_INS_INVTLB: + add_group(MI, LoongArch_GRP_PRIVILEGE); + break; + } +} + +void LoongArch_printer(MCInst *MI, SStream *O, + void * /* MCRegisterInfo* */ info) +{ + MCRegisterInfo *MRI = (MCRegisterInfo *)info; + MI->MRI = MRI; + + LoongArch_LLVM_printInst(MI, MI->address, "", O); + + LoongArch_rewrite_memory_operand(MI); + LoongArch_add_cs_groups(MI); +} + +void LoongArch_setup_op(cs_loongarch_op *op) +{ + memset(op, 0, sizeof(cs_loongarch_op)); + op->type = LoongArch_OP_INVALID; +} + +void LoongArch_init_cs_detail(MCInst *MI) +{ + if (detail_is_set(MI)) { + unsigned int i; + + memset(get_detail(MI), 0, + offsetof(cs_detail, loongarch) + sizeof(cs_loongarch)); + + for (i = 0; i < ARR_SIZE(LoongArch_get_detail(MI)->operands); + i++) + LoongArch_setup_op( + &LoongArch_get_detail(MI)->operands[i]); + } +} + +static const map_insn_ops insn_operands[] = { +#include "LoongArchGenCSMappingInsnOp.inc" +}; + +void LoongArch_set_detail_op_imm(MCInst *MI, unsigned OpNum, + loongarch_op_type ImmType, int64_t Imm) +{ + if (!detail_is_set(MI)) + return; + assert((map_get_op_type(MI, OpNum) & ~CS_OP_MEM) == CS_OP_IMM); + assert(ImmType == LoongArch_OP_IMM); + + LoongArch_get_detail_op(MI, 0)->type = ImmType; + LoongArch_get_detail_op(MI, 0)->imm = Imm; + LoongArch_get_detail_op(MI, 0)->access = map_get_op_access(MI, OpNum); + LoongArch_inc_op_count(MI); +} + +void LoongArch_set_detail_op_reg(MCInst *MI, unsigned OpNum, loongarch_reg Reg) +{ + if (!detail_is_set(MI)) + return; + assert((map_get_op_type(MI, OpNum) & ~CS_OP_MEM) == CS_OP_REG); + + LoongArch_get_detail_op(MI, 0)->type = LoongArch_OP_REG; + LoongArch_get_detail_op(MI, 0)->reg = Reg; + LoongArch_get_detail_op(MI, 0)->access = map_get_op_access(MI, OpNum); + LoongArch_inc_op_count(MI); +} + +void LoongArch_add_cs_detail(MCInst *MI, int /* loongarch_op_group */ op_group, + va_list args) +{ + if (!detail_is_set(MI)) + return; + + unsigned OpNum = va_arg(args, unsigned); + // Handle memory operands later + cs_op_type op_type = map_get_op_type(MI, OpNum) & ~CS_OP_MEM; + + // Fill cs_detail + switch (op_group) { + default: + printf("ERROR: Operand group %d not handled!\n", op_group); + assert(0); + case LoongArch_OP_GROUP_Operand: + if (op_type == CS_OP_IMM) { + LoongArch_set_detail_op_imm(MI, OpNum, LoongArch_OP_IMM, + MCInst_getOpVal(MI, OpNum)); + } else if (op_type == CS_OP_REG) { + LoongArch_set_detail_op_reg(MI, OpNum, + MCInst_getOpVal(MI, OpNum)); + } else + assert(0 && "Op type not handled."); + break; + case LoongArch_OP_GROUP_AtomicMemOp: + assert(op_type == CS_OP_REG); + // converted to MEM operand later in LoongArch_rewrite_memory_operand + LoongArch_set_detail_op_reg(MI, OpNum, + MCInst_getOpVal(MI, OpNum)); + break; + } +} + +#endif diff --git a/arch/LoongArch/LoongArchMapping.h b/arch/LoongArch/LoongArchMapping.h new file mode 100644 index 0000000000..597718544f --- /dev/null +++ b/arch/LoongArch/LoongArchMapping.h @@ -0,0 +1,53 @@ +/* Capstone Disassembly Engine */ +/* By Jiajie Chen , 2024 */ +/* Yanglin Xun <1109673069@qq.com>, 2024 */ + +#ifndef CS_LOONGARCH_MAPPING_H +#define CS_LOONGARCH_MAPPING_H + +#include "../../include/capstone/capstone.h" +#include "../../utils.h" + +typedef enum { +#include "LoongArchGenCSOpGroup.inc" +} loongarch_op_group; + +void LoongArch_init_mri(MCRegisterInfo *MRI); + +// return name of register in friendly string +const char *LoongArch_reg_name(csh handle, unsigned int reg); + +void LoongArch_printer(MCInst *MI, SStream *O, + void * /* MCRegisterInfo* */ info); + +// given internal insn id, return public instruction ID +void LoongArch_get_insn_id(cs_struct *h, cs_insn *insn, unsigned int id); + +const char *LoongArch_insn_name(csh handle, unsigned int id); + +const char *LoongArch_group_name(csh handle, unsigned int id); + +void LoongArch_reg_access(const cs_insn *insn, cs_regs regs_read, + uint8_t *regs_read_count, cs_regs regs_write, + uint8_t *regs_write_count); + +bool LoongArch_getInstruction(csh handle, const uint8_t *code, size_t code_len, + MCInst *instr, uint16_t *size, uint64_t address, + void *info); + +// cs_detail related functions +void LoongArch_init_cs_detail(MCInst *MI); +void LoongArch_add_cs_detail(MCInst *MI, int /* loongarch_op_group */ op_group, + va_list args); +static inline void add_cs_detail(MCInst *MI, + int /* loongarch_op_group */ op_group, ...) +{ + if (!MI->flat_insn->detail) + return; + va_list args; + va_start(args, op_group); + LoongArch_add_cs_detail(MI, op_group, args); + va_end(args); +} + +#endif // CS_LOONGARCH_MAPPING_H diff --git a/arch/LoongArch/LoongArchModule.c b/arch/LoongArch/LoongArchModule.c new file mode 100644 index 0000000000..75a6c19510 --- /dev/null +++ b/arch/LoongArch/LoongArchModule.c @@ -0,0 +1,53 @@ +/* Capstone Disassembly Engine */ +/* By Jiajie Chen 2024 */ +/* Yanglin Xun <1109673069@qq.com>, 2024 */ + +#ifdef CAPSTONE_HAS_LOONGARCH + +#include + +#include "LoongArchModule.h" +#include "../../MCRegisterInfo.h" +#include "../../cs_priv.h" +#include "LoongArchMapping.h" + +cs_err LoongArch_global_init(cs_struct *ud) +{ + MCRegisterInfo *mri; + mri = cs_mem_malloc(sizeof(*mri)); + + LoongArch_init_mri(mri); + + ud->printer = LoongArch_printer; + ud->printer_info = mri; + ud->reg_name = LoongArch_reg_name; + ud->insn_id = LoongArch_get_insn_id; + ud->insn_name = LoongArch_insn_name; + ud->group_name = LoongArch_group_name; + ud->post_printer = NULL; +#ifndef CAPSTONE_DIET + ud->reg_access = LoongArch_reg_access; +#endif + + ud->disasm = LoongArch_getInstruction; + + return CS_ERR_OK; +} + +cs_err LoongArch_option(cs_struct *handle, cs_opt_type type, size_t value) +{ + switch (type) { + case CS_OPT_MODE: + handle->mode = (cs_mode)value; + break; + case CS_OPT_SYNTAX: + handle->syntax |= (int)value; + break; + default: + break; + } + + return CS_ERR_OK; +} + +#endif diff --git a/arch/LoongArch/LoongArchModule.h b/arch/LoongArch/LoongArchModule.h new file mode 100644 index 0000000000..ce0edd7df9 --- /dev/null +++ b/arch/LoongArch/LoongArchModule.h @@ -0,0 +1,13 @@ +/* Capstone Disassembly Engine */ +/* By Jiajie Chen , 2024 */ +/* Yanglin Xun <1109673069@qq.com>, 2024 */ + +#ifndef CS_LoongArch_MODULE_H +#define CS_LoongArch_MODULE_H + +#include "../../utils.h" + +cs_err LoongArch_global_init(cs_struct *ud); +cs_err LoongArch_option(cs_struct *handle, cs_opt_type type, size_t value); + +#endif diff --git a/cmake.sh b/cmake.sh index 9e19c12d0d..23f765ca5f 100755 --- a/cmake.sh +++ b/cmake.sh @@ -63,6 +63,9 @@ case $1 in HPPA) ARCH=HPPA ;; + LOONGARCH) + ARCH=LOONGARCH + ;; *) ;; esac diff --git a/config.mk b/config.mk index fb92ac7449..5d5ac63694 100644 --- a/config.mk +++ b/config.mk @@ -4,7 +4,7 @@ ################################################################################ # Specify which archs you want to compile in. By default, we build all archs. -CAPSTONE_ARCHS ?= arm aarch64 m68k mips powerpc sparc systemz x86 xcore tms320c64x m680x evm riscv mos65xx wasm bpf sh tricore alpha hppa +CAPSTONE_ARCHS ?= arm aarch64 m68k mips powerpc sparc systemz x86 xcore tms320c64x m680x evm riscv mos65xx wasm bpf sh tricore alpha hppa loongarch ################################################################################ diff --git a/cs.c b/cs.c index aac3d4c758..ab9c88a0d8 100644 --- a/cs.c +++ b/cs.c @@ -72,6 +72,7 @@ #include "arch/TriCore/TriCoreModule.h" #include "arch/Alpha/AlphaModule.h" #include "arch/HPPA/HPPAModule.h" +#include "arch/LoongArch/LoongArchModule.h" typedef struct cs_arch_config { // constructor initialization @@ -209,6 +210,12 @@ typedef struct cs_arch_config { ALPHA_option, \ ~(CS_MODE_LITTLE_ENDIAN | CS_MODE_BIG_ENDIAN), \ } +#define CS_ARCH_CONFIG_LOONGARCH \ + { \ + LoongArch_global_init, \ + LoongArch_option, \ + ~(CS_MODE_LITTLE_ENDIAN | CS_MODE_LOONGARCH32 | CS_MODE_LOONGARCH64), \ + } #ifdef CAPSTONE_USE_ARCH_REGISTRATION static cs_arch_config arch_configs[MAX_ARCH]; @@ -320,6 +327,11 @@ static const cs_arch_config arch_configs[MAX_ARCH] = { #else { NULL, NULL, 0 }, #endif +#ifdef CAPSTONE_HAS_LOONGARCH + CS_ARCH_CONFIG_LOONGARCH, +#else + { NULL, NULL, 0 }, +#endif }; // bitmask of enabled architectures @@ -384,6 +396,9 @@ static const uint32_t all_arch = 0 #ifdef CAPSTONE_HAS_HPPA | (1 << CS_ARCH_HPPA) #endif +#ifdef CAPSTONE_HAS_LOONGARCH + | (1 << CS_ARCH_LOONGARCH) +#endif ; #endif @@ -603,6 +618,14 @@ void CAPSTONE_API cs_arch_register_alpha(void) #endif } +CAPSTONE_EXPORT +void CAPSTONE_API cs_arch_register_loongarch(void) +{ +#if defined(CAPSTONE_USE_ARCH_REGISTRATION) && defined(CAPSTONE_HAS_LOONGARCH) + CS_ARCH_REGISTER(LOONGARCH); +#endif +} + CAPSTONE_EXPORT bool CAPSTONE_API cs_support(int query) { @@ -617,7 +640,8 @@ bool CAPSTONE_API cs_support(int query) (1 << CS_ARCH_RISCV) | (1 << CS_ARCH_MOS65XX) | (1 << CS_ARCH_WASM) | (1 << CS_ARCH_BPF) | (1 << CS_ARCH_SH) | (1 << CS_ARCH_TRICORE) | - (1 << CS_ARCH_ALPHA) | (1 << CS_ARCH_HPPA)); + (1 << CS_ARCH_ALPHA) | (1 << CS_ARCH_HPPA) | + (1 << CS_ARCH_LOONGARCH)); if ((unsigned int)query < CS_ARCH_MAX) return all_arch & (1 << query); @@ -961,6 +985,9 @@ static uint8_t skipdata_size(cs_struct *handle) case CS_ARCH_HPPA: // Hppa alignment is 4. return 4; + case CS_ARCH_LOONGARCH: + // LoongArch alignment is 4. + return 4; } } @@ -1710,6 +1737,11 @@ int CAPSTONE_API cs_op_count(csh ud, const cs_insn *insn, unsigned int op_type) if (insn->detail->hppa.operands[i].type == (hppa_op_type)op_type) count++; break; + case CS_ARCH_LOONGARCH: + for (i = 0; i < insn->detail->loongarch.op_count; i++) + if (insn->detail->loongarch.operands[i].type == (loongarch_op_type)op_type) + count++; + break; } return count; @@ -1909,6 +1941,14 @@ int CAPSTONE_API cs_op_index(csh ud, const cs_insn *insn, unsigned int op_type, return i; } break; + case CS_ARCH_LOONGARCH: + for (i = 0; i < insn->detail->loongarch.op_count; i++) { + if (insn->detail->loongarch.operands[i].type == (loongarch_op_type)op_type) + count++; + if (count == post) + return i; + } + break; } return -1; diff --git a/cstool/cstool.c b/cstool/cstool.c index 795850840f..030e6af59b 100644 --- a/cstool/cstool.c +++ b/cstool/cstool.c @@ -122,6 +122,8 @@ static struct { { "hppa20be", CS_ARCH_HPPA, CS_MODE_HPPA_20 | CS_MODE_BIG_ENDIAN }, { "hppa20w", CS_ARCH_HPPA, CS_MODE_HPPA_20W | CS_MODE_LITTLE_ENDIAN }, { "hppa20wbe", CS_ARCH_HPPA, CS_MODE_HPPA_20W | CS_MODE_BIG_ENDIAN }, + { "loongarch32", CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH32 }, + { "loongarch64", CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64 }, { NULL } }; @@ -342,6 +344,11 @@ static void usage(char *prog) printf(" tc162 tricore V1.6.2\n"); } + if (cs_support(CS_ARCH_LOONGARCH)) { + printf(" loongarch32 LoongArch32\n"); + printf(" loongarch64 LoongArch64\n"); + } + printf("\nExtra options:\n"); printf(" -d show detailed information of the instructions\n"); printf(" -r show detailed information of the real instructions (even for alias)\n"); @@ -420,6 +427,9 @@ static void print_details(csh handle, cs_arch arch, cs_mode md, cs_insn *ins) case CS_ARCH_HPPA: print_insn_detail_hppa(handle, ins); break; + case CS_ARCH_LOONGARCH: + print_insn_detail_loongarch(handle, ins); + break; default: break; } @@ -564,6 +574,10 @@ int main(int argc, char **argv) printf("hppa=1 "); } + if (cs_support(CS_ARCH_LOONGARCH)) { + printf("loongarch=1 "); + } + printf("\n"); return 0; case 'h': diff --git a/cstool/cstool.h b/cstool/cstool.h index dfcf03bfa3..d0d73d1e89 100644 --- a/cstool/cstool.h +++ b/cstool/cstool.h @@ -21,5 +21,6 @@ void print_insn_detail_sh(csh handle, cs_insn *ins); void print_insn_detail_tricore(csh handle, cs_insn *ins); void print_insn_detail_alpha(csh handle, cs_insn *ins); void print_insn_detail_hppa(csh handle, cs_insn *ins); +void print_insn_detail_loongarch(csh handle, cs_insn *ins); #endif //CAPSTONE_CSTOOL_CSTOOL_H_ diff --git a/cstool/cstool_loongarch.c b/cstool/cstool_loongarch.c new file mode 100644 index 0000000000..dadb5902a2 --- /dev/null +++ b/cstool/cstool_loongarch.c @@ -0,0 +1,91 @@ +/* Capstone Disassembler Engine */ +/* By Nguyen Anh Quynh , 2013-2014 */ +/* Jiajie Chen , 2013-2024 */ + +#include +#include +#include "cstool.h" + +void print_insn_detail_loongarch(csh handle, cs_insn *ins) +{ + cs_loongarch *loongarch; + int i; + cs_regs regs_read, regs_write; + uint8_t regs_read_count, regs_write_count; + uint8_t access; + + // detail can be NULL on "data" instruction if SKIPDATA option is turned ON + if (ins->detail == NULL) + return; + + loongarch = &(ins->detail->loongarch); + if (loongarch->op_count) + printf("\top_count: %u\n", loongarch->op_count); + + for (i = 0; i < loongarch->op_count; i++) { + cs_loongarch_op *op = &(loongarch->operands[i]); + switch ((int)op->type) { + default: + break; + case LoongArch_OP_REG: + printf("\t\toperands[%u].type: REG = %s\n", i, + cs_reg_name(handle, op->reg)); + break; + case LoongArch_OP_IMM: + printf("\t\toperands[%u].type: IMM = 0x%lx\n", i, + (long)op->imm); + break; + case LoongArch_OP_MEM: + printf("\t\toperands[%u].type: MEM\n", i); + if (op->mem.base != LoongArch_REG_INVALID) + printf("\t\t\toperands[%u].mem.base: REG = %s\n", + i, cs_reg_name(handle, op->mem.base)); + if (op->mem.index != LoongArch_REG_INVALID) + printf("\t\t\toperands[%u].mem.index: REG = %s\n", + i, cs_reg_name(handle, op->mem.index)); + if (op->mem.disp != 0) + printf("\t\t\toperands[%u].mem.disp: 0x%lx\n", + i, (long)op->mem.disp); + + break; + } + + access = op->access; + switch (access) { + default: + break; + case CS_AC_READ: + printf("\t\toperands[%u].access: READ\n", i); + break; + case CS_AC_WRITE: + printf("\t\toperands[%u].access: WRITE\n", i); + break; + case CS_AC_READ | CS_AC_WRITE: + printf("\t\toperands[%u].access: READ | WRITE\n", i); + break; + } + } + + if (ins->detail->writeback) + printf("\tWrite-back: True\n"); + + /* print all registers that are involved in this instruction */ + if (!cs_regs_access(handle, ins, regs_read, ®s_read_count, + regs_write, ®s_write_count)) { + if (regs_read_count) { + printf("\tRegisters read:"); + for (i = 0; i < regs_read_count; i++) + printf(" %s", + cs_reg_name(handle, regs_read[i])); + printf("\n"); + } + + if (regs_write_count) { + printf("\tRegisters modified:"); + for (i = 0; i < regs_write_count; i++) + printf(" %s", + cs_reg_name(handle, regs_write[i])); + printf("\n"); + } + } +} diff --git a/include/capstone/capstone.h b/include/capstone/capstone.h index 4fed66ef08..42ee3b740c 100644 --- a/include/capstone/capstone.h +++ b/include/capstone/capstone.h @@ -152,6 +152,7 @@ typedef enum cs_arch { CS_ARCH_TRICORE, ///< TriCore architecture CS_ARCH_ALPHA, ///< Alpha architecture CS_ARCH_HPPA, ///< HPPA architecture + CS_ARCH_LOONGARCH, ///< LoongArch architecture CS_ARCH_MAX, CS_ARCH_ALL = 0xFFFF, // All architectures - for cs_support() } cs_arch; @@ -234,6 +235,8 @@ typedef enum cs_mode { CS_MODE_HPPA_11 = 1 << 1, ///< HPPA 1.1 CS_MODE_HPPA_20 = 1 << 2, ///< HPPA 2.0 CS_MODE_HPPA_20W = CS_MODE_HPPA_20 | (1 << 3), ///< HPPA 2.0 wide + CS_MODE_LOONGARCH32 = 1 << 0, ///< LoongArch32 + CS_MODE_LOONGARCH64 = 1 << 1, ///< LoongArch64 } cs_mode; typedef void* (CAPSTONE_API *cs_malloc_t)(size_t size); @@ -335,21 +338,22 @@ typedef struct cs_opt_skipdata { /// immediately from cs_disasm() /// NOTE: if this callback pointer is NULL, Capstone would skip a number /// of bytes depending on architectures, as following: - /// Arm: 2 bytes (Thumb mode) or 4 bytes. - /// AArch64: 4 bytes. - /// Mips: 4 bytes. - /// M680x: 1 byte. - /// PowerPC: 4 bytes. - /// Sparc: 4 bytes. - /// SystemZ: 2 bytes. - /// X86: 1 bytes. - /// XCore: 2 bytes. - /// EVM: 1 bytes. - /// RISCV: 4 bytes. - /// WASM: 1 bytes. - /// MOS65XX: 1 bytes. - /// BPF: 8 bytes. - /// TriCore: 2 bytes. + /// Arm: 2 bytes (Thumb mode) or 4 bytes. + /// AArch64: 4 bytes. + /// Mips: 4 bytes. + /// M680x: 1 byte. + /// PowerPC: 4 bytes. + /// Sparc: 4 bytes. + /// SystemZ: 2 bytes. + /// X86: 1 bytes. + /// XCore: 2 bytes. + /// EVM: 1 bytes. + /// RISCV: 4 bytes. + /// WASM: 1 bytes. + /// MOS65XX: 1 bytes. + /// BPF: 8 bytes. + /// TriCore: 2 bytes. + /// LoongArch: 4 bytes. cs_skipdata_cb_t callback; // default value is NULL /// User-defined data to be passed to @callback function pointer. @@ -377,6 +381,7 @@ typedef struct cs_opt_skipdata { #include "tricore.h" #include "alpha.h" #include "hppa.h" +#include "loongarch.h" #define MAX_IMPL_W_REGS 47 #define MAX_IMPL_R_REGS 20 @@ -423,6 +428,7 @@ typedef struct cs_detail { cs_tricore tricore; ///< TriCore architecture cs_alpha alpha; ///< Alpha architecture cs_hppa hppa; ///< HPPA architecture + cs_loongarch loongarch; ///< LoongArch architecture }; } cs_detail; @@ -566,6 +572,8 @@ CAPSTONE_EXPORT void CAPSTONE_API cs_arch_register_tricore(void); CAPSTONE_EXPORT void CAPSTONE_API cs_arch_register_alpha(void); +CAPSTONE_EXPORT +void CAPSTONE_API cs_arch_register_loongarch(void); /** This API can be used to either ask for archs supported by this library, diff --git a/include/capstone/loongarch.h b/include/capstone/loongarch.h new file mode 100644 index 0000000000..102356f9da --- /dev/null +++ b/include/capstone/loongarch.h @@ -0,0 +1,2516 @@ +#ifndef CAPSTONE_LOONGARCH_H +#define CAPSTONE_LOONGARCH_H + +/* Capstone Disassembly Engine */ +/* By Jiajie Chen 2024 */ +/* Yanglin Xun <1109673069@qq.com>, 2024 */ + +#ifdef __cplusplus +extern "C" { +#endif + +#if !defined(_MSC_VER) || !defined(_KERNEL_MODE) +#include +#endif + +#include "platform.h" +#include "cs_operand.h" + +/// Operand type for instruction's operands +typedef enum loongarch_op_type { + LoongArch_OP_INVALID = CS_OP_INVALID, ///< Invalid + LoongArch_OP_REG = CS_OP_REG, ///< Register operand + LoongArch_OP_IMM = CS_OP_IMM, ///< Immediate operand + LoongArch_OP_MEM = CS_OP_MEM, ///< Memory operand +} loongarch_op_type; + +/// Instruction's operand referring to memory +/// This is associated with LOONGARCH_OP_MEM operand type above +typedef struct loongarch_op_mem { + unsigned int base; ///< base register + unsigned int index; ///< index register + int64_t disp; ///< displacement/offset value +} loongarch_op_mem; + +/// Instruction operand +typedef struct cs_loongarch_op { + loongarch_op_type type; //< operand type + union { + unsigned int reg; /// register value for REG operand + int64_t imm; /// immediate value for IMM operand + loongarch_op_mem mem; /// base/disp value for MEM operand + }; + + /// How is this operand accessed? (READ, WRITE or READ|WRITE) + /// NOTE: this field is irrelevant if engine is compiled in DIET mode. + uint8_t access; +} cs_loongarch_op; + +/// LoongArch instruction formats. To get details about them please +/// refer to `LoongArchInstrFormats.td` in LLVM. +typedef enum { + // generated content begin + // clang-format off + +LoongArch_INSN_FORM_PSEUDO, + LoongArch_INSN_FORM_FMT3R, + LoongArch_INSN_FORM_FMT2RI12, + LoongArch_INSN_FORM_FMT2RI5, + LoongArch_INSN_FORM_FMT2RI16, + LoongArch_INSN_FORM_FMT3RI2, + LoongArch_INSN_FORM_NODSTFMT2RI4, + LoongArch_INSN_FORM_FMT1RI8, + LoongArch_INSN_FORM_FMT2RI4, + LoongArch_INSN_FORM_NODSTFMT1RI4, + LoongArch_INSN_FORM_NODSTFMT1RI5I4, + LoongArch_INSN_FORM_FMTASRT, + LoongArch_INSN_FORM_FMTI26, + LoongArch_INSN_FORM_FPFMTBR, + LoongArch_INSN_FORM_FMT1RI21, + LoongArch_INSN_FORM_FMT2R, + LoongArch_INSN_FORM_FMTI15, + LoongArch_INSN_FORM_FMTBSTR_D, + LoongArch_INSN_FORM_FMTBSTR_W, + LoongArch_INSN_FORM_FMT3RI3, + LoongArch_INSN_FORM_FMTCACOP, + LoongArch_INSN_FORM_FMTCSR, + LoongArch_INSN_FORM_FMTCSRXCHG, + LoongArch_INSN_FORM_FMTI32, + LoongArch_INSN_FORM_FPFMT2R, + LoongArch_INSN_FORM_FPFMT3R, + LoongArch_INSN_FORM_FPFMTFCMP, + LoongArch_INSN_FORM_FPFMTMEM, + LoongArch_INSN_FORM_FPFMT2RI12, + LoongArch_INSN_FORM_FPFMT4R, + LoongArch_INSN_FORM_FPFMTMOV, + LoongArch_INSN_FORM_FPFMTFSEL, + LoongArch_INSN_FORM_FMTINVTLB, + LoongArch_INSN_FORM_FMTJISCR, + LoongArch_INSN_FORM_FMT2RI8, + LoongArch_INSN_FORM_FMTLDPTE, + LoongArch_INSN_FORM_FMT2RI14, + LoongArch_INSN_FORM_FMT1RI20, + LoongArch_INSN_FORM_FMTGR2SCR, + LoongArch_INSN_FORM_FMTSCR2GR, + LoongArch_INSN_FORM_FMTPRELD, + LoongArch_INSN_FORM_FMTPRELDX, + LoongArch_INSN_FORM_FMT2RI3, + LoongArch_INSN_FORM_FMT2RI6, + LoongArch_INSN_FORM_FMT1RI4, + LoongArch_INSN_FORM_FMT3R_VVV, + LoongArch_INSN_FORM_FMT2RI5_VVI, + LoongArch_INSN_FORM_FMT2RI8_VVI, + LoongArch_INSN_FORM_FMT2RI3_VVI, + LoongArch_INSN_FORM_FMT2RI6_VVI, + LoongArch_INSN_FORM_FMT2RI4_VVI, + LoongArch_INSN_FORM_FMT4R_VVVV, + LoongArch_INSN_FORM_FMT2R_VV, + LoongArch_INSN_FORM_FMT2R_XX, + LoongArch_INSN_FORM_FMT2RI4_VRI, + LoongArch_INSN_FORM_FMT2RI1_VRI, + LoongArch_INSN_FORM_FMT2RI3_VRI, + LoongArch_INSN_FORM_FMT2RI2_VRI, + LoongArch_INSN_FORM_FMT2RI12_VRI, + LoongArch_INSN_FORM_FMT1RI13_VI, + LoongArch_INSN_FORM_FMT2RI9_VRI, + LoongArch_INSN_FORM_FMT2RI11_VRI, + LoongArch_INSN_FORM_FMT2RI10_VRI, + LoongArch_INSN_FORM_FMT3R_VRR, + LoongArch_INSN_FORM_FMT2RI4_RVI, + LoongArch_INSN_FORM_FMT2RI1_RVI, + LoongArch_INSN_FORM_FMT2RI3_RVI, + LoongArch_INSN_FORM_FMT2RI2_RVI, + LoongArch_INSN_FORM_FMT2R_VR, + LoongArch_INSN_FORM_FMT2RI1_VVI, + LoongArch_INSN_FORM_FMT2RI2_VVI, + LoongArch_INSN_FORM_FMT3R_VVR, + LoongArch_INSN_FORM_FMT2R_CV, + LoongArch_INSN_FORM_FMT2RI7_VVI, + LoongArch_INSN_FORM_FMT2RI8I4_VRII, + LoongArch_INSN_FORM_FMT2RI8I1_VRII, + LoongArch_INSN_FORM_FMT2RI8I3_VRII, + LoongArch_INSN_FORM_FMT2RI8I2_VRII, + LoongArch_INSN_FORM_NODSTFMT2R, + LoongArch_INSN_FORM_NODSTFMT1R, + LoongArch_INSN_FORM_FMTMFTOP, + LoongArch_INSN_FORM_FMTMTTOP, + LoongArch_INSN_FORM_NODSTFMT1RI3, + LoongArch_INSN_FORM_NODSTFMT1RI6, + LoongArch_INSN_FORM_NODSTFMT1RI5, + LoongArch_INSN_FORM_FMT1RI5I8, + LoongArch_INSN_FORM_FMT3R_XXX, + LoongArch_INSN_FORM_FMT2RI5_XXI, + LoongArch_INSN_FORM_FMT2RI8_XXI, + LoongArch_INSN_FORM_FMT2RI3_XXI, + LoongArch_INSN_FORM_FMT2RI6_XXI, + LoongArch_INSN_FORM_FMT2RI4_XXI, + LoongArch_INSN_FORM_FMT4R_XXXX, + LoongArch_INSN_FORM_FMT2RI2_XRI, + LoongArch_INSN_FORM_FMT2RI3_XRI, + LoongArch_INSN_FORM_FMT2RI2_XXI, + LoongArch_INSN_FORM_FMT2RI12_XRI, + LoongArch_INSN_FORM_FMT1RI13_XI, + LoongArch_INSN_FORM_FMT2RI9_XRI, + LoongArch_INSN_FORM_FMT2RI11_XRI, + LoongArch_INSN_FORM_FMT2RI10_XRI, + LoongArch_INSN_FORM_FMT3R_XRR, + LoongArch_INSN_FORM_FMT2RI2_RXI, + LoongArch_INSN_FORM_FMT2RI3_RXI, + LoongArch_INSN_FORM_FMT2RI1_XXI, + LoongArch_INSN_FORM_FMT2R_XR, + LoongArch_INSN_FORM_FMT3R_XXR, + LoongArch_INSN_FORM_FMT2R_CX, + LoongArch_INSN_FORM_FMT2RI7_XXI, + LoongArch_INSN_FORM_FMT2RI8I5_XRII, + LoongArch_INSN_FORM_FMT2RI8I2_XRII, + LoongArch_INSN_FORM_FMT2RI8I4_XRII, + LoongArch_INSN_FORM_FMT2RI8I3_XRII, + + // clang-format on + // generated content end +} loongarch_insn_form; + +/// Supplemental info for LoongArch instructions +typedef struct { + loongarch_insn_form form; ///< Instruction form + enum cs_ac_type + memory_access; ///< Memory access (none/read/write/read+write) +} loongarch_suppl_info; + +/// Instruction structure +typedef struct cs_loongarch { + /// The instruction format. Can be use to determine the bit encoding of the instruction. + loongarch_insn_form format; + + /// Number of operands of this instruction, + /// or 0 when instruction has no operand. + uint8_t op_count; + cs_loongarch_op operands[8]; ///< operands for this instruction. +} cs_loongarch; + +/// LoongArch registers +typedef enum loongarch_reg { + // generated content begin + // clang-format off + + LoongArch_REG_INVALID = 0, + LoongArch_REG_F0 = 1, + LoongArch_REG_F1 = 2, + LoongArch_REG_F2 = 3, + LoongArch_REG_F3 = 4, + LoongArch_REG_F4 = 5, + LoongArch_REG_F5 = 6, + LoongArch_REG_F6 = 7, + LoongArch_REG_F7 = 8, + LoongArch_REG_F8 = 9, + LoongArch_REG_F9 = 10, + LoongArch_REG_F10 = 11, + LoongArch_REG_F11 = 12, + LoongArch_REG_F12 = 13, + LoongArch_REG_F13 = 14, + LoongArch_REG_F14 = 15, + LoongArch_REG_F15 = 16, + LoongArch_REG_F16 = 17, + LoongArch_REG_F17 = 18, + LoongArch_REG_F18 = 19, + LoongArch_REG_F19 = 20, + LoongArch_REG_F20 = 21, + LoongArch_REG_F21 = 22, + LoongArch_REG_F22 = 23, + LoongArch_REG_F23 = 24, + LoongArch_REG_F24 = 25, + LoongArch_REG_F25 = 26, + LoongArch_REG_F26 = 27, + LoongArch_REG_F27 = 28, + LoongArch_REG_F28 = 29, + LoongArch_REG_F29 = 30, + LoongArch_REG_F30 = 31, + LoongArch_REG_F31 = 32, + LoongArch_REG_FCC0 = 33, + LoongArch_REG_FCC1 = 34, + LoongArch_REG_FCC2 = 35, + LoongArch_REG_FCC3 = 36, + LoongArch_REG_FCC4 = 37, + LoongArch_REG_FCC5 = 38, + LoongArch_REG_FCC6 = 39, + LoongArch_REG_FCC7 = 40, + LoongArch_REG_FCSR0 = 41, + LoongArch_REG_FCSR1 = 42, + LoongArch_REG_FCSR2 = 43, + LoongArch_REG_FCSR3 = 44, + LoongArch_REG_R0 = 45, + LoongArch_REG_R1 = 46, + LoongArch_REG_R2 = 47, + LoongArch_REG_R3 = 48, + LoongArch_REG_R4 = 49, + LoongArch_REG_R5 = 50, + LoongArch_REG_R6 = 51, + LoongArch_REG_R7 = 52, + LoongArch_REG_R8 = 53, + LoongArch_REG_R9 = 54, + LoongArch_REG_R10 = 55, + LoongArch_REG_R11 = 56, + LoongArch_REG_R12 = 57, + LoongArch_REG_R13 = 58, + LoongArch_REG_R14 = 59, + LoongArch_REG_R15 = 60, + LoongArch_REG_R16 = 61, + LoongArch_REG_R17 = 62, + LoongArch_REG_R18 = 63, + LoongArch_REG_R19 = 64, + LoongArch_REG_R20 = 65, + LoongArch_REG_R21 = 66, + LoongArch_REG_R22 = 67, + LoongArch_REG_R23 = 68, + LoongArch_REG_R24 = 69, + LoongArch_REG_R25 = 70, + LoongArch_REG_R26 = 71, + LoongArch_REG_R27 = 72, + LoongArch_REG_R28 = 73, + LoongArch_REG_R29 = 74, + LoongArch_REG_R30 = 75, + LoongArch_REG_R31 = 76, + LoongArch_REG_SCR0 = 77, + LoongArch_REG_SCR1 = 78, + LoongArch_REG_SCR2 = 79, + LoongArch_REG_SCR3 = 80, + LoongArch_REG_VR0 = 81, + LoongArch_REG_VR1 = 82, + LoongArch_REG_VR2 = 83, + LoongArch_REG_VR3 = 84, + LoongArch_REG_VR4 = 85, + LoongArch_REG_VR5 = 86, + LoongArch_REG_VR6 = 87, + LoongArch_REG_VR7 = 88, + LoongArch_REG_VR8 = 89, + LoongArch_REG_VR9 = 90, + LoongArch_REG_VR10 = 91, + LoongArch_REG_VR11 = 92, + LoongArch_REG_VR12 = 93, + LoongArch_REG_VR13 = 94, + LoongArch_REG_VR14 = 95, + LoongArch_REG_VR15 = 96, + LoongArch_REG_VR16 = 97, + LoongArch_REG_VR17 = 98, + LoongArch_REG_VR18 = 99, + LoongArch_REG_VR19 = 100, + LoongArch_REG_VR20 = 101, + LoongArch_REG_VR21 = 102, + LoongArch_REG_VR22 = 103, + LoongArch_REG_VR23 = 104, + LoongArch_REG_VR24 = 105, + LoongArch_REG_VR25 = 106, + LoongArch_REG_VR26 = 107, + LoongArch_REG_VR27 = 108, + LoongArch_REG_VR28 = 109, + LoongArch_REG_VR29 = 110, + LoongArch_REG_VR30 = 111, + LoongArch_REG_VR31 = 112, + LoongArch_REG_XR0 = 113, + LoongArch_REG_XR1 = 114, + LoongArch_REG_XR2 = 115, + LoongArch_REG_XR3 = 116, + LoongArch_REG_XR4 = 117, + LoongArch_REG_XR5 = 118, + LoongArch_REG_XR6 = 119, + LoongArch_REG_XR7 = 120, + LoongArch_REG_XR8 = 121, + LoongArch_REG_XR9 = 122, + LoongArch_REG_XR10 = 123, + LoongArch_REG_XR11 = 124, + LoongArch_REG_XR12 = 125, + LoongArch_REG_XR13 = 126, + LoongArch_REG_XR14 = 127, + LoongArch_REG_XR15 = 128, + LoongArch_REG_XR16 = 129, + LoongArch_REG_XR17 = 130, + LoongArch_REG_XR18 = 131, + LoongArch_REG_XR19 = 132, + LoongArch_REG_XR20 = 133, + LoongArch_REG_XR21 = 134, + LoongArch_REG_XR22 = 135, + LoongArch_REG_XR23 = 136, + LoongArch_REG_XR24 = 137, + LoongArch_REG_XR25 = 138, + LoongArch_REG_XR26 = 139, + LoongArch_REG_XR27 = 140, + LoongArch_REG_XR28 = 141, + LoongArch_REG_XR29 = 142, + LoongArch_REG_XR30 = 143, + LoongArch_REG_XR31 = 144, + LoongArch_REG_F0_64 = 145, + LoongArch_REG_F1_64 = 146, + LoongArch_REG_F2_64 = 147, + LoongArch_REG_F3_64 = 148, + LoongArch_REG_F4_64 = 149, + LoongArch_REG_F5_64 = 150, + LoongArch_REG_F6_64 = 151, + LoongArch_REG_F7_64 = 152, + LoongArch_REG_F8_64 = 153, + LoongArch_REG_F9_64 = 154, + LoongArch_REG_F10_64 = 155, + LoongArch_REG_F11_64 = 156, + LoongArch_REG_F12_64 = 157, + LoongArch_REG_F13_64 = 158, + LoongArch_REG_F14_64 = 159, + LoongArch_REG_F15_64 = 160, + LoongArch_REG_F16_64 = 161, + LoongArch_REG_F17_64 = 162, + LoongArch_REG_F18_64 = 163, + LoongArch_REG_F19_64 = 164, + LoongArch_REG_F20_64 = 165, + LoongArch_REG_F21_64 = 166, + LoongArch_REG_F22_64 = 167, + LoongArch_REG_F23_64 = 168, + LoongArch_REG_F24_64 = 169, + LoongArch_REG_F25_64 = 170, + LoongArch_REG_F26_64 = 171, + LoongArch_REG_F27_64 = 172, + LoongArch_REG_F28_64 = 173, + LoongArch_REG_F29_64 = 174, + LoongArch_REG_F30_64 = 175, + LoongArch_REG_F31_64 = 176, + LoongArch_REG_ENDING, // 177 + + // clang-format on + // generated content end + + // alias registers + LoongArch_REG_ZERO = LoongArch_REG_R0, + LoongArch_REG_RA = LoongArch_REG_R1, + LoongArch_REG_TP = LoongArch_REG_R2, + LoongArch_REG_SP = LoongArch_REG_R3, + LoongArch_REG_A0 = LoongArch_REG_R4, + LoongArch_REG_A1 = LoongArch_REG_R5, + LoongArch_REG_A2 = LoongArch_REG_R6, + LoongArch_REG_A3 = LoongArch_REG_R7, + LoongArch_REG_A4 = LoongArch_REG_R8, + LoongArch_REG_A5 = LoongArch_REG_R9, + LoongArch_REG_A6 = LoongArch_REG_R10, + LoongArch_REG_A7 = LoongArch_REG_R11, + LoongArch_REG_T0 = LoongArch_REG_R12, + LoongArch_REG_T1 = LoongArch_REG_R13, + LoongArch_REG_T2 = LoongArch_REG_R14, + LoongArch_REG_T3 = LoongArch_REG_R15, + LoongArch_REG_T4 = LoongArch_REG_R16, + LoongArch_REG_T5 = LoongArch_REG_R17, + LoongArch_REG_T6 = LoongArch_REG_R18, + LoongArch_REG_T7 = LoongArch_REG_R19, + LoongArch_REG_T8 = LoongArch_REG_R20, + LoongArch_REG_FP = LoongArch_REG_R22, + LoongArch_REG_S9 = LoongArch_REG_R22, + LoongArch_REG_S0 = LoongArch_REG_R23, + LoongArch_REG_S1 = LoongArch_REG_R24, + LoongArch_REG_S2 = LoongArch_REG_R25, + LoongArch_REG_S3 = LoongArch_REG_R26, + LoongArch_REG_S4 = LoongArch_REG_R27, + LoongArch_REG_S5 = LoongArch_REG_R28, + LoongArch_REG_S6 = LoongArch_REG_R29, + LoongArch_REG_S7 = LoongArch_REG_R30, + LoongArch_REG_S8 = LoongArch_REG_R31, +} loongarch_reg; + +/// LoongArch instruction +typedef enum loongarch_insn { + // generated content begin + // clang-format off + + LoongArch_INS_INVALID, + LoongArch_INS_CALL36, + LoongArch_INS_LA_ABS, + LoongArch_INS_LA_GOT, + LoongArch_INS_LA_PCREL, + LoongArch_INS_LA_TLS_GD, + LoongArch_INS_LA_TLS_IE, + LoongArch_INS_LA_TLS_LD, + LoongArch_INS_LA_TLS_LE, + LoongArch_INS_LI_D, + LoongArch_INS_LI_W, + LoongArch_INS_TAIL36, + LoongArch_INS_VREPLI_B, + LoongArch_INS_VREPLI_D, + LoongArch_INS_VREPLI_H, + LoongArch_INS_VREPLI_W, + LoongArch_INS_XVREPLI_B, + LoongArch_INS_XVREPLI_D, + LoongArch_INS_XVREPLI_H, + LoongArch_INS_XVREPLI_W, + LoongArch_INS_ADC_B, + LoongArch_INS_ADC_D, + LoongArch_INS_ADC_H, + LoongArch_INS_ADC_W, + LoongArch_INS_ADDI_D, + LoongArch_INS_ADDI_W, + LoongArch_INS_ADDU12I_D, + LoongArch_INS_ADDU12I_W, + LoongArch_INS_ADDU16I_D, + LoongArch_INS_ADD_D, + LoongArch_INS_ADD_W, + LoongArch_INS_ALSL_D, + LoongArch_INS_ALSL_W, + LoongArch_INS_ALSL_WU, + LoongArch_INS_AMADD_B, + LoongArch_INS_AMADD_D, + LoongArch_INS_AMADD_H, + LoongArch_INS_AMADD_W, + LoongArch_INS_AMADD_DB_B, + LoongArch_INS_AMADD_DB_D, + LoongArch_INS_AMADD_DB_H, + LoongArch_INS_AMADD_DB_W, + LoongArch_INS_AMAND_D, + LoongArch_INS_AMAND_W, + LoongArch_INS_AMAND_DB_D, + LoongArch_INS_AMAND_DB_W, + LoongArch_INS_AMCAS_B, + LoongArch_INS_AMCAS_D, + LoongArch_INS_AMCAS_H, + LoongArch_INS_AMCAS_W, + LoongArch_INS_AMCAS_DB_B, + LoongArch_INS_AMCAS_DB_D, + LoongArch_INS_AMCAS_DB_H, + LoongArch_INS_AMCAS_DB_W, + LoongArch_INS_AMMAX_D, + LoongArch_INS_AMMAX_DU, + LoongArch_INS_AMMAX_W, + LoongArch_INS_AMMAX_WU, + LoongArch_INS_AMMAX_DB_D, + LoongArch_INS_AMMAX_DB_DU, + LoongArch_INS_AMMAX_DB_W, + LoongArch_INS_AMMAX_DB_WU, + LoongArch_INS_AMMIN_D, + LoongArch_INS_AMMIN_DU, + LoongArch_INS_AMMIN_W, + LoongArch_INS_AMMIN_WU, + LoongArch_INS_AMMIN_DB_D, + LoongArch_INS_AMMIN_DB_DU, + LoongArch_INS_AMMIN_DB_W, + LoongArch_INS_AMMIN_DB_WU, + LoongArch_INS_AMOR_D, + LoongArch_INS_AMOR_W, + LoongArch_INS_AMOR_DB_D, + LoongArch_INS_AMOR_DB_W, + LoongArch_INS_AMSWAP_B, + LoongArch_INS_AMSWAP_D, + LoongArch_INS_AMSWAP_H, + LoongArch_INS_AMSWAP_W, + LoongArch_INS_AMSWAP_DB_B, + LoongArch_INS_AMSWAP_DB_D, + LoongArch_INS_AMSWAP_DB_H, + LoongArch_INS_AMSWAP_DB_W, + LoongArch_INS_AMXOR_D, + LoongArch_INS_AMXOR_W, + LoongArch_INS_AMXOR_DB_D, + LoongArch_INS_AMXOR_DB_W, + LoongArch_INS_AND, + LoongArch_INS_ANDI, + LoongArch_INS_ANDN, + LoongArch_INS_ARMADC_W, + LoongArch_INS_ARMADD_W, + LoongArch_INS_ARMAND_W, + LoongArch_INS_ARMMFFLAG, + LoongArch_INS_ARMMOVE, + LoongArch_INS_ARMMOV_D, + LoongArch_INS_ARMMOV_W, + LoongArch_INS_ARMMTFLAG, + LoongArch_INS_ARMNOT_W, + LoongArch_INS_ARMOR_W, + LoongArch_INS_ARMROTRI_W, + LoongArch_INS_ARMROTR_W, + LoongArch_INS_ARMRRX_W, + LoongArch_INS_ARMSBC_W, + LoongArch_INS_ARMSLLI_W, + LoongArch_INS_ARMSLL_W, + LoongArch_INS_ARMSRAI_W, + LoongArch_INS_ARMSRA_W, + LoongArch_INS_ARMSRLI_W, + LoongArch_INS_ARMSRL_W, + LoongArch_INS_ARMSUB_W, + LoongArch_INS_ARMXOR_W, + LoongArch_INS_ASRTGT_D, + LoongArch_INS_ASRTLE_D, + LoongArch_INS_B, + LoongArch_INS_BCEQZ, + LoongArch_INS_BCNEZ, + LoongArch_INS_BEQ, + LoongArch_INS_BEQZ, + LoongArch_INS_BGE, + LoongArch_INS_BGEU, + LoongArch_INS_BITREV_4B, + LoongArch_INS_BITREV_8B, + LoongArch_INS_BITREV_D, + LoongArch_INS_BITREV_W, + LoongArch_INS_BL, + LoongArch_INS_BLT, + LoongArch_INS_BLTU, + LoongArch_INS_BNE, + LoongArch_INS_BNEZ, + LoongArch_INS_BREAK, + LoongArch_INS_BSTRINS_D, + LoongArch_INS_BSTRINS_W, + LoongArch_INS_BSTRPICK_D, + LoongArch_INS_BSTRPICK_W, + LoongArch_INS_BYTEPICK_D, + LoongArch_INS_BYTEPICK_W, + LoongArch_INS_CACOP, + LoongArch_INS_CLO_D, + LoongArch_INS_CLO_W, + LoongArch_INS_CLZ_D, + LoongArch_INS_CLZ_W, + LoongArch_INS_CPUCFG, + LoongArch_INS_CRCC_W_B_W, + LoongArch_INS_CRCC_W_D_W, + LoongArch_INS_CRCC_W_H_W, + LoongArch_INS_CRCC_W_W_W, + LoongArch_INS_CRC_W_B_W, + LoongArch_INS_CRC_W_D_W, + LoongArch_INS_CRC_W_H_W, + LoongArch_INS_CRC_W_W_W, + LoongArch_INS_CSRRD, + LoongArch_INS_CSRWR, + LoongArch_INS_CSRXCHG, + LoongArch_INS_CTO_D, + LoongArch_INS_CTO_W, + LoongArch_INS_CTZ_D, + LoongArch_INS_CTZ_W, + LoongArch_INS_DBAR, + LoongArch_INS_DBCL, + LoongArch_INS_DIV_D, + LoongArch_INS_DIV_DU, + LoongArch_INS_DIV_W, + LoongArch_INS_DIV_WU, + LoongArch_INS_ERTN, + LoongArch_INS_EXT_W_B, + LoongArch_INS_EXT_W_H, + LoongArch_INS_FABS_D, + LoongArch_INS_FABS_S, + LoongArch_INS_FADD_D, + LoongArch_INS_FADD_S, + LoongArch_INS_FCLASS_D, + LoongArch_INS_FCLASS_S, + LoongArch_INS_FCMP_CAF_D, + LoongArch_INS_FCMP_CAF_S, + LoongArch_INS_FCMP_CEQ_D, + LoongArch_INS_FCMP_CEQ_S, + LoongArch_INS_FCMP_CLE_D, + LoongArch_INS_FCMP_CLE_S, + LoongArch_INS_FCMP_CLT_D, + LoongArch_INS_FCMP_CLT_S, + LoongArch_INS_FCMP_CNE_D, + LoongArch_INS_FCMP_CNE_S, + LoongArch_INS_FCMP_COR_D, + LoongArch_INS_FCMP_COR_S, + LoongArch_INS_FCMP_CUEQ_D, + LoongArch_INS_FCMP_CUEQ_S, + LoongArch_INS_FCMP_CULE_D, + LoongArch_INS_FCMP_CULE_S, + LoongArch_INS_FCMP_CULT_D, + LoongArch_INS_FCMP_CULT_S, + LoongArch_INS_FCMP_CUNE_D, + LoongArch_INS_FCMP_CUNE_S, + LoongArch_INS_FCMP_CUN_D, + LoongArch_INS_FCMP_CUN_S, + LoongArch_INS_FCMP_SAF_D, + LoongArch_INS_FCMP_SAF_S, + LoongArch_INS_FCMP_SEQ_D, + LoongArch_INS_FCMP_SEQ_S, + LoongArch_INS_FCMP_SLE_D, + LoongArch_INS_FCMP_SLE_S, + LoongArch_INS_FCMP_SLT_D, + LoongArch_INS_FCMP_SLT_S, + LoongArch_INS_FCMP_SNE_D, + LoongArch_INS_FCMP_SNE_S, + LoongArch_INS_FCMP_SOR_D, + LoongArch_INS_FCMP_SOR_S, + LoongArch_INS_FCMP_SUEQ_D, + LoongArch_INS_FCMP_SUEQ_S, + LoongArch_INS_FCMP_SULE_D, + LoongArch_INS_FCMP_SULE_S, + LoongArch_INS_FCMP_SULT_D, + LoongArch_INS_FCMP_SULT_S, + LoongArch_INS_FCMP_SUNE_D, + LoongArch_INS_FCMP_SUNE_S, + LoongArch_INS_FCMP_SUN_D, + LoongArch_INS_FCMP_SUN_S, + LoongArch_INS_FCOPYSIGN_D, + LoongArch_INS_FCOPYSIGN_S, + LoongArch_INS_FCVT_D_LD, + LoongArch_INS_FCVT_D_S, + LoongArch_INS_FCVT_LD_D, + LoongArch_INS_FCVT_S_D, + LoongArch_INS_FCVT_UD_D, + LoongArch_INS_FDIV_D, + LoongArch_INS_FDIV_S, + LoongArch_INS_FFINT_D_L, + LoongArch_INS_FFINT_D_W, + LoongArch_INS_FFINT_S_L, + LoongArch_INS_FFINT_S_W, + LoongArch_INS_FLDGT_D, + LoongArch_INS_FLDGT_S, + LoongArch_INS_FLDLE_D, + LoongArch_INS_FLDLE_S, + LoongArch_INS_FLDX_D, + LoongArch_INS_FLDX_S, + LoongArch_INS_FLD_D, + LoongArch_INS_FLD_S, + LoongArch_INS_FLOGB_D, + LoongArch_INS_FLOGB_S, + LoongArch_INS_FMADD_D, + LoongArch_INS_FMADD_S, + LoongArch_INS_FMAXA_D, + LoongArch_INS_FMAXA_S, + LoongArch_INS_FMAX_D, + LoongArch_INS_FMAX_S, + LoongArch_INS_FMINA_D, + LoongArch_INS_FMINA_S, + LoongArch_INS_FMIN_D, + LoongArch_INS_FMIN_S, + LoongArch_INS_FMOV_D, + LoongArch_INS_FMOV_S, + LoongArch_INS_FMSUB_D, + LoongArch_INS_FMSUB_S, + LoongArch_INS_FMUL_D, + LoongArch_INS_FMUL_S, + LoongArch_INS_FNEG_D, + LoongArch_INS_FNEG_S, + LoongArch_INS_FNMADD_D, + LoongArch_INS_FNMADD_S, + LoongArch_INS_FNMSUB_D, + LoongArch_INS_FNMSUB_S, + LoongArch_INS_FRECIPE_D, + LoongArch_INS_FRECIPE_S, + LoongArch_INS_FRECIP_D, + LoongArch_INS_FRECIP_S, + LoongArch_INS_FRINT_D, + LoongArch_INS_FRINT_S, + LoongArch_INS_FRSQRTE_D, + LoongArch_INS_FRSQRTE_S, + LoongArch_INS_FRSQRT_D, + LoongArch_INS_FRSQRT_S, + LoongArch_INS_FSCALEB_D, + LoongArch_INS_FSCALEB_S, + LoongArch_INS_FSEL, + LoongArch_INS_FSQRT_D, + LoongArch_INS_FSQRT_S, + LoongArch_INS_FSTGT_D, + LoongArch_INS_FSTGT_S, + LoongArch_INS_FSTLE_D, + LoongArch_INS_FSTLE_S, + LoongArch_INS_FSTX_D, + LoongArch_INS_FSTX_S, + LoongArch_INS_FST_D, + LoongArch_INS_FST_S, + LoongArch_INS_FSUB_D, + LoongArch_INS_FSUB_S, + LoongArch_INS_FTINTRM_L_D, + LoongArch_INS_FTINTRM_L_S, + LoongArch_INS_FTINTRM_W_D, + LoongArch_INS_FTINTRM_W_S, + LoongArch_INS_FTINTRNE_L_D, + LoongArch_INS_FTINTRNE_L_S, + LoongArch_INS_FTINTRNE_W_D, + LoongArch_INS_FTINTRNE_W_S, + LoongArch_INS_FTINTRP_L_D, + LoongArch_INS_FTINTRP_L_S, + LoongArch_INS_FTINTRP_W_D, + LoongArch_INS_FTINTRP_W_S, + LoongArch_INS_FTINTRZ_L_D, + LoongArch_INS_FTINTRZ_L_S, + LoongArch_INS_FTINTRZ_W_D, + LoongArch_INS_FTINTRZ_W_S, + LoongArch_INS_FTINT_L_D, + LoongArch_INS_FTINT_L_S, + LoongArch_INS_FTINT_W_D, + LoongArch_INS_FTINT_W_S, + LoongArch_INS_GCSRRD, + LoongArch_INS_GCSRWR, + LoongArch_INS_GCSRXCHG, + LoongArch_INS_GTLBFLUSH, + LoongArch_INS_HVCL, + LoongArch_INS_IBAR, + LoongArch_INS_IDLE, + LoongArch_INS_INVTLB, + LoongArch_INS_IOCSRRD_B, + LoongArch_INS_IOCSRRD_D, + LoongArch_INS_IOCSRRD_H, + LoongArch_INS_IOCSRRD_W, + LoongArch_INS_IOCSRWR_B, + LoongArch_INS_IOCSRWR_D, + LoongArch_INS_IOCSRWR_H, + LoongArch_INS_IOCSRWR_W, + LoongArch_INS_JIRL, + LoongArch_INS_JISCR0, + LoongArch_INS_JISCR1, + LoongArch_INS_LDDIR, + LoongArch_INS_LDGT_B, + LoongArch_INS_LDGT_D, + LoongArch_INS_LDGT_H, + LoongArch_INS_LDGT_W, + LoongArch_INS_LDLE_B, + LoongArch_INS_LDLE_D, + LoongArch_INS_LDLE_H, + LoongArch_INS_LDLE_W, + LoongArch_INS_LDL_D, + LoongArch_INS_LDL_W, + LoongArch_INS_LDPTE, + LoongArch_INS_LDPTR_D, + LoongArch_INS_LDPTR_W, + LoongArch_INS_LDR_D, + LoongArch_INS_LDR_W, + LoongArch_INS_LDX_B, + LoongArch_INS_LDX_BU, + LoongArch_INS_LDX_D, + LoongArch_INS_LDX_H, + LoongArch_INS_LDX_HU, + LoongArch_INS_LDX_W, + LoongArch_INS_LDX_WU, + LoongArch_INS_LD_B, + LoongArch_INS_LD_BU, + LoongArch_INS_LD_D, + LoongArch_INS_LD_H, + LoongArch_INS_LD_HU, + LoongArch_INS_LD_W, + LoongArch_INS_LD_WU, + LoongArch_INS_LLACQ_D, + LoongArch_INS_LLACQ_W, + LoongArch_INS_LL_D, + LoongArch_INS_LL_W, + LoongArch_INS_LU12I_W, + LoongArch_INS_LU32I_D, + LoongArch_INS_LU52I_D, + LoongArch_INS_MASKEQZ, + LoongArch_INS_MASKNEZ, + LoongArch_INS_MOD_D, + LoongArch_INS_MOD_DU, + LoongArch_INS_MOD_W, + LoongArch_INS_MOD_WU, + LoongArch_INS_MOVCF2FR, + LoongArch_INS_MOVCF2GR, + LoongArch_INS_MOVFCSR2GR, + LoongArch_INS_MOVFR2CF, + LoongArch_INS_MOVFR2GR_D, + LoongArch_INS_MOVFR2GR_S, + LoongArch_INS_MOVFRH2GR_S, + LoongArch_INS_MOVGR2CF, + LoongArch_INS_MOVGR2FCSR, + LoongArch_INS_MOVGR2FRH_W, + LoongArch_INS_MOVGR2FR_D, + LoongArch_INS_MOVGR2FR_W, + LoongArch_INS_MOVGR2SCR, + LoongArch_INS_MOVSCR2GR, + LoongArch_INS_MULH_D, + LoongArch_INS_MULH_DU, + LoongArch_INS_MULH_W, + LoongArch_INS_MULH_WU, + LoongArch_INS_MULW_D_W, + LoongArch_INS_MULW_D_WU, + LoongArch_INS_MUL_D, + LoongArch_INS_MUL_W, + LoongArch_INS_NOR, + LoongArch_INS_OR, + LoongArch_INS_ORI, + LoongArch_INS_ORN, + LoongArch_INS_PCADDI, + LoongArch_INS_PCADDU12I, + LoongArch_INS_PCADDU18I, + LoongArch_INS_PCALAU12I, + LoongArch_INS_PRELD, + LoongArch_INS_PRELDX, + LoongArch_INS_RCRI_B, + LoongArch_INS_RCRI_D, + LoongArch_INS_RCRI_H, + LoongArch_INS_RCRI_W, + LoongArch_INS_RCR_B, + LoongArch_INS_RCR_D, + LoongArch_INS_RCR_H, + LoongArch_INS_RCR_W, + LoongArch_INS_RDTIMEH_W, + LoongArch_INS_RDTIMEL_W, + LoongArch_INS_RDTIME_D, + LoongArch_INS_REVB_2H, + LoongArch_INS_REVB_2W, + LoongArch_INS_REVB_4H, + LoongArch_INS_REVB_D, + LoongArch_INS_REVH_2W, + LoongArch_INS_REVH_D, + LoongArch_INS_ROTRI_B, + LoongArch_INS_ROTRI_D, + LoongArch_INS_ROTRI_H, + LoongArch_INS_ROTRI_W, + LoongArch_INS_ROTR_B, + LoongArch_INS_ROTR_D, + LoongArch_INS_ROTR_H, + LoongArch_INS_ROTR_W, + LoongArch_INS_SBC_B, + LoongArch_INS_SBC_D, + LoongArch_INS_SBC_H, + LoongArch_INS_SBC_W, + LoongArch_INS_SCREL_D, + LoongArch_INS_SCREL_W, + LoongArch_INS_SC_D, + LoongArch_INS_SC_Q, + LoongArch_INS_SC_W, + LoongArch_INS_SETARMJ, + LoongArch_INS_SETX86J, + LoongArch_INS_SETX86LOOPE, + LoongArch_INS_SETX86LOOPNE, + LoongArch_INS_SLLI_D, + LoongArch_INS_SLLI_W, + LoongArch_INS_SLL_D, + LoongArch_INS_SLL_W, + LoongArch_INS_SLT, + LoongArch_INS_SLTI, + LoongArch_INS_SLTU, + LoongArch_INS_SLTUI, + LoongArch_INS_SRAI_D, + LoongArch_INS_SRAI_W, + LoongArch_INS_SRA_D, + LoongArch_INS_SRA_W, + LoongArch_INS_SRLI_D, + LoongArch_INS_SRLI_W, + LoongArch_INS_SRL_D, + LoongArch_INS_SRL_W, + LoongArch_INS_STGT_B, + LoongArch_INS_STGT_D, + LoongArch_INS_STGT_H, + LoongArch_INS_STGT_W, + LoongArch_INS_STLE_B, + LoongArch_INS_STLE_D, + LoongArch_INS_STLE_H, + LoongArch_INS_STLE_W, + LoongArch_INS_STL_D, + LoongArch_INS_STL_W, + LoongArch_INS_STPTR_D, + LoongArch_INS_STPTR_W, + LoongArch_INS_STR_D, + LoongArch_INS_STR_W, + LoongArch_INS_STX_B, + LoongArch_INS_STX_D, + LoongArch_INS_STX_H, + LoongArch_INS_STX_W, + LoongArch_INS_ST_B, + LoongArch_INS_ST_D, + LoongArch_INS_ST_H, + LoongArch_INS_ST_W, + LoongArch_INS_SUB_D, + LoongArch_INS_SUB_W, + LoongArch_INS_SYSCALL, + LoongArch_INS_TLBCLR, + LoongArch_INS_TLBFILL, + LoongArch_INS_TLBFLUSH, + LoongArch_INS_TLBRD, + LoongArch_INS_TLBSRCH, + LoongArch_INS_TLBWR, + LoongArch_INS_VABSD_B, + LoongArch_INS_VABSD_BU, + LoongArch_INS_VABSD_D, + LoongArch_INS_VABSD_DU, + LoongArch_INS_VABSD_H, + LoongArch_INS_VABSD_HU, + LoongArch_INS_VABSD_W, + LoongArch_INS_VABSD_WU, + LoongArch_INS_VADDA_B, + LoongArch_INS_VADDA_D, + LoongArch_INS_VADDA_H, + LoongArch_INS_VADDA_W, + LoongArch_INS_VADDI_BU, + LoongArch_INS_VADDI_DU, + LoongArch_INS_VADDI_HU, + LoongArch_INS_VADDI_WU, + LoongArch_INS_VADDWEV_D_W, + LoongArch_INS_VADDWEV_D_WU, + LoongArch_INS_VADDWEV_D_WU_W, + LoongArch_INS_VADDWEV_H_B, + LoongArch_INS_VADDWEV_H_BU, + LoongArch_INS_VADDWEV_H_BU_B, + LoongArch_INS_VADDWEV_Q_D, + LoongArch_INS_VADDWEV_Q_DU, + LoongArch_INS_VADDWEV_Q_DU_D, + LoongArch_INS_VADDWEV_W_H, + LoongArch_INS_VADDWEV_W_HU, + LoongArch_INS_VADDWEV_W_HU_H, + LoongArch_INS_VADDWOD_D_W, + LoongArch_INS_VADDWOD_D_WU, + LoongArch_INS_VADDWOD_D_WU_W, + LoongArch_INS_VADDWOD_H_B, + LoongArch_INS_VADDWOD_H_BU, + LoongArch_INS_VADDWOD_H_BU_B, + LoongArch_INS_VADDWOD_Q_D, + LoongArch_INS_VADDWOD_Q_DU, + LoongArch_INS_VADDWOD_Q_DU_D, + LoongArch_INS_VADDWOD_W_H, + LoongArch_INS_VADDWOD_W_HU, + LoongArch_INS_VADDWOD_W_HU_H, + LoongArch_INS_VADD_B, + LoongArch_INS_VADD_D, + LoongArch_INS_VADD_H, + LoongArch_INS_VADD_Q, + LoongArch_INS_VADD_W, + LoongArch_INS_VANDI_B, + LoongArch_INS_VANDN_V, + LoongArch_INS_VAND_V, + LoongArch_INS_VAVGR_B, + LoongArch_INS_VAVGR_BU, + LoongArch_INS_VAVGR_D, + LoongArch_INS_VAVGR_DU, + LoongArch_INS_VAVGR_H, + LoongArch_INS_VAVGR_HU, + LoongArch_INS_VAVGR_W, + LoongArch_INS_VAVGR_WU, + LoongArch_INS_VAVG_B, + LoongArch_INS_VAVG_BU, + LoongArch_INS_VAVG_D, + LoongArch_INS_VAVG_DU, + LoongArch_INS_VAVG_H, + LoongArch_INS_VAVG_HU, + LoongArch_INS_VAVG_W, + LoongArch_INS_VAVG_WU, + LoongArch_INS_VBITCLRI_B, + LoongArch_INS_VBITCLRI_D, + LoongArch_INS_VBITCLRI_H, + LoongArch_INS_VBITCLRI_W, + LoongArch_INS_VBITCLR_B, + LoongArch_INS_VBITCLR_D, + LoongArch_INS_VBITCLR_H, + LoongArch_INS_VBITCLR_W, + LoongArch_INS_VBITREVI_B, + LoongArch_INS_VBITREVI_D, + LoongArch_INS_VBITREVI_H, + LoongArch_INS_VBITREVI_W, + LoongArch_INS_VBITREV_B, + LoongArch_INS_VBITREV_D, + LoongArch_INS_VBITREV_H, + LoongArch_INS_VBITREV_W, + LoongArch_INS_VBITSELI_B, + LoongArch_INS_VBITSEL_V, + LoongArch_INS_VBITSETI_B, + LoongArch_INS_VBITSETI_D, + LoongArch_INS_VBITSETI_H, + LoongArch_INS_VBITSETI_W, + LoongArch_INS_VBITSET_B, + LoongArch_INS_VBITSET_D, + LoongArch_INS_VBITSET_H, + LoongArch_INS_VBITSET_W, + LoongArch_INS_VBSLL_V, + LoongArch_INS_VBSRL_V, + LoongArch_INS_VCLO_B, + LoongArch_INS_VCLO_D, + LoongArch_INS_VCLO_H, + LoongArch_INS_VCLO_W, + LoongArch_INS_VCLZ_B, + LoongArch_INS_VCLZ_D, + LoongArch_INS_VCLZ_H, + LoongArch_INS_VCLZ_W, + LoongArch_INS_VDIV_B, + LoongArch_INS_VDIV_BU, + LoongArch_INS_VDIV_D, + LoongArch_INS_VDIV_DU, + LoongArch_INS_VDIV_H, + LoongArch_INS_VDIV_HU, + LoongArch_INS_VDIV_W, + LoongArch_INS_VDIV_WU, + LoongArch_INS_VEXT2XV_DU_BU, + LoongArch_INS_VEXT2XV_DU_HU, + LoongArch_INS_VEXT2XV_DU_WU, + LoongArch_INS_VEXT2XV_D_B, + LoongArch_INS_VEXT2XV_D_H, + LoongArch_INS_VEXT2XV_D_W, + LoongArch_INS_VEXT2XV_HU_BU, + LoongArch_INS_VEXT2XV_H_B, + LoongArch_INS_VEXT2XV_WU_BU, + LoongArch_INS_VEXT2XV_WU_HU, + LoongArch_INS_VEXT2XV_W_B, + LoongArch_INS_VEXT2XV_W_H, + LoongArch_INS_VEXTH_DU_WU, + LoongArch_INS_VEXTH_D_W, + LoongArch_INS_VEXTH_HU_BU, + LoongArch_INS_VEXTH_H_B, + LoongArch_INS_VEXTH_QU_DU, + LoongArch_INS_VEXTH_Q_D, + LoongArch_INS_VEXTH_WU_HU, + LoongArch_INS_VEXTH_W_H, + LoongArch_INS_VEXTL_QU_DU, + LoongArch_INS_VEXTL_Q_D, + LoongArch_INS_VEXTRINS_B, + LoongArch_INS_VEXTRINS_D, + LoongArch_INS_VEXTRINS_H, + LoongArch_INS_VEXTRINS_W, + LoongArch_INS_VFADD_D, + LoongArch_INS_VFADD_S, + LoongArch_INS_VFCLASS_D, + LoongArch_INS_VFCLASS_S, + LoongArch_INS_VFCMP_CAF_D, + LoongArch_INS_VFCMP_CAF_S, + LoongArch_INS_VFCMP_CEQ_D, + LoongArch_INS_VFCMP_CEQ_S, + LoongArch_INS_VFCMP_CLE_D, + LoongArch_INS_VFCMP_CLE_S, + LoongArch_INS_VFCMP_CLT_D, + LoongArch_INS_VFCMP_CLT_S, + LoongArch_INS_VFCMP_CNE_D, + LoongArch_INS_VFCMP_CNE_S, + LoongArch_INS_VFCMP_COR_D, + LoongArch_INS_VFCMP_COR_S, + LoongArch_INS_VFCMP_CUEQ_D, + LoongArch_INS_VFCMP_CUEQ_S, + LoongArch_INS_VFCMP_CULE_D, + LoongArch_INS_VFCMP_CULE_S, + LoongArch_INS_VFCMP_CULT_D, + LoongArch_INS_VFCMP_CULT_S, + LoongArch_INS_VFCMP_CUNE_D, + LoongArch_INS_VFCMP_CUNE_S, + LoongArch_INS_VFCMP_CUN_D, + LoongArch_INS_VFCMP_CUN_S, + LoongArch_INS_VFCMP_SAF_D, + LoongArch_INS_VFCMP_SAF_S, + LoongArch_INS_VFCMP_SEQ_D, + LoongArch_INS_VFCMP_SEQ_S, + LoongArch_INS_VFCMP_SLE_D, + LoongArch_INS_VFCMP_SLE_S, + LoongArch_INS_VFCMP_SLT_D, + LoongArch_INS_VFCMP_SLT_S, + LoongArch_INS_VFCMP_SNE_D, + LoongArch_INS_VFCMP_SNE_S, + LoongArch_INS_VFCMP_SOR_D, + LoongArch_INS_VFCMP_SOR_S, + LoongArch_INS_VFCMP_SUEQ_D, + LoongArch_INS_VFCMP_SUEQ_S, + LoongArch_INS_VFCMP_SULE_D, + LoongArch_INS_VFCMP_SULE_S, + LoongArch_INS_VFCMP_SULT_D, + LoongArch_INS_VFCMP_SULT_S, + LoongArch_INS_VFCMP_SUNE_D, + LoongArch_INS_VFCMP_SUNE_S, + LoongArch_INS_VFCMP_SUN_D, + LoongArch_INS_VFCMP_SUN_S, + LoongArch_INS_VFCVTH_D_S, + LoongArch_INS_VFCVTH_S_H, + LoongArch_INS_VFCVTL_D_S, + LoongArch_INS_VFCVTL_S_H, + LoongArch_INS_VFCVT_H_S, + LoongArch_INS_VFCVT_S_D, + LoongArch_INS_VFDIV_D, + LoongArch_INS_VFDIV_S, + LoongArch_INS_VFFINTH_D_W, + LoongArch_INS_VFFINTL_D_W, + LoongArch_INS_VFFINT_D_L, + LoongArch_INS_VFFINT_D_LU, + LoongArch_INS_VFFINT_S_L, + LoongArch_INS_VFFINT_S_W, + LoongArch_INS_VFFINT_S_WU, + LoongArch_INS_VFLOGB_D, + LoongArch_INS_VFLOGB_S, + LoongArch_INS_VFMADD_D, + LoongArch_INS_VFMADD_S, + LoongArch_INS_VFMAXA_D, + LoongArch_INS_VFMAXA_S, + LoongArch_INS_VFMAX_D, + LoongArch_INS_VFMAX_S, + LoongArch_INS_VFMINA_D, + LoongArch_INS_VFMINA_S, + LoongArch_INS_VFMIN_D, + LoongArch_INS_VFMIN_S, + LoongArch_INS_VFMSUB_D, + LoongArch_INS_VFMSUB_S, + LoongArch_INS_VFMUL_D, + LoongArch_INS_VFMUL_S, + LoongArch_INS_VFNMADD_D, + LoongArch_INS_VFNMADD_S, + LoongArch_INS_VFNMSUB_D, + LoongArch_INS_VFNMSUB_S, + LoongArch_INS_VFRECIPE_D, + LoongArch_INS_VFRECIPE_S, + LoongArch_INS_VFRECIP_D, + LoongArch_INS_VFRECIP_S, + LoongArch_INS_VFRINTRM_D, + LoongArch_INS_VFRINTRM_S, + LoongArch_INS_VFRINTRNE_D, + LoongArch_INS_VFRINTRNE_S, + LoongArch_INS_VFRINTRP_D, + LoongArch_INS_VFRINTRP_S, + LoongArch_INS_VFRINTRZ_D, + LoongArch_INS_VFRINTRZ_S, + LoongArch_INS_VFRINT_D, + LoongArch_INS_VFRINT_S, + LoongArch_INS_VFRSQRTE_D, + LoongArch_INS_VFRSQRTE_S, + LoongArch_INS_VFRSQRT_D, + LoongArch_INS_VFRSQRT_S, + LoongArch_INS_VFRSTPI_B, + LoongArch_INS_VFRSTPI_H, + LoongArch_INS_VFRSTP_B, + LoongArch_INS_VFRSTP_H, + LoongArch_INS_VFSQRT_D, + LoongArch_INS_VFSQRT_S, + LoongArch_INS_VFSUB_D, + LoongArch_INS_VFSUB_S, + LoongArch_INS_VFTINTH_L_S, + LoongArch_INS_VFTINTL_L_S, + LoongArch_INS_VFTINTRMH_L_S, + LoongArch_INS_VFTINTRML_L_S, + LoongArch_INS_VFTINTRM_L_D, + LoongArch_INS_VFTINTRM_W_D, + LoongArch_INS_VFTINTRM_W_S, + LoongArch_INS_VFTINTRNEH_L_S, + LoongArch_INS_VFTINTRNEL_L_S, + LoongArch_INS_VFTINTRNE_L_D, + LoongArch_INS_VFTINTRNE_W_D, + LoongArch_INS_VFTINTRNE_W_S, + LoongArch_INS_VFTINTRPH_L_S, + LoongArch_INS_VFTINTRPL_L_S, + LoongArch_INS_VFTINTRP_L_D, + LoongArch_INS_VFTINTRP_W_D, + LoongArch_INS_VFTINTRP_W_S, + LoongArch_INS_VFTINTRZH_L_S, + LoongArch_INS_VFTINTRZL_L_S, + LoongArch_INS_VFTINTRZ_LU_D, + LoongArch_INS_VFTINTRZ_L_D, + LoongArch_INS_VFTINTRZ_WU_S, + LoongArch_INS_VFTINTRZ_W_D, + LoongArch_INS_VFTINTRZ_W_S, + LoongArch_INS_VFTINT_LU_D, + LoongArch_INS_VFTINT_L_D, + LoongArch_INS_VFTINT_WU_S, + LoongArch_INS_VFTINT_W_D, + LoongArch_INS_VFTINT_W_S, + LoongArch_INS_VHADDW_DU_WU, + LoongArch_INS_VHADDW_D_W, + LoongArch_INS_VHADDW_HU_BU, + LoongArch_INS_VHADDW_H_B, + LoongArch_INS_VHADDW_QU_DU, + LoongArch_INS_VHADDW_Q_D, + LoongArch_INS_VHADDW_WU_HU, + LoongArch_INS_VHADDW_W_H, + LoongArch_INS_VHSUBW_DU_WU, + LoongArch_INS_VHSUBW_D_W, + LoongArch_INS_VHSUBW_HU_BU, + LoongArch_INS_VHSUBW_H_B, + LoongArch_INS_VHSUBW_QU_DU, + LoongArch_INS_VHSUBW_Q_D, + LoongArch_INS_VHSUBW_WU_HU, + LoongArch_INS_VHSUBW_W_H, + LoongArch_INS_VILVH_B, + LoongArch_INS_VILVH_D, + LoongArch_INS_VILVH_H, + LoongArch_INS_VILVH_W, + LoongArch_INS_VILVL_B, + LoongArch_INS_VILVL_D, + LoongArch_INS_VILVL_H, + LoongArch_INS_VILVL_W, + LoongArch_INS_VINSGR2VR_B, + LoongArch_INS_VINSGR2VR_D, + LoongArch_INS_VINSGR2VR_H, + LoongArch_INS_VINSGR2VR_W, + LoongArch_INS_VLD, + LoongArch_INS_VLDI, + LoongArch_INS_VLDREPL_B, + LoongArch_INS_VLDREPL_D, + LoongArch_INS_VLDREPL_H, + LoongArch_INS_VLDREPL_W, + LoongArch_INS_VLDX, + LoongArch_INS_VMADDWEV_D_W, + LoongArch_INS_VMADDWEV_D_WU, + LoongArch_INS_VMADDWEV_D_WU_W, + LoongArch_INS_VMADDWEV_H_B, + LoongArch_INS_VMADDWEV_H_BU, + LoongArch_INS_VMADDWEV_H_BU_B, + LoongArch_INS_VMADDWEV_Q_D, + LoongArch_INS_VMADDWEV_Q_DU, + LoongArch_INS_VMADDWEV_Q_DU_D, + LoongArch_INS_VMADDWEV_W_H, + LoongArch_INS_VMADDWEV_W_HU, + LoongArch_INS_VMADDWEV_W_HU_H, + LoongArch_INS_VMADDWOD_D_W, + LoongArch_INS_VMADDWOD_D_WU, + LoongArch_INS_VMADDWOD_D_WU_W, + LoongArch_INS_VMADDWOD_H_B, + LoongArch_INS_VMADDWOD_H_BU, + LoongArch_INS_VMADDWOD_H_BU_B, + LoongArch_INS_VMADDWOD_Q_D, + LoongArch_INS_VMADDWOD_Q_DU, + LoongArch_INS_VMADDWOD_Q_DU_D, + LoongArch_INS_VMADDWOD_W_H, + LoongArch_INS_VMADDWOD_W_HU, + LoongArch_INS_VMADDWOD_W_HU_H, + LoongArch_INS_VMADD_B, + LoongArch_INS_VMADD_D, + LoongArch_INS_VMADD_H, + LoongArch_INS_VMADD_W, + LoongArch_INS_VMAXI_B, + LoongArch_INS_VMAXI_BU, + LoongArch_INS_VMAXI_D, + LoongArch_INS_VMAXI_DU, + LoongArch_INS_VMAXI_H, + LoongArch_INS_VMAXI_HU, + LoongArch_INS_VMAXI_W, + LoongArch_INS_VMAXI_WU, + LoongArch_INS_VMAX_B, + LoongArch_INS_VMAX_BU, + LoongArch_INS_VMAX_D, + LoongArch_INS_VMAX_DU, + LoongArch_INS_VMAX_H, + LoongArch_INS_VMAX_HU, + LoongArch_INS_VMAX_W, + LoongArch_INS_VMAX_WU, + LoongArch_INS_VMINI_B, + LoongArch_INS_VMINI_BU, + LoongArch_INS_VMINI_D, + LoongArch_INS_VMINI_DU, + LoongArch_INS_VMINI_H, + LoongArch_INS_VMINI_HU, + LoongArch_INS_VMINI_W, + LoongArch_INS_VMINI_WU, + LoongArch_INS_VMIN_B, + LoongArch_INS_VMIN_BU, + LoongArch_INS_VMIN_D, + LoongArch_INS_VMIN_DU, + LoongArch_INS_VMIN_H, + LoongArch_INS_VMIN_HU, + LoongArch_INS_VMIN_W, + LoongArch_INS_VMIN_WU, + LoongArch_INS_VMOD_B, + LoongArch_INS_VMOD_BU, + LoongArch_INS_VMOD_D, + LoongArch_INS_VMOD_DU, + LoongArch_INS_VMOD_H, + LoongArch_INS_VMOD_HU, + LoongArch_INS_VMOD_W, + LoongArch_INS_VMOD_WU, + LoongArch_INS_VMSKGEZ_B, + LoongArch_INS_VMSKLTZ_B, + LoongArch_INS_VMSKLTZ_D, + LoongArch_INS_VMSKLTZ_H, + LoongArch_INS_VMSKLTZ_W, + LoongArch_INS_VMSKNZ_B, + LoongArch_INS_VMSUB_B, + LoongArch_INS_VMSUB_D, + LoongArch_INS_VMSUB_H, + LoongArch_INS_VMSUB_W, + LoongArch_INS_VMUH_B, + LoongArch_INS_VMUH_BU, + LoongArch_INS_VMUH_D, + LoongArch_INS_VMUH_DU, + LoongArch_INS_VMUH_H, + LoongArch_INS_VMUH_HU, + LoongArch_INS_VMUH_W, + LoongArch_INS_VMUH_WU, + LoongArch_INS_VMULWEV_D_W, + LoongArch_INS_VMULWEV_D_WU, + LoongArch_INS_VMULWEV_D_WU_W, + LoongArch_INS_VMULWEV_H_B, + LoongArch_INS_VMULWEV_H_BU, + LoongArch_INS_VMULWEV_H_BU_B, + LoongArch_INS_VMULWEV_Q_D, + LoongArch_INS_VMULWEV_Q_DU, + LoongArch_INS_VMULWEV_Q_DU_D, + LoongArch_INS_VMULWEV_W_H, + LoongArch_INS_VMULWEV_W_HU, + LoongArch_INS_VMULWEV_W_HU_H, + LoongArch_INS_VMULWOD_D_W, + LoongArch_INS_VMULWOD_D_WU, + LoongArch_INS_VMULWOD_D_WU_W, + LoongArch_INS_VMULWOD_H_B, + LoongArch_INS_VMULWOD_H_BU, + LoongArch_INS_VMULWOD_H_BU_B, + LoongArch_INS_VMULWOD_Q_D, + LoongArch_INS_VMULWOD_Q_DU, + LoongArch_INS_VMULWOD_Q_DU_D, + LoongArch_INS_VMULWOD_W_H, + LoongArch_INS_VMULWOD_W_HU, + LoongArch_INS_VMULWOD_W_HU_H, + LoongArch_INS_VMUL_B, + LoongArch_INS_VMUL_D, + LoongArch_INS_VMUL_H, + LoongArch_INS_VMUL_W, + LoongArch_INS_VNEG_B, + LoongArch_INS_VNEG_D, + LoongArch_INS_VNEG_H, + LoongArch_INS_VNEG_W, + LoongArch_INS_VNORI_B, + LoongArch_INS_VNOR_V, + LoongArch_INS_VORI_B, + LoongArch_INS_VORN_V, + LoongArch_INS_VOR_V, + LoongArch_INS_VPACKEV_B, + LoongArch_INS_VPACKEV_D, + LoongArch_INS_VPACKEV_H, + LoongArch_INS_VPACKEV_W, + LoongArch_INS_VPACKOD_B, + LoongArch_INS_VPACKOD_D, + LoongArch_INS_VPACKOD_H, + LoongArch_INS_VPACKOD_W, + LoongArch_INS_VPCNT_B, + LoongArch_INS_VPCNT_D, + LoongArch_INS_VPCNT_H, + LoongArch_INS_VPCNT_W, + LoongArch_INS_VPERMI_W, + LoongArch_INS_VPICKEV_B, + LoongArch_INS_VPICKEV_D, + LoongArch_INS_VPICKEV_H, + LoongArch_INS_VPICKEV_W, + LoongArch_INS_VPICKOD_B, + LoongArch_INS_VPICKOD_D, + LoongArch_INS_VPICKOD_H, + LoongArch_INS_VPICKOD_W, + LoongArch_INS_VPICKVE2GR_B, + LoongArch_INS_VPICKVE2GR_BU, + LoongArch_INS_VPICKVE2GR_D, + LoongArch_INS_VPICKVE2GR_DU, + LoongArch_INS_VPICKVE2GR_H, + LoongArch_INS_VPICKVE2GR_HU, + LoongArch_INS_VPICKVE2GR_W, + LoongArch_INS_VPICKVE2GR_WU, + LoongArch_INS_VREPLGR2VR_B, + LoongArch_INS_VREPLGR2VR_D, + LoongArch_INS_VREPLGR2VR_H, + LoongArch_INS_VREPLGR2VR_W, + LoongArch_INS_VREPLVEI_B, + LoongArch_INS_VREPLVEI_D, + LoongArch_INS_VREPLVEI_H, + LoongArch_INS_VREPLVEI_W, + LoongArch_INS_VREPLVE_B, + LoongArch_INS_VREPLVE_D, + LoongArch_INS_VREPLVE_H, + LoongArch_INS_VREPLVE_W, + LoongArch_INS_VROTRI_B, + LoongArch_INS_VROTRI_D, + LoongArch_INS_VROTRI_H, + LoongArch_INS_VROTRI_W, + LoongArch_INS_VROTR_B, + LoongArch_INS_VROTR_D, + LoongArch_INS_VROTR_H, + LoongArch_INS_VROTR_W, + LoongArch_INS_VSADD_B, + LoongArch_INS_VSADD_BU, + LoongArch_INS_VSADD_D, + LoongArch_INS_VSADD_DU, + LoongArch_INS_VSADD_H, + LoongArch_INS_VSADD_HU, + LoongArch_INS_VSADD_W, + LoongArch_INS_VSADD_WU, + LoongArch_INS_VSAT_B, + LoongArch_INS_VSAT_BU, + LoongArch_INS_VSAT_D, + LoongArch_INS_VSAT_DU, + LoongArch_INS_VSAT_H, + LoongArch_INS_VSAT_HU, + LoongArch_INS_VSAT_W, + LoongArch_INS_VSAT_WU, + LoongArch_INS_VSEQI_B, + LoongArch_INS_VSEQI_D, + LoongArch_INS_VSEQI_H, + LoongArch_INS_VSEQI_W, + LoongArch_INS_VSEQ_B, + LoongArch_INS_VSEQ_D, + LoongArch_INS_VSEQ_H, + LoongArch_INS_VSEQ_W, + LoongArch_INS_VSETALLNEZ_B, + LoongArch_INS_VSETALLNEZ_D, + LoongArch_INS_VSETALLNEZ_H, + LoongArch_INS_VSETALLNEZ_W, + LoongArch_INS_VSETANYEQZ_B, + LoongArch_INS_VSETANYEQZ_D, + LoongArch_INS_VSETANYEQZ_H, + LoongArch_INS_VSETANYEQZ_W, + LoongArch_INS_VSETEQZ_V, + LoongArch_INS_VSETNEZ_V, + LoongArch_INS_VSHUF4I_B, + LoongArch_INS_VSHUF4I_D, + LoongArch_INS_VSHUF4I_H, + LoongArch_INS_VSHUF4I_W, + LoongArch_INS_VSHUF_B, + LoongArch_INS_VSHUF_D, + LoongArch_INS_VSHUF_H, + LoongArch_INS_VSHUF_W, + LoongArch_INS_VSIGNCOV_B, + LoongArch_INS_VSIGNCOV_D, + LoongArch_INS_VSIGNCOV_H, + LoongArch_INS_VSIGNCOV_W, + LoongArch_INS_VSLEI_B, + LoongArch_INS_VSLEI_BU, + LoongArch_INS_VSLEI_D, + LoongArch_INS_VSLEI_DU, + LoongArch_INS_VSLEI_H, + LoongArch_INS_VSLEI_HU, + LoongArch_INS_VSLEI_W, + LoongArch_INS_VSLEI_WU, + LoongArch_INS_VSLE_B, + LoongArch_INS_VSLE_BU, + LoongArch_INS_VSLE_D, + LoongArch_INS_VSLE_DU, + LoongArch_INS_VSLE_H, + LoongArch_INS_VSLE_HU, + LoongArch_INS_VSLE_W, + LoongArch_INS_VSLE_WU, + LoongArch_INS_VSLLI_B, + LoongArch_INS_VSLLI_D, + LoongArch_INS_VSLLI_H, + LoongArch_INS_VSLLI_W, + LoongArch_INS_VSLLWIL_DU_WU, + LoongArch_INS_VSLLWIL_D_W, + LoongArch_INS_VSLLWIL_HU_BU, + LoongArch_INS_VSLLWIL_H_B, + LoongArch_INS_VSLLWIL_WU_HU, + LoongArch_INS_VSLLWIL_W_H, + LoongArch_INS_VSLL_B, + LoongArch_INS_VSLL_D, + LoongArch_INS_VSLL_H, + LoongArch_INS_VSLL_W, + LoongArch_INS_VSLTI_B, + LoongArch_INS_VSLTI_BU, + LoongArch_INS_VSLTI_D, + LoongArch_INS_VSLTI_DU, + LoongArch_INS_VSLTI_H, + LoongArch_INS_VSLTI_HU, + LoongArch_INS_VSLTI_W, + LoongArch_INS_VSLTI_WU, + LoongArch_INS_VSLT_B, + LoongArch_INS_VSLT_BU, + LoongArch_INS_VSLT_D, + LoongArch_INS_VSLT_DU, + LoongArch_INS_VSLT_H, + LoongArch_INS_VSLT_HU, + LoongArch_INS_VSLT_W, + LoongArch_INS_VSLT_WU, + LoongArch_INS_VSRAI_B, + LoongArch_INS_VSRAI_D, + LoongArch_INS_VSRAI_H, + LoongArch_INS_VSRAI_W, + LoongArch_INS_VSRANI_B_H, + LoongArch_INS_VSRANI_D_Q, + LoongArch_INS_VSRANI_H_W, + LoongArch_INS_VSRANI_W_D, + LoongArch_INS_VSRAN_B_H, + LoongArch_INS_VSRAN_H_W, + LoongArch_INS_VSRAN_W_D, + LoongArch_INS_VSRARI_B, + LoongArch_INS_VSRARI_D, + LoongArch_INS_VSRARI_H, + LoongArch_INS_VSRARI_W, + LoongArch_INS_VSRARNI_B_H, + LoongArch_INS_VSRARNI_D_Q, + LoongArch_INS_VSRARNI_H_W, + LoongArch_INS_VSRARNI_W_D, + LoongArch_INS_VSRARN_B_H, + LoongArch_INS_VSRARN_H_W, + LoongArch_INS_VSRARN_W_D, + LoongArch_INS_VSRAR_B, + LoongArch_INS_VSRAR_D, + LoongArch_INS_VSRAR_H, + LoongArch_INS_VSRAR_W, + LoongArch_INS_VSRA_B, + LoongArch_INS_VSRA_D, + LoongArch_INS_VSRA_H, + LoongArch_INS_VSRA_W, + LoongArch_INS_VSRLI_B, + LoongArch_INS_VSRLI_D, + LoongArch_INS_VSRLI_H, + LoongArch_INS_VSRLI_W, + LoongArch_INS_VSRLNI_B_H, + LoongArch_INS_VSRLNI_D_Q, + LoongArch_INS_VSRLNI_H_W, + LoongArch_INS_VSRLNI_W_D, + LoongArch_INS_VSRLN_B_H, + LoongArch_INS_VSRLN_H_W, + LoongArch_INS_VSRLN_W_D, + LoongArch_INS_VSRLRI_B, + LoongArch_INS_VSRLRI_D, + LoongArch_INS_VSRLRI_H, + LoongArch_INS_VSRLRI_W, + LoongArch_INS_VSRLRNI_B_H, + LoongArch_INS_VSRLRNI_D_Q, + LoongArch_INS_VSRLRNI_H_W, + LoongArch_INS_VSRLRNI_W_D, + LoongArch_INS_VSRLRN_B_H, + LoongArch_INS_VSRLRN_H_W, + LoongArch_INS_VSRLRN_W_D, + LoongArch_INS_VSRLR_B, + LoongArch_INS_VSRLR_D, + LoongArch_INS_VSRLR_H, + LoongArch_INS_VSRLR_W, + LoongArch_INS_VSRL_B, + LoongArch_INS_VSRL_D, + LoongArch_INS_VSRL_H, + LoongArch_INS_VSRL_W, + LoongArch_INS_VSSRANI_BU_H, + LoongArch_INS_VSSRANI_B_H, + LoongArch_INS_VSSRANI_DU_Q, + LoongArch_INS_VSSRANI_D_Q, + LoongArch_INS_VSSRANI_HU_W, + LoongArch_INS_VSSRANI_H_W, + LoongArch_INS_VSSRANI_WU_D, + LoongArch_INS_VSSRANI_W_D, + LoongArch_INS_VSSRAN_BU_H, + LoongArch_INS_VSSRAN_B_H, + LoongArch_INS_VSSRAN_HU_W, + LoongArch_INS_VSSRAN_H_W, + LoongArch_INS_VSSRAN_WU_D, + LoongArch_INS_VSSRAN_W_D, + LoongArch_INS_VSSRARNI_BU_H, + LoongArch_INS_VSSRARNI_B_H, + LoongArch_INS_VSSRARNI_DU_Q, + LoongArch_INS_VSSRARNI_D_Q, + LoongArch_INS_VSSRARNI_HU_W, + LoongArch_INS_VSSRARNI_H_W, + LoongArch_INS_VSSRARNI_WU_D, + LoongArch_INS_VSSRARNI_W_D, + LoongArch_INS_VSSRARN_BU_H, + LoongArch_INS_VSSRARN_B_H, + LoongArch_INS_VSSRARN_HU_W, + LoongArch_INS_VSSRARN_H_W, + LoongArch_INS_VSSRARN_WU_D, + LoongArch_INS_VSSRARN_W_D, + LoongArch_INS_VSSRLNI_BU_H, + LoongArch_INS_VSSRLNI_B_H, + LoongArch_INS_VSSRLNI_DU_Q, + LoongArch_INS_VSSRLNI_D_Q, + LoongArch_INS_VSSRLNI_HU_W, + LoongArch_INS_VSSRLNI_H_W, + LoongArch_INS_VSSRLNI_WU_D, + LoongArch_INS_VSSRLNI_W_D, + LoongArch_INS_VSSRLN_BU_H, + LoongArch_INS_VSSRLN_B_H, + LoongArch_INS_VSSRLN_HU_W, + LoongArch_INS_VSSRLN_H_W, + LoongArch_INS_VSSRLN_WU_D, + LoongArch_INS_VSSRLN_W_D, + LoongArch_INS_VSSRLRNI_BU_H, + LoongArch_INS_VSSRLRNI_B_H, + LoongArch_INS_VSSRLRNI_DU_Q, + LoongArch_INS_VSSRLRNI_D_Q, + LoongArch_INS_VSSRLRNI_HU_W, + LoongArch_INS_VSSRLRNI_H_W, + LoongArch_INS_VSSRLRNI_WU_D, + LoongArch_INS_VSSRLRNI_W_D, + LoongArch_INS_VSSRLRN_BU_H, + LoongArch_INS_VSSRLRN_B_H, + LoongArch_INS_VSSRLRN_HU_W, + LoongArch_INS_VSSRLRN_H_W, + LoongArch_INS_VSSRLRN_WU_D, + LoongArch_INS_VSSRLRN_W_D, + LoongArch_INS_VSSUB_B, + LoongArch_INS_VSSUB_BU, + LoongArch_INS_VSSUB_D, + LoongArch_INS_VSSUB_DU, + LoongArch_INS_VSSUB_H, + LoongArch_INS_VSSUB_HU, + LoongArch_INS_VSSUB_W, + LoongArch_INS_VSSUB_WU, + LoongArch_INS_VST, + LoongArch_INS_VSTELM_B, + LoongArch_INS_VSTELM_D, + LoongArch_INS_VSTELM_H, + LoongArch_INS_VSTELM_W, + LoongArch_INS_VSTX, + LoongArch_INS_VSUBI_BU, + LoongArch_INS_VSUBI_DU, + LoongArch_INS_VSUBI_HU, + LoongArch_INS_VSUBI_WU, + LoongArch_INS_VSUBWEV_D_W, + LoongArch_INS_VSUBWEV_D_WU, + LoongArch_INS_VSUBWEV_H_B, + LoongArch_INS_VSUBWEV_H_BU, + LoongArch_INS_VSUBWEV_Q_D, + LoongArch_INS_VSUBWEV_Q_DU, + LoongArch_INS_VSUBWEV_W_H, + LoongArch_INS_VSUBWEV_W_HU, + LoongArch_INS_VSUBWOD_D_W, + LoongArch_INS_VSUBWOD_D_WU, + LoongArch_INS_VSUBWOD_H_B, + LoongArch_INS_VSUBWOD_H_BU, + LoongArch_INS_VSUBWOD_Q_D, + LoongArch_INS_VSUBWOD_Q_DU, + LoongArch_INS_VSUBWOD_W_H, + LoongArch_INS_VSUBWOD_W_HU, + LoongArch_INS_VSUB_B, + LoongArch_INS_VSUB_D, + LoongArch_INS_VSUB_H, + LoongArch_INS_VSUB_Q, + LoongArch_INS_VSUB_W, + LoongArch_INS_VXORI_B, + LoongArch_INS_VXOR_V, + LoongArch_INS_X86ADC_B, + LoongArch_INS_X86ADC_D, + LoongArch_INS_X86ADC_H, + LoongArch_INS_X86ADC_W, + LoongArch_INS_X86ADD_B, + LoongArch_INS_X86ADD_D, + LoongArch_INS_X86ADD_DU, + LoongArch_INS_X86ADD_H, + LoongArch_INS_X86ADD_W, + LoongArch_INS_X86ADD_WU, + LoongArch_INS_X86AND_B, + LoongArch_INS_X86AND_D, + LoongArch_INS_X86AND_H, + LoongArch_INS_X86AND_W, + LoongArch_INS_X86CLRTM, + LoongArch_INS_X86DECTOP, + LoongArch_INS_X86DEC_B, + LoongArch_INS_X86DEC_D, + LoongArch_INS_X86DEC_H, + LoongArch_INS_X86DEC_W, + LoongArch_INS_X86INCTOP, + LoongArch_INS_X86INC_B, + LoongArch_INS_X86INC_D, + LoongArch_INS_X86INC_H, + LoongArch_INS_X86INC_W, + LoongArch_INS_X86MFFLAG, + LoongArch_INS_X86MFTOP, + LoongArch_INS_X86MTFLAG, + LoongArch_INS_X86MTTOP, + LoongArch_INS_X86MUL_B, + LoongArch_INS_X86MUL_BU, + LoongArch_INS_X86MUL_D, + LoongArch_INS_X86MUL_DU, + LoongArch_INS_X86MUL_H, + LoongArch_INS_X86MUL_HU, + LoongArch_INS_X86MUL_W, + LoongArch_INS_X86MUL_WU, + LoongArch_INS_X86OR_B, + LoongArch_INS_X86OR_D, + LoongArch_INS_X86OR_H, + LoongArch_INS_X86OR_W, + LoongArch_INS_X86RCLI_B, + LoongArch_INS_X86RCLI_D, + LoongArch_INS_X86RCLI_H, + LoongArch_INS_X86RCLI_W, + LoongArch_INS_X86RCL_B, + LoongArch_INS_X86RCL_D, + LoongArch_INS_X86RCL_H, + LoongArch_INS_X86RCL_W, + LoongArch_INS_X86RCRI_B, + LoongArch_INS_X86RCRI_D, + LoongArch_INS_X86RCRI_H, + LoongArch_INS_X86RCRI_W, + LoongArch_INS_X86RCR_B, + LoongArch_INS_X86RCR_D, + LoongArch_INS_X86RCR_H, + LoongArch_INS_X86RCR_W, + LoongArch_INS_X86ROTLI_B, + LoongArch_INS_X86ROTLI_D, + LoongArch_INS_X86ROTLI_H, + LoongArch_INS_X86ROTLI_W, + LoongArch_INS_X86ROTL_B, + LoongArch_INS_X86ROTL_D, + LoongArch_INS_X86ROTL_H, + LoongArch_INS_X86ROTL_W, + LoongArch_INS_X86ROTRI_B, + LoongArch_INS_X86ROTRI_D, + LoongArch_INS_X86ROTRI_H, + LoongArch_INS_X86ROTRI_W, + LoongArch_INS_X86ROTR_B, + LoongArch_INS_X86ROTR_D, + LoongArch_INS_X86ROTR_H, + LoongArch_INS_X86ROTR_W, + LoongArch_INS_X86SBC_B, + LoongArch_INS_X86SBC_D, + LoongArch_INS_X86SBC_H, + LoongArch_INS_X86SBC_W, + LoongArch_INS_X86SETTAG, + LoongArch_INS_X86SETTM, + LoongArch_INS_X86SLLI_B, + LoongArch_INS_X86SLLI_D, + LoongArch_INS_X86SLLI_H, + LoongArch_INS_X86SLLI_W, + LoongArch_INS_X86SLL_B, + LoongArch_INS_X86SLL_D, + LoongArch_INS_X86SLL_H, + LoongArch_INS_X86SLL_W, + LoongArch_INS_X86SRAI_B, + LoongArch_INS_X86SRAI_D, + LoongArch_INS_X86SRAI_H, + LoongArch_INS_X86SRAI_W, + LoongArch_INS_X86SRA_B, + LoongArch_INS_X86SRA_D, + LoongArch_INS_X86SRA_H, + LoongArch_INS_X86SRA_W, + LoongArch_INS_X86SRLI_B, + LoongArch_INS_X86SRLI_D, + LoongArch_INS_X86SRLI_H, + LoongArch_INS_X86SRLI_W, + LoongArch_INS_X86SRL_B, + LoongArch_INS_X86SRL_D, + LoongArch_INS_X86SRL_H, + LoongArch_INS_X86SRL_W, + LoongArch_INS_X86SUB_B, + LoongArch_INS_X86SUB_D, + LoongArch_INS_X86SUB_DU, + LoongArch_INS_X86SUB_H, + LoongArch_INS_X86SUB_W, + LoongArch_INS_X86SUB_WU, + LoongArch_INS_X86XOR_B, + LoongArch_INS_X86XOR_D, + LoongArch_INS_X86XOR_H, + LoongArch_INS_X86XOR_W, + LoongArch_INS_XOR, + LoongArch_INS_XORI, + LoongArch_INS_XVABSD_B, + LoongArch_INS_XVABSD_BU, + LoongArch_INS_XVABSD_D, + LoongArch_INS_XVABSD_DU, + LoongArch_INS_XVABSD_H, + LoongArch_INS_XVABSD_HU, + LoongArch_INS_XVABSD_W, + LoongArch_INS_XVABSD_WU, + LoongArch_INS_XVADDA_B, + LoongArch_INS_XVADDA_D, + LoongArch_INS_XVADDA_H, + LoongArch_INS_XVADDA_W, + LoongArch_INS_XVADDI_BU, + LoongArch_INS_XVADDI_DU, + LoongArch_INS_XVADDI_HU, + LoongArch_INS_XVADDI_WU, + LoongArch_INS_XVADDWEV_D_W, + LoongArch_INS_XVADDWEV_D_WU, + LoongArch_INS_XVADDWEV_D_WU_W, + LoongArch_INS_XVADDWEV_H_B, + LoongArch_INS_XVADDWEV_H_BU, + LoongArch_INS_XVADDWEV_H_BU_B, + LoongArch_INS_XVADDWEV_Q_D, + LoongArch_INS_XVADDWEV_Q_DU, + LoongArch_INS_XVADDWEV_Q_DU_D, + LoongArch_INS_XVADDWEV_W_H, + LoongArch_INS_XVADDWEV_W_HU, + LoongArch_INS_XVADDWEV_W_HU_H, + LoongArch_INS_XVADDWOD_D_W, + LoongArch_INS_XVADDWOD_D_WU, + LoongArch_INS_XVADDWOD_D_WU_W, + LoongArch_INS_XVADDWOD_H_B, + LoongArch_INS_XVADDWOD_H_BU, + LoongArch_INS_XVADDWOD_H_BU_B, + LoongArch_INS_XVADDWOD_Q_D, + LoongArch_INS_XVADDWOD_Q_DU, + LoongArch_INS_XVADDWOD_Q_DU_D, + LoongArch_INS_XVADDWOD_W_H, + LoongArch_INS_XVADDWOD_W_HU, + LoongArch_INS_XVADDWOD_W_HU_H, + LoongArch_INS_XVADD_B, + LoongArch_INS_XVADD_D, + LoongArch_INS_XVADD_H, + LoongArch_INS_XVADD_Q, + LoongArch_INS_XVADD_W, + LoongArch_INS_XVANDI_B, + LoongArch_INS_XVANDN_V, + LoongArch_INS_XVAND_V, + LoongArch_INS_XVAVGR_B, + LoongArch_INS_XVAVGR_BU, + LoongArch_INS_XVAVGR_D, + LoongArch_INS_XVAVGR_DU, + LoongArch_INS_XVAVGR_H, + LoongArch_INS_XVAVGR_HU, + LoongArch_INS_XVAVGR_W, + LoongArch_INS_XVAVGR_WU, + LoongArch_INS_XVAVG_B, + LoongArch_INS_XVAVG_BU, + LoongArch_INS_XVAVG_D, + LoongArch_INS_XVAVG_DU, + LoongArch_INS_XVAVG_H, + LoongArch_INS_XVAVG_HU, + LoongArch_INS_XVAVG_W, + LoongArch_INS_XVAVG_WU, + LoongArch_INS_XVBITCLRI_B, + LoongArch_INS_XVBITCLRI_D, + LoongArch_INS_XVBITCLRI_H, + LoongArch_INS_XVBITCLRI_W, + LoongArch_INS_XVBITCLR_B, + LoongArch_INS_XVBITCLR_D, + LoongArch_INS_XVBITCLR_H, + LoongArch_INS_XVBITCLR_W, + LoongArch_INS_XVBITREVI_B, + LoongArch_INS_XVBITREVI_D, + LoongArch_INS_XVBITREVI_H, + LoongArch_INS_XVBITREVI_W, + LoongArch_INS_XVBITREV_B, + LoongArch_INS_XVBITREV_D, + LoongArch_INS_XVBITREV_H, + LoongArch_INS_XVBITREV_W, + LoongArch_INS_XVBITSELI_B, + LoongArch_INS_XVBITSEL_V, + LoongArch_INS_XVBITSETI_B, + LoongArch_INS_XVBITSETI_D, + LoongArch_INS_XVBITSETI_H, + LoongArch_INS_XVBITSETI_W, + LoongArch_INS_XVBITSET_B, + LoongArch_INS_XVBITSET_D, + LoongArch_INS_XVBITSET_H, + LoongArch_INS_XVBITSET_W, + LoongArch_INS_XVBSLL_V, + LoongArch_INS_XVBSRL_V, + LoongArch_INS_XVCLO_B, + LoongArch_INS_XVCLO_D, + LoongArch_INS_XVCLO_H, + LoongArch_INS_XVCLO_W, + LoongArch_INS_XVCLZ_B, + LoongArch_INS_XVCLZ_D, + LoongArch_INS_XVCLZ_H, + LoongArch_INS_XVCLZ_W, + LoongArch_INS_XVDIV_B, + LoongArch_INS_XVDIV_BU, + LoongArch_INS_XVDIV_D, + LoongArch_INS_XVDIV_DU, + LoongArch_INS_XVDIV_H, + LoongArch_INS_XVDIV_HU, + LoongArch_INS_XVDIV_W, + LoongArch_INS_XVDIV_WU, + LoongArch_INS_XVEXTH_DU_WU, + LoongArch_INS_XVEXTH_D_W, + LoongArch_INS_XVEXTH_HU_BU, + LoongArch_INS_XVEXTH_H_B, + LoongArch_INS_XVEXTH_QU_DU, + LoongArch_INS_XVEXTH_Q_D, + LoongArch_INS_XVEXTH_WU_HU, + LoongArch_INS_XVEXTH_W_H, + LoongArch_INS_XVEXTL_QU_DU, + LoongArch_INS_XVEXTL_Q_D, + LoongArch_INS_XVEXTRINS_B, + LoongArch_INS_XVEXTRINS_D, + LoongArch_INS_XVEXTRINS_H, + LoongArch_INS_XVEXTRINS_W, + LoongArch_INS_XVFADD_D, + LoongArch_INS_XVFADD_S, + LoongArch_INS_XVFCLASS_D, + LoongArch_INS_XVFCLASS_S, + LoongArch_INS_XVFCMP_CAF_D, + LoongArch_INS_XVFCMP_CAF_S, + LoongArch_INS_XVFCMP_CEQ_D, + LoongArch_INS_XVFCMP_CEQ_S, + LoongArch_INS_XVFCMP_CLE_D, + LoongArch_INS_XVFCMP_CLE_S, + LoongArch_INS_XVFCMP_CLT_D, + LoongArch_INS_XVFCMP_CLT_S, + LoongArch_INS_XVFCMP_CNE_D, + LoongArch_INS_XVFCMP_CNE_S, + LoongArch_INS_XVFCMP_COR_D, + LoongArch_INS_XVFCMP_COR_S, + LoongArch_INS_XVFCMP_CUEQ_D, + LoongArch_INS_XVFCMP_CUEQ_S, + LoongArch_INS_XVFCMP_CULE_D, + LoongArch_INS_XVFCMP_CULE_S, + LoongArch_INS_XVFCMP_CULT_D, + LoongArch_INS_XVFCMP_CULT_S, + LoongArch_INS_XVFCMP_CUNE_D, + LoongArch_INS_XVFCMP_CUNE_S, + LoongArch_INS_XVFCMP_CUN_D, + LoongArch_INS_XVFCMP_CUN_S, + LoongArch_INS_XVFCMP_SAF_D, + LoongArch_INS_XVFCMP_SAF_S, + LoongArch_INS_XVFCMP_SEQ_D, + LoongArch_INS_XVFCMP_SEQ_S, + LoongArch_INS_XVFCMP_SLE_D, + LoongArch_INS_XVFCMP_SLE_S, + LoongArch_INS_XVFCMP_SLT_D, + LoongArch_INS_XVFCMP_SLT_S, + LoongArch_INS_XVFCMP_SNE_D, + LoongArch_INS_XVFCMP_SNE_S, + LoongArch_INS_XVFCMP_SOR_D, + LoongArch_INS_XVFCMP_SOR_S, + LoongArch_INS_XVFCMP_SUEQ_D, + LoongArch_INS_XVFCMP_SUEQ_S, + LoongArch_INS_XVFCMP_SULE_D, + LoongArch_INS_XVFCMP_SULE_S, + LoongArch_INS_XVFCMP_SULT_D, + LoongArch_INS_XVFCMP_SULT_S, + LoongArch_INS_XVFCMP_SUNE_D, + LoongArch_INS_XVFCMP_SUNE_S, + LoongArch_INS_XVFCMP_SUN_D, + LoongArch_INS_XVFCMP_SUN_S, + LoongArch_INS_XVFCVTH_D_S, + LoongArch_INS_XVFCVTH_S_H, + LoongArch_INS_XVFCVTL_D_S, + LoongArch_INS_XVFCVTL_S_H, + LoongArch_INS_XVFCVT_H_S, + LoongArch_INS_XVFCVT_S_D, + LoongArch_INS_XVFDIV_D, + LoongArch_INS_XVFDIV_S, + LoongArch_INS_XVFFINTH_D_W, + LoongArch_INS_XVFFINTL_D_W, + LoongArch_INS_XVFFINT_D_L, + LoongArch_INS_XVFFINT_D_LU, + LoongArch_INS_XVFFINT_S_L, + LoongArch_INS_XVFFINT_S_W, + LoongArch_INS_XVFFINT_S_WU, + LoongArch_INS_XVFLOGB_D, + LoongArch_INS_XVFLOGB_S, + LoongArch_INS_XVFMADD_D, + LoongArch_INS_XVFMADD_S, + LoongArch_INS_XVFMAXA_D, + LoongArch_INS_XVFMAXA_S, + LoongArch_INS_XVFMAX_D, + LoongArch_INS_XVFMAX_S, + LoongArch_INS_XVFMINA_D, + LoongArch_INS_XVFMINA_S, + LoongArch_INS_XVFMIN_D, + LoongArch_INS_XVFMIN_S, + LoongArch_INS_XVFMSUB_D, + LoongArch_INS_XVFMSUB_S, + LoongArch_INS_XVFMUL_D, + LoongArch_INS_XVFMUL_S, + LoongArch_INS_XVFNMADD_D, + LoongArch_INS_XVFNMADD_S, + LoongArch_INS_XVFNMSUB_D, + LoongArch_INS_XVFNMSUB_S, + LoongArch_INS_XVFRECIPE_D, + LoongArch_INS_XVFRECIPE_S, + LoongArch_INS_XVFRECIP_D, + LoongArch_INS_XVFRECIP_S, + LoongArch_INS_XVFRINTRM_D, + LoongArch_INS_XVFRINTRM_S, + LoongArch_INS_XVFRINTRNE_D, + LoongArch_INS_XVFRINTRNE_S, + LoongArch_INS_XVFRINTRP_D, + LoongArch_INS_XVFRINTRP_S, + LoongArch_INS_XVFRINTRZ_D, + LoongArch_INS_XVFRINTRZ_S, + LoongArch_INS_XVFRINT_D, + LoongArch_INS_XVFRINT_S, + LoongArch_INS_XVFRSQRTE_D, + LoongArch_INS_XVFRSQRTE_S, + LoongArch_INS_XVFRSQRT_D, + LoongArch_INS_XVFRSQRT_S, + LoongArch_INS_XVFRSTPI_B, + LoongArch_INS_XVFRSTPI_H, + LoongArch_INS_XVFRSTP_B, + LoongArch_INS_XVFRSTP_H, + LoongArch_INS_XVFSQRT_D, + LoongArch_INS_XVFSQRT_S, + LoongArch_INS_XVFSUB_D, + LoongArch_INS_XVFSUB_S, + LoongArch_INS_XVFTINTH_L_S, + LoongArch_INS_XVFTINTL_L_S, + LoongArch_INS_XVFTINTRMH_L_S, + LoongArch_INS_XVFTINTRML_L_S, + LoongArch_INS_XVFTINTRM_L_D, + LoongArch_INS_XVFTINTRM_W_D, + LoongArch_INS_XVFTINTRM_W_S, + LoongArch_INS_XVFTINTRNEH_L_S, + LoongArch_INS_XVFTINTRNEL_L_S, + LoongArch_INS_XVFTINTRNE_L_D, + LoongArch_INS_XVFTINTRNE_W_D, + LoongArch_INS_XVFTINTRNE_W_S, + LoongArch_INS_XVFTINTRPH_L_S, + LoongArch_INS_XVFTINTRPL_L_S, + LoongArch_INS_XVFTINTRP_L_D, + LoongArch_INS_XVFTINTRP_W_D, + LoongArch_INS_XVFTINTRP_W_S, + LoongArch_INS_XVFTINTRZH_L_S, + LoongArch_INS_XVFTINTRZL_L_S, + LoongArch_INS_XVFTINTRZ_LU_D, + LoongArch_INS_XVFTINTRZ_L_D, + LoongArch_INS_XVFTINTRZ_WU_S, + LoongArch_INS_XVFTINTRZ_W_D, + LoongArch_INS_XVFTINTRZ_W_S, + LoongArch_INS_XVFTINT_LU_D, + LoongArch_INS_XVFTINT_L_D, + LoongArch_INS_XVFTINT_WU_S, + LoongArch_INS_XVFTINT_W_D, + LoongArch_INS_XVFTINT_W_S, + LoongArch_INS_XVHADDW_DU_WU, + LoongArch_INS_XVHADDW_D_W, + LoongArch_INS_XVHADDW_HU_BU, + LoongArch_INS_XVHADDW_H_B, + LoongArch_INS_XVHADDW_QU_DU, + LoongArch_INS_XVHADDW_Q_D, + LoongArch_INS_XVHADDW_WU_HU, + LoongArch_INS_XVHADDW_W_H, + LoongArch_INS_XVHSELI_D, + LoongArch_INS_XVHSUBW_DU_WU, + LoongArch_INS_XVHSUBW_D_W, + LoongArch_INS_XVHSUBW_HU_BU, + LoongArch_INS_XVHSUBW_H_B, + LoongArch_INS_XVHSUBW_QU_DU, + LoongArch_INS_XVHSUBW_Q_D, + LoongArch_INS_XVHSUBW_WU_HU, + LoongArch_INS_XVHSUBW_W_H, + LoongArch_INS_XVILVH_B, + LoongArch_INS_XVILVH_D, + LoongArch_INS_XVILVH_H, + LoongArch_INS_XVILVH_W, + LoongArch_INS_XVILVL_B, + LoongArch_INS_XVILVL_D, + LoongArch_INS_XVILVL_H, + LoongArch_INS_XVILVL_W, + LoongArch_INS_XVINSGR2VR_D, + LoongArch_INS_XVINSGR2VR_W, + LoongArch_INS_XVINSVE0_D, + LoongArch_INS_XVINSVE0_W, + LoongArch_INS_XVLD, + LoongArch_INS_XVLDI, + LoongArch_INS_XVLDREPL_B, + LoongArch_INS_XVLDREPL_D, + LoongArch_INS_XVLDREPL_H, + LoongArch_INS_XVLDREPL_W, + LoongArch_INS_XVLDX, + LoongArch_INS_XVMADDWEV_D_W, + LoongArch_INS_XVMADDWEV_D_WU, + LoongArch_INS_XVMADDWEV_D_WU_W, + LoongArch_INS_XVMADDWEV_H_B, + LoongArch_INS_XVMADDWEV_H_BU, + LoongArch_INS_XVMADDWEV_H_BU_B, + LoongArch_INS_XVMADDWEV_Q_D, + LoongArch_INS_XVMADDWEV_Q_DU, + LoongArch_INS_XVMADDWEV_Q_DU_D, + LoongArch_INS_XVMADDWEV_W_H, + LoongArch_INS_XVMADDWEV_W_HU, + LoongArch_INS_XVMADDWEV_W_HU_H, + LoongArch_INS_XVMADDWOD_D_W, + LoongArch_INS_XVMADDWOD_D_WU, + LoongArch_INS_XVMADDWOD_D_WU_W, + LoongArch_INS_XVMADDWOD_H_B, + LoongArch_INS_XVMADDWOD_H_BU, + LoongArch_INS_XVMADDWOD_H_BU_B, + LoongArch_INS_XVMADDWOD_Q_D, + LoongArch_INS_XVMADDWOD_Q_DU, + LoongArch_INS_XVMADDWOD_Q_DU_D, + LoongArch_INS_XVMADDWOD_W_H, + LoongArch_INS_XVMADDWOD_W_HU, + LoongArch_INS_XVMADDWOD_W_HU_H, + LoongArch_INS_XVMADD_B, + LoongArch_INS_XVMADD_D, + LoongArch_INS_XVMADD_H, + LoongArch_INS_XVMADD_W, + LoongArch_INS_XVMAXI_B, + LoongArch_INS_XVMAXI_BU, + LoongArch_INS_XVMAXI_D, + LoongArch_INS_XVMAXI_DU, + LoongArch_INS_XVMAXI_H, + LoongArch_INS_XVMAXI_HU, + LoongArch_INS_XVMAXI_W, + LoongArch_INS_XVMAXI_WU, + LoongArch_INS_XVMAX_B, + LoongArch_INS_XVMAX_BU, + LoongArch_INS_XVMAX_D, + LoongArch_INS_XVMAX_DU, + LoongArch_INS_XVMAX_H, + LoongArch_INS_XVMAX_HU, + LoongArch_INS_XVMAX_W, + LoongArch_INS_XVMAX_WU, + LoongArch_INS_XVMINI_B, + LoongArch_INS_XVMINI_BU, + LoongArch_INS_XVMINI_D, + LoongArch_INS_XVMINI_DU, + LoongArch_INS_XVMINI_H, + LoongArch_INS_XVMINI_HU, + LoongArch_INS_XVMINI_W, + LoongArch_INS_XVMINI_WU, + LoongArch_INS_XVMIN_B, + LoongArch_INS_XVMIN_BU, + LoongArch_INS_XVMIN_D, + LoongArch_INS_XVMIN_DU, + LoongArch_INS_XVMIN_H, + LoongArch_INS_XVMIN_HU, + LoongArch_INS_XVMIN_W, + LoongArch_INS_XVMIN_WU, + LoongArch_INS_XVMOD_B, + LoongArch_INS_XVMOD_BU, + LoongArch_INS_XVMOD_D, + LoongArch_INS_XVMOD_DU, + LoongArch_INS_XVMOD_H, + LoongArch_INS_XVMOD_HU, + LoongArch_INS_XVMOD_W, + LoongArch_INS_XVMOD_WU, + LoongArch_INS_XVMSKGEZ_B, + LoongArch_INS_XVMSKLTZ_B, + LoongArch_INS_XVMSKLTZ_D, + LoongArch_INS_XVMSKLTZ_H, + LoongArch_INS_XVMSKLTZ_W, + LoongArch_INS_XVMSKNZ_B, + LoongArch_INS_XVMSUB_B, + LoongArch_INS_XVMSUB_D, + LoongArch_INS_XVMSUB_H, + LoongArch_INS_XVMSUB_W, + LoongArch_INS_XVMUH_B, + LoongArch_INS_XVMUH_BU, + LoongArch_INS_XVMUH_D, + LoongArch_INS_XVMUH_DU, + LoongArch_INS_XVMUH_H, + LoongArch_INS_XVMUH_HU, + LoongArch_INS_XVMUH_W, + LoongArch_INS_XVMUH_WU, + LoongArch_INS_XVMULWEV_D_W, + LoongArch_INS_XVMULWEV_D_WU, + LoongArch_INS_XVMULWEV_D_WU_W, + LoongArch_INS_XVMULWEV_H_B, + LoongArch_INS_XVMULWEV_H_BU, + LoongArch_INS_XVMULWEV_H_BU_B, + LoongArch_INS_XVMULWEV_Q_D, + LoongArch_INS_XVMULWEV_Q_DU, + LoongArch_INS_XVMULWEV_Q_DU_D, + LoongArch_INS_XVMULWEV_W_H, + LoongArch_INS_XVMULWEV_W_HU, + LoongArch_INS_XVMULWEV_W_HU_H, + LoongArch_INS_XVMULWOD_D_W, + LoongArch_INS_XVMULWOD_D_WU, + LoongArch_INS_XVMULWOD_D_WU_W, + LoongArch_INS_XVMULWOD_H_B, + LoongArch_INS_XVMULWOD_H_BU, + LoongArch_INS_XVMULWOD_H_BU_B, + LoongArch_INS_XVMULWOD_Q_D, + LoongArch_INS_XVMULWOD_Q_DU, + LoongArch_INS_XVMULWOD_Q_DU_D, + LoongArch_INS_XVMULWOD_W_H, + LoongArch_INS_XVMULWOD_W_HU, + LoongArch_INS_XVMULWOD_W_HU_H, + LoongArch_INS_XVMUL_B, + LoongArch_INS_XVMUL_D, + LoongArch_INS_XVMUL_H, + LoongArch_INS_XVMUL_W, + LoongArch_INS_XVNEG_B, + LoongArch_INS_XVNEG_D, + LoongArch_INS_XVNEG_H, + LoongArch_INS_XVNEG_W, + LoongArch_INS_XVNORI_B, + LoongArch_INS_XVNOR_V, + LoongArch_INS_XVORI_B, + LoongArch_INS_XVORN_V, + LoongArch_INS_XVOR_V, + LoongArch_INS_XVPACKEV_B, + LoongArch_INS_XVPACKEV_D, + LoongArch_INS_XVPACKEV_H, + LoongArch_INS_XVPACKEV_W, + LoongArch_INS_XVPACKOD_B, + LoongArch_INS_XVPACKOD_D, + LoongArch_INS_XVPACKOD_H, + LoongArch_INS_XVPACKOD_W, + LoongArch_INS_XVPCNT_B, + LoongArch_INS_XVPCNT_D, + LoongArch_INS_XVPCNT_H, + LoongArch_INS_XVPCNT_W, + LoongArch_INS_XVPERMI_D, + LoongArch_INS_XVPERMI_Q, + LoongArch_INS_XVPERMI_W, + LoongArch_INS_XVPERM_W, + LoongArch_INS_XVPICKEV_B, + LoongArch_INS_XVPICKEV_D, + LoongArch_INS_XVPICKEV_H, + LoongArch_INS_XVPICKEV_W, + LoongArch_INS_XVPICKOD_B, + LoongArch_INS_XVPICKOD_D, + LoongArch_INS_XVPICKOD_H, + LoongArch_INS_XVPICKOD_W, + LoongArch_INS_XVPICKVE2GR_D, + LoongArch_INS_XVPICKVE2GR_DU, + LoongArch_INS_XVPICKVE2GR_W, + LoongArch_INS_XVPICKVE2GR_WU, + LoongArch_INS_XVPICKVE_D, + LoongArch_INS_XVPICKVE_W, + LoongArch_INS_XVREPL128VEI_B, + LoongArch_INS_XVREPL128VEI_D, + LoongArch_INS_XVREPL128VEI_H, + LoongArch_INS_XVREPL128VEI_W, + LoongArch_INS_XVREPLGR2VR_B, + LoongArch_INS_XVREPLGR2VR_D, + LoongArch_INS_XVREPLGR2VR_H, + LoongArch_INS_XVREPLGR2VR_W, + LoongArch_INS_XVREPLVE0_B, + LoongArch_INS_XVREPLVE0_D, + LoongArch_INS_XVREPLVE0_H, + LoongArch_INS_XVREPLVE0_Q, + LoongArch_INS_XVREPLVE0_W, + LoongArch_INS_XVREPLVE_B, + LoongArch_INS_XVREPLVE_D, + LoongArch_INS_XVREPLVE_H, + LoongArch_INS_XVREPLVE_W, + LoongArch_INS_XVROTRI_B, + LoongArch_INS_XVROTRI_D, + LoongArch_INS_XVROTRI_H, + LoongArch_INS_XVROTRI_W, + LoongArch_INS_XVROTR_B, + LoongArch_INS_XVROTR_D, + LoongArch_INS_XVROTR_H, + LoongArch_INS_XVROTR_W, + LoongArch_INS_XVSADD_B, + LoongArch_INS_XVSADD_BU, + LoongArch_INS_XVSADD_D, + LoongArch_INS_XVSADD_DU, + LoongArch_INS_XVSADD_H, + LoongArch_INS_XVSADD_HU, + LoongArch_INS_XVSADD_W, + LoongArch_INS_XVSADD_WU, + LoongArch_INS_XVSAT_B, + LoongArch_INS_XVSAT_BU, + LoongArch_INS_XVSAT_D, + LoongArch_INS_XVSAT_DU, + LoongArch_INS_XVSAT_H, + LoongArch_INS_XVSAT_HU, + LoongArch_INS_XVSAT_W, + LoongArch_INS_XVSAT_WU, + LoongArch_INS_XVSEQI_B, + LoongArch_INS_XVSEQI_D, + LoongArch_INS_XVSEQI_H, + LoongArch_INS_XVSEQI_W, + LoongArch_INS_XVSEQ_B, + LoongArch_INS_XVSEQ_D, + LoongArch_INS_XVSEQ_H, + LoongArch_INS_XVSEQ_W, + LoongArch_INS_XVSETALLNEZ_B, + LoongArch_INS_XVSETALLNEZ_D, + LoongArch_INS_XVSETALLNEZ_H, + LoongArch_INS_XVSETALLNEZ_W, + LoongArch_INS_XVSETANYEQZ_B, + LoongArch_INS_XVSETANYEQZ_D, + LoongArch_INS_XVSETANYEQZ_H, + LoongArch_INS_XVSETANYEQZ_W, + LoongArch_INS_XVSETEQZ_V, + LoongArch_INS_XVSETNEZ_V, + LoongArch_INS_XVSHUF4I_B, + LoongArch_INS_XVSHUF4I_D, + LoongArch_INS_XVSHUF4I_H, + LoongArch_INS_XVSHUF4I_W, + LoongArch_INS_XVSHUF_B, + LoongArch_INS_XVSHUF_D, + LoongArch_INS_XVSHUF_H, + LoongArch_INS_XVSHUF_W, + LoongArch_INS_XVSIGNCOV_B, + LoongArch_INS_XVSIGNCOV_D, + LoongArch_INS_XVSIGNCOV_H, + LoongArch_INS_XVSIGNCOV_W, + LoongArch_INS_XVSLEI_B, + LoongArch_INS_XVSLEI_BU, + LoongArch_INS_XVSLEI_D, + LoongArch_INS_XVSLEI_DU, + LoongArch_INS_XVSLEI_H, + LoongArch_INS_XVSLEI_HU, + LoongArch_INS_XVSLEI_W, + LoongArch_INS_XVSLEI_WU, + LoongArch_INS_XVSLE_B, + LoongArch_INS_XVSLE_BU, + LoongArch_INS_XVSLE_D, + LoongArch_INS_XVSLE_DU, + LoongArch_INS_XVSLE_H, + LoongArch_INS_XVSLE_HU, + LoongArch_INS_XVSLE_W, + LoongArch_INS_XVSLE_WU, + LoongArch_INS_XVSLLI_B, + LoongArch_INS_XVSLLI_D, + LoongArch_INS_XVSLLI_H, + LoongArch_INS_XVSLLI_W, + LoongArch_INS_XVSLLWIL_DU_WU, + LoongArch_INS_XVSLLWIL_D_W, + LoongArch_INS_XVSLLWIL_HU_BU, + LoongArch_INS_XVSLLWIL_H_B, + LoongArch_INS_XVSLLWIL_WU_HU, + LoongArch_INS_XVSLLWIL_W_H, + LoongArch_INS_XVSLL_B, + LoongArch_INS_XVSLL_D, + LoongArch_INS_XVSLL_H, + LoongArch_INS_XVSLL_W, + LoongArch_INS_XVSLTI_B, + LoongArch_INS_XVSLTI_BU, + LoongArch_INS_XVSLTI_D, + LoongArch_INS_XVSLTI_DU, + LoongArch_INS_XVSLTI_H, + LoongArch_INS_XVSLTI_HU, + LoongArch_INS_XVSLTI_W, + LoongArch_INS_XVSLTI_WU, + LoongArch_INS_XVSLT_B, + LoongArch_INS_XVSLT_BU, + LoongArch_INS_XVSLT_D, + LoongArch_INS_XVSLT_DU, + LoongArch_INS_XVSLT_H, + LoongArch_INS_XVSLT_HU, + LoongArch_INS_XVSLT_W, + LoongArch_INS_XVSLT_WU, + LoongArch_INS_XVSRAI_B, + LoongArch_INS_XVSRAI_D, + LoongArch_INS_XVSRAI_H, + LoongArch_INS_XVSRAI_W, + LoongArch_INS_XVSRANI_B_H, + LoongArch_INS_XVSRANI_D_Q, + LoongArch_INS_XVSRANI_H_W, + LoongArch_INS_XVSRANI_W_D, + LoongArch_INS_XVSRAN_B_H, + LoongArch_INS_XVSRAN_H_W, + LoongArch_INS_XVSRAN_W_D, + LoongArch_INS_XVSRARI_B, + LoongArch_INS_XVSRARI_D, + LoongArch_INS_XVSRARI_H, + LoongArch_INS_XVSRARI_W, + LoongArch_INS_XVSRARNI_B_H, + LoongArch_INS_XVSRARNI_D_Q, + LoongArch_INS_XVSRARNI_H_W, + LoongArch_INS_XVSRARNI_W_D, + LoongArch_INS_XVSRARN_B_H, + LoongArch_INS_XVSRARN_H_W, + LoongArch_INS_XVSRARN_W_D, + LoongArch_INS_XVSRAR_B, + LoongArch_INS_XVSRAR_D, + LoongArch_INS_XVSRAR_H, + LoongArch_INS_XVSRAR_W, + LoongArch_INS_XVSRA_B, + LoongArch_INS_XVSRA_D, + LoongArch_INS_XVSRA_H, + LoongArch_INS_XVSRA_W, + LoongArch_INS_XVSRLI_B, + LoongArch_INS_XVSRLI_D, + LoongArch_INS_XVSRLI_H, + LoongArch_INS_XVSRLI_W, + LoongArch_INS_XVSRLNI_B_H, + LoongArch_INS_XVSRLNI_D_Q, + LoongArch_INS_XVSRLNI_H_W, + LoongArch_INS_XVSRLNI_W_D, + LoongArch_INS_XVSRLN_B_H, + LoongArch_INS_XVSRLN_H_W, + LoongArch_INS_XVSRLN_W_D, + LoongArch_INS_XVSRLRI_B, + LoongArch_INS_XVSRLRI_D, + LoongArch_INS_XVSRLRI_H, + LoongArch_INS_XVSRLRI_W, + LoongArch_INS_XVSRLRNI_B_H, + LoongArch_INS_XVSRLRNI_D_Q, + LoongArch_INS_XVSRLRNI_H_W, + LoongArch_INS_XVSRLRNI_W_D, + LoongArch_INS_XVSRLRN_B_H, + LoongArch_INS_XVSRLRN_H_W, + LoongArch_INS_XVSRLRN_W_D, + LoongArch_INS_XVSRLR_B, + LoongArch_INS_XVSRLR_D, + LoongArch_INS_XVSRLR_H, + LoongArch_INS_XVSRLR_W, + LoongArch_INS_XVSRL_B, + LoongArch_INS_XVSRL_D, + LoongArch_INS_XVSRL_H, + LoongArch_INS_XVSRL_W, + LoongArch_INS_XVSSRANI_BU_H, + LoongArch_INS_XVSSRANI_B_H, + LoongArch_INS_XVSSRANI_DU_Q, + LoongArch_INS_XVSSRANI_D_Q, + LoongArch_INS_XVSSRANI_HU_W, + LoongArch_INS_XVSSRANI_H_W, + LoongArch_INS_XVSSRANI_WU_D, + LoongArch_INS_XVSSRANI_W_D, + LoongArch_INS_XVSSRAN_BU_H, + LoongArch_INS_XVSSRAN_B_H, + LoongArch_INS_XVSSRAN_HU_W, + LoongArch_INS_XVSSRAN_H_W, + LoongArch_INS_XVSSRAN_WU_D, + LoongArch_INS_XVSSRAN_W_D, + LoongArch_INS_XVSSRARNI_BU_H, + LoongArch_INS_XVSSRARNI_B_H, + LoongArch_INS_XVSSRARNI_DU_Q, + LoongArch_INS_XVSSRARNI_D_Q, + LoongArch_INS_XVSSRARNI_HU_W, + LoongArch_INS_XVSSRARNI_H_W, + LoongArch_INS_XVSSRARNI_WU_D, + LoongArch_INS_XVSSRARNI_W_D, + LoongArch_INS_XVSSRARN_BU_H, + LoongArch_INS_XVSSRARN_B_H, + LoongArch_INS_XVSSRARN_HU_W, + LoongArch_INS_XVSSRARN_H_W, + LoongArch_INS_XVSSRARN_WU_D, + LoongArch_INS_XVSSRARN_W_D, + LoongArch_INS_XVSSRLNI_BU_H, + LoongArch_INS_XVSSRLNI_B_H, + LoongArch_INS_XVSSRLNI_DU_Q, + LoongArch_INS_XVSSRLNI_D_Q, + LoongArch_INS_XVSSRLNI_HU_W, + LoongArch_INS_XVSSRLNI_H_W, + LoongArch_INS_XVSSRLNI_WU_D, + LoongArch_INS_XVSSRLNI_W_D, + LoongArch_INS_XVSSRLN_BU_H, + LoongArch_INS_XVSSRLN_B_H, + LoongArch_INS_XVSSRLN_HU_W, + LoongArch_INS_XVSSRLN_H_W, + LoongArch_INS_XVSSRLN_WU_D, + LoongArch_INS_XVSSRLN_W_D, + LoongArch_INS_XVSSRLRNI_BU_H, + LoongArch_INS_XVSSRLRNI_B_H, + LoongArch_INS_XVSSRLRNI_DU_Q, + LoongArch_INS_XVSSRLRNI_D_Q, + LoongArch_INS_XVSSRLRNI_HU_W, + LoongArch_INS_XVSSRLRNI_H_W, + LoongArch_INS_XVSSRLRNI_WU_D, + LoongArch_INS_XVSSRLRNI_W_D, + LoongArch_INS_XVSSRLRN_BU_H, + LoongArch_INS_XVSSRLRN_B_H, + LoongArch_INS_XVSSRLRN_HU_W, + LoongArch_INS_XVSSRLRN_H_W, + LoongArch_INS_XVSSRLRN_WU_D, + LoongArch_INS_XVSSRLRN_W_D, + LoongArch_INS_XVSSUB_B, + LoongArch_INS_XVSSUB_BU, + LoongArch_INS_XVSSUB_D, + LoongArch_INS_XVSSUB_DU, + LoongArch_INS_XVSSUB_H, + LoongArch_INS_XVSSUB_HU, + LoongArch_INS_XVSSUB_W, + LoongArch_INS_XVSSUB_WU, + LoongArch_INS_XVST, + LoongArch_INS_XVSTELM_B, + LoongArch_INS_XVSTELM_D, + LoongArch_INS_XVSTELM_H, + LoongArch_INS_XVSTELM_W, + LoongArch_INS_XVSTX, + LoongArch_INS_XVSUBI_BU, + LoongArch_INS_XVSUBI_DU, + LoongArch_INS_XVSUBI_HU, + LoongArch_INS_XVSUBI_WU, + LoongArch_INS_XVSUBWEV_D_W, + LoongArch_INS_XVSUBWEV_D_WU, + LoongArch_INS_XVSUBWEV_H_B, + LoongArch_INS_XVSUBWEV_H_BU, + LoongArch_INS_XVSUBWEV_Q_D, + LoongArch_INS_XVSUBWEV_Q_DU, + LoongArch_INS_XVSUBWEV_W_H, + LoongArch_INS_XVSUBWEV_W_HU, + LoongArch_INS_XVSUBWOD_D_W, + LoongArch_INS_XVSUBWOD_D_WU, + LoongArch_INS_XVSUBWOD_H_B, + LoongArch_INS_XVSUBWOD_H_BU, + LoongArch_INS_XVSUBWOD_Q_D, + LoongArch_INS_XVSUBWOD_Q_DU, + LoongArch_INS_XVSUBWOD_W_H, + LoongArch_INS_XVSUBWOD_W_HU, + LoongArch_INS_XVSUB_B, + LoongArch_INS_XVSUB_D, + LoongArch_INS_XVSUB_H, + LoongArch_INS_XVSUB_Q, + LoongArch_INS_XVSUB_W, + LoongArch_INS_XVXORI_B, + LoongArch_INS_XVXOR_V, + + // clang-format on + // generated content end + + LOONGARCH_INS_ENDING, +} loongarch_insn; + +//> Group of LOONGARCH instructions +typedef enum loongarch_insn_group { + LoongArch_GRP_INVALID = 0, ///< = CS_GRP_INVALID + + /// Generic groups + /// all jump instructions (conditional+direct+indirect jumps) + LoongArch_GRP_JUMP, ///< = CS_GRP_JUMP + /// all call instructions + LoongArch_GRP_CALL, ///< = CS_GRP_CALL + /// all return instructions + LoongArch_GRP_RET, ///< = CS_GRP_RET + /// all interrupt instructions (int+syscall) + LoongArch_GRP_INT, ///< = CS_GRP_INT + /// all interrupt return instructions + LoongArch_GRP_IRET, ///< = CS_GRP_IRET + /// all privileged instructions + LoongArch_GRP_PRIVILEGE, ///< = CS_GRP_PRIVILEGE + /// all relative branching instructions + LoongArch_GRP_BRANCH_RELATIVE, ///< = CS_GRP_BRANCH_RELATIVE + + // Architecture-specific groups + // generated content begin + // clang-format off + +LoongArch_FEATURE_IsLA64 = 128, + LoongArch_FEATURE_IsLA32, + LoongArch_FEATURE_HasLaGlobalWithPcrel, + LoongArch_FEATURE_HasLaGlobalWithAbs, + LoongArch_FEATURE_HasLaLocalWithAbs, + + // clang-format on + // generated content end + + LOONGARCH_GRP_ENDING, +} loongarch_insn_group; + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/nmake.bat b/nmake.bat index 42d64158d9..7bf757b429 100644 --- a/nmake.bat +++ b/nmake.bat @@ -24,6 +24,7 @@ if "%1"=="BPF" set %arch%=BPF if "%1"=="RISCV" set %arch%=RISCV if "%1"=="ALPHA" set %arch%=ALPHA if "%1"=="HPPA" set %arch%=HPPA +if "%1"=="LOONGARCH" set %arch%=LOONGARCH if not "%arch%"=="" set flags=%flags% and " -DCAPSTONE_ARCHITECTURE_DEFAULT=OFF -DCAPSTONE_%arch%_SUPPORT=ON" diff --git a/suite/MC/LoongArch/absd.s.cs b/suite/MC/LoongArch/absd.s.cs new file mode 100644 index 0000000000..99a5d96f68 --- /dev/null +++ b/suite/MC/LoongArch/absd.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x36,0x44,0x60,0x74 = xvabsd.b $xr22, $xr1, $xr17 +0x11,0xa7,0x60,0x74 = xvabsd.h $xr17, $xr24, $xr9 +0x3c,0x75,0x61,0x74 = xvabsd.w $xr28, $xr9, $xr29 +0xfe,0xce,0x61,0x74 = xvabsd.d $xr30, $xr23, $xr19 +0x90,0x3c,0x62,0x74 = xvabsd.bu $xr16, $xr4, $xr15 +0xed,0xee,0x62,0x74 = xvabsd.hu $xr13, $xr23, $xr27 +0x5f,0x3e,0x63,0x74 = xvabsd.wu $xr31, $xr18, $xr15 +0x5a,0x91,0x63,0x74 = xvabsd.du $xr26, $xr10, $xr4 diff --git a/suite/MC/LoongArch/add.s.cs b/suite/MC/LoongArch/add.s.cs new file mode 100644 index 0000000000..b89909fc82 --- /dev/null +++ b/suite/MC/LoongArch/add.s.cs @@ -0,0 +1,6 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x74,0x16,0x0a,0x74 = xvadd.b $xr20, $xr19, $xr5 +0xf8,0xb8,0x0a,0x74 = xvadd.h $xr24, $xr7, $xr14 +0x33,0x54,0x0b,0x74 = xvadd.w $xr19, $xr1, $xr21 +0xd3,0xb4,0x0b,0x74 = xvadd.d $xr19, $xr6, $xr13 +0x84,0x1b,0x2d,0x75 = xvadd.q $xr4, $xr28, $xr6 diff --git a/suite/MC/LoongArch/adda.s.cs b/suite/MC/LoongArch/adda.s.cs new file mode 100644 index 0000000000..7667e95fd4 --- /dev/null +++ b/suite/MC/LoongArch/adda.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x0a,0x6f,0x5c,0x74 = xvadda.b $xr10, $xr24, $xr27 +0x80,0xf7,0x5c,0x74 = xvadda.h $xr0, $xr28, $xr29 +0x3f,0x25,0x5d,0x74 = xvadda.w $xr31, $xr9, $xr9 +0x2a,0xe4,0x5d,0x74 = xvadda.d $xr10, $xr1, $xr25 diff --git a/suite/MC/LoongArch/addi.s.cs b/suite/MC/LoongArch/addi.s.cs new file mode 100644 index 0000000000..438c88863d --- /dev/null +++ b/suite/MC/LoongArch/addi.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xc1,0x0a,0x8a,0x76 = xvaddi.bu $xr1, $xr22, 2 +0x43,0xf5,0x8a,0x76 = xvaddi.hu $xr3, $xr10, 0x1d +0x65,0x0d,0x8b,0x76 = xvaddi.wu $xr5, $xr11, 3 +0x06,0x9c,0x8b,0x76 = xvaddi.du $xr6, $xr0, 7 diff --git a/suite/MC/LoongArch/addw.s.cs b/suite/MC/LoongArch/addw.s.cs new file mode 100644 index 0000000000..9ae8db77a3 --- /dev/null +++ b/suite/MC/LoongArch/addw.s.cs @@ -0,0 +1,25 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xd7,0x13,0x1e,0x74 = xvaddwev.h.b $xr23, $xr30, $xr4 +0x74,0xfe,0x1e,0x74 = xvaddwev.w.h $xr20, $xr19, $xr31 +0x28,0x65,0x1f,0x74 = xvaddwev.d.w $xr8, $xr9, $xr25 +0xdd,0xf6,0x1f,0x74 = xvaddwev.q.d $xr29, $xr22, $xr29 +0xbe,0x69,0x2e,0x74 = xvaddwev.h.bu $xr30, $xr13, $xr26 +0xef,0xc3,0x2e,0x74 = xvaddwev.w.hu $xr15, $xr31, $xr16 +0x10,0x52,0x2f,0x74 = xvaddwev.d.wu $xr16, $xr16, $xr20 +0x4a,0xca,0x2f,0x74 = xvaddwev.q.du $xr10, $xr18, $xr18 +0xe3,0x24,0x3e,0x74 = xvaddwev.h.bu.b $xr3, $xr7, $xr9 +0x1a,0xee,0x3e,0x74 = xvaddwev.w.hu.h $xr26, $xr16, $xr27 +0xa0,0x21,0x3f,0x74 = xvaddwev.d.wu.w $xr0, $xr13, $xr8 +0x53,0x8d,0x3f,0x74 = xvaddwev.q.du.d $xr19, $xr10, $xr3 +0xae,0x62,0x22,0x74 = xvaddwod.h.b $xr14, $xr21, $xr24 +0x53,0xdf,0x22,0x74 = xvaddwod.w.h $xr19, $xr26, $xr23 +0x2c,0x51,0x23,0x74 = xvaddwod.d.w $xr12, $xr9, $xr20 +0x4b,0xa0,0x23,0x74 = xvaddwod.q.d $xr11, $xr2, $xr8 +0xc6,0x24,0x32,0x74 = xvaddwod.h.bu $xr6, $xr6, $xr9 +0x61,0xe7,0x32,0x74 = xvaddwod.w.hu $xr1, $xr27, $xr25 +0x7a,0x2e,0x33,0x74 = xvaddwod.d.wu $xr26, $xr19, $xr11 +0xd5,0xa2,0x33,0x74 = xvaddwod.q.du $xr21, $xr22, $xr8 +0x55,0x63,0x40,0x74 = xvaddwod.h.bu.b $xr21, $xr26, $xr24 +0xdf,0xc0,0x40,0x74 = xvaddwod.w.hu.h $xr31, $xr6, $xr16 +0x8c,0x7f,0x41,0x74 = xvaddwod.d.wu.w $xr12, $xr28, $xr31 +0x9d,0xb0,0x41,0x74 = xvaddwod.q.du.d $xr29, $xr4, $xr12 diff --git a/suite/MC/LoongArch/and.s.cs b/suite/MC/LoongArch/and.s.cs new file mode 100644 index 0000000000..5ca8e8e14b --- /dev/null +++ b/suite/MC/LoongArch/and.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xee,0x4e,0x26,0x75 = xvand.v $xr14, $xr23, $xr19 diff --git a/suite/MC/LoongArch/andi.s.cs b/suite/MC/LoongArch/andi.s.cs new file mode 100644 index 0000000000..bb121dc166 --- /dev/null +++ b/suite/MC/LoongArch/andi.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xeb,0x08,0xd1,0x77 = xvandi.b $xr11, $xr7, 0x42 diff --git a/suite/MC/LoongArch/andn.s.cs b/suite/MC/LoongArch/andn.s.cs new file mode 100644 index 0000000000..9fb08337f1 --- /dev/null +++ b/suite/MC/LoongArch/andn.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xe3,0x0d,0x28,0x75 = xvandn.v $xr3, $xr15, $xr3 diff --git a/suite/MC/LoongArch/arith.s.cs b/suite/MC/LoongArch/arith.s.cs new file mode 100644 index 0000000000..a105eed600 --- /dev/null +++ b/suite/MC/LoongArch/arith.s.cs @@ -0,0 +1,30 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x29,0x7c,0x10,0x00 = add.w $a5, $ra, $s8 +0x35,0x4f,0x11,0x00 = sub.w $r21, $s2, $t7 +0xe5,0xd8,0x83,0x02 = addi.w $a1, $a3, 0xf6 +0x22,0x8a,0x05,0x00 = alsl.w $tp, $t5, $tp, 4 +0x30,0x06,0x00,0x14 = lu12i.w $t4, 0x31 +0xe4,0xff,0xff,0x15 = lu12i.w $a0, -1 +0x5d,0x0b,0x12,0x00 = slt $s6, $s3, $tp +0xab,0xf6,0x12,0x00 = sltu $a7, $r21, $s6 +0x3b,0xac,0x03,0x02 = slti $s4, $ra, 0xeb +0x00,0x89,0x42,0x02 = sltui $zero, $a4, 0xa2 +0x69,0x17,0x00,0x18 = pcaddi $a5, 0xbb +0xa0,0x04,0x00,0x1c = pcaddu12i $zero, 0x25 +0x2a,0x0b,0x00,0x1a = pcalau12i $a6, 0x59 +0xf3,0x87,0x14,0x00 = and $t7, $s8, $ra +0x11,0x7a,0x15,0x00 = or $t5, $t4, $s7 +0x45,0x16,0x14,0x00 = nor $a1, $t6, $a1 +0x6f,0xa2,0x15,0x00 = xor $t3, $t7, $a4 +0x3c,0x97,0x16,0x00 = andn $s5, $s2, $a1 +0x62,0x64,0x16,0x00 = orn $tp, $sp, $s2 +0x19,0xa8,0x41,0x03 = andi $s2, $zero, 0x6a +0xb1,0xbc,0x80,0x03 = ori $t5, $a1, 0x2f +0xf2,0x8e,0xc1,0x03 = xori $t6, $s0, 0x63 +0x44,0x0e,0x1c,0x00 = mul.w $a0, $t6, $sp +0xfb,0x82,0x1c,0x00 = mulh.w $s4, $s0, $zero +0x2a,0x62,0x1d,0x00 = mulh.wu $a6, $t5, $s1 +0xbe,0x65,0x20,0x00 = div.w $s7, $t1, $s2 +0x41,0xab,0x20,0x00 = mod.w $ra, $s3, $a6 +0xf3,0x02,0x21,0x00 = div.wu $t7, $s0, $zero +0x3b,0xc5,0x21,0x00 = mod.wu $s4, $a5, $t5 diff --git a/suite/MC/LoongArch/arm-alu.s.cs b/suite/MC/LoongArch/arm-alu.s.cs new file mode 100644 index 0000000000..d8eb42fb7a --- /dev/null +++ b/suite/MC/LoongArch/arm-alu.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x91,0x14,0x37,0x00 = armadd.w $a0, $a1, 1 +0x91,0x94,0x37,0x00 = armsub.w $a0, $a1, 1 +0x91,0x14,0x38,0x00 = armadc.w $a0, $a1, 1 +0x91,0x94,0x38,0x00 = armsbc.w $a0, $a1, 1 +0x91,0x14,0x39,0x00 = armand.w $a0, $a1, 1 +0x91,0x94,0x39,0x00 = armor.w $a0, $a1, 1 +0x91,0x14,0x3a,0x00 = armxor.w $a0, $a1, 1 +0x9c,0xc4,0x3f,0x00 = armnot.w $a0, 1 diff --git a/suite/MC/LoongArch/arm-jump.s.cs b/suite/MC/LoongArch/arm-jump.s.cs new file mode 100644 index 0000000000..a7952cc036 --- /dev/null +++ b/suite/MC/LoongArch/arm-jump.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x04,0xc4,0x36,0x00 = setarmj $a0, 1 diff --git a/suite/MC/LoongArch/arm-mov.s.cs b/suite/MC/LoongArch/arm-mov.s.cs new file mode 100644 index 0000000000..3da1e43e55 --- /dev/null +++ b/suite/MC/LoongArch/arm-mov.s.cs @@ -0,0 +1,6 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa4,0x44,0x36,0x00 = armmove $a0, $a1, 1 +0x9d,0xc4,0x3f,0x00 = armmov.w $a0, 1 +0x9e,0xc4,0x3f,0x00 = armmov.d $a0, 1 +0x44,0x04,0x5c,0x00 = armmfflag $a0, 1 +0x64,0x04,0x5c,0x00 = armmtflag $a0, 1 diff --git a/suite/MC/LoongArch/arm-shift.s.cs b/suite/MC/LoongArch/arm-shift.s.cs new file mode 100644 index 0000000000..7c7b21c6cb --- /dev/null +++ b/suite/MC/LoongArch/arm-shift.s.cs @@ -0,0 +1,10 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x91,0x94,0x3a,0x00 = armsll.w $a0, $a1, 1 +0x91,0x14,0x3b,0x00 = armsrl.w $a0, $a1, 1 +0x91,0x94,0x3b,0x00 = armsra.w $a0, $a1, 1 +0x91,0x14,0x3c,0x00 = armrotr.w $a0, $a1, 1 +0x91,0x84,0x3c,0x00 = armslli.w $a0, 1, 1 +0x91,0x04,0x3d,0x00 = armsrli.w $a0, 1, 1 +0x91,0x84,0x3d,0x00 = armsrai.w $a0, 1, 1 +0x91,0x04,0x3e,0x00 = armrotri.w $a0, 1, 1 +0x9f,0xc4,0x3f,0x00 = armrrx.w $a0, 1 diff --git a/suite/MC/LoongArch/atomic.s.cs b/suite/MC/LoongArch/atomic.s.cs new file mode 100644 index 0000000000..52b5dd48c2 --- /dev/null +++ b/suite/MC/LoongArch/atomic.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x62,0xdf,0x00,0x20 = ll.w $tp, $s4, 0xdc +0xd3,0x39,0x00,0x21 = sc.w $t7, $t2, 0x38 +0xcd,0x81,0x57,0x38 = llacq.w $t1, $t2 +0xcd,0x85,0x57,0x38 = screl.w $t1, $t2 diff --git a/suite/MC/LoongArch/avg.s.cs b/suite/MC/LoongArch/avg.s.cs new file mode 100644 index 0000000000..b514f03c7c --- /dev/null +++ b/suite/MC/LoongArch/avg.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xc5,0x57,0x64,0x74 = xvavg.b $xr5, $xr30, $xr21 +0x32,0xd6,0x64,0x74 = xvavg.h $xr18, $xr17, $xr21 +0xe3,0x52,0x65,0x74 = xvavg.w $xr3, $xr23, $xr20 +0x1b,0xec,0x65,0x74 = xvavg.d $xr27, $xr0, $xr27 +0x8b,0x40,0x66,0x74 = xvavg.bu $xr11, $xr4, $xr16 +0x22,0xcc,0x66,0x74 = xvavg.hu $xr2, $xr1, $xr19 +0x9b,0x6e,0x67,0x74 = xvavg.wu $xr27, $xr20, $xr27 +0x97,0xf6,0x67,0x74 = xvavg.du $xr23, $xr20, $xr29 diff --git a/suite/MC/LoongArch/avgr.s.cs b/suite/MC/LoongArch/avgr.s.cs new file mode 100644 index 0000000000..004a57a6e8 --- /dev/null +++ b/suite/MC/LoongArch/avgr.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xfd,0x1d,0x68,0x74 = xvavgr.b $xr29, $xr15, $xr7 +0x40,0xbf,0x68,0x74 = xvavgr.h $xr0, $xr26, $xr15 +0x17,0x00,0x69,0x74 = xvavgr.w $xr23, $xr0, $xr0 +0xfd,0x82,0x69,0x74 = xvavgr.d $xr29, $xr23, $xr0 +0x56,0x64,0x6a,0x74 = xvavgr.bu $xr22, $xr2, $xr25 +0x59,0xd5,0x6a,0x74 = xvavgr.hu $xr25, $xr10, $xr21 +0xd1,0x0d,0x6b,0x74 = xvavgr.wu $xr17, $xr14, $xr3 +0x62,0xb5,0x6b,0x74 = xvavgr.du $xr2, $xr11, $xr13 diff --git a/suite/MC/LoongArch/barrier.s.cs b/suite/MC/LoongArch/barrier.s.cs new file mode 100644 index 0000000000..09028ccfce --- /dev/null +++ b/suite/MC/LoongArch/barrier.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x00,0x00,0x72,0x38 = dbar 0 +0x00,0x80,0x72,0x38 = ibar 0 diff --git a/suite/MC/LoongArch/base.s.cs b/suite/MC/LoongArch/base.s.cs new file mode 100644 index 0000000000..0fc2614b1c --- /dev/null +++ b/suite/MC/LoongArch/base.s.cs @@ -0,0 +1,34 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa4,0x04,0x29,0x00 = addu12i.w $a0, $a1, 1 +0xa4,0x84,0x29,0x00 = addu12i.d $a0, $a1, 1 +0xa4,0x18,0x30,0x00 = adc.b $a0, $a1, $a2 +0xa4,0x98,0x30,0x00 = adc.h $a0, $a1, $a2 +0xa4,0x18,0x31,0x00 = adc.w $a0, $a1, $a2 +0xa4,0x98,0x31,0x00 = adc.d $a0, $a1, $a2 +0xa4,0x18,0x32,0x00 = sbc.b $a0, $a1, $a2 +0xa4,0x98,0x32,0x00 = sbc.h $a0, $a1, $a2 +0xa4,0x18,0x33,0x00 = sbc.w $a0, $a1, $a2 +0xa4,0x98,0x33,0x00 = sbc.d $a0, $a1, $a2 +0xa4,0x18,0x1a,0x00 = rotr.b $a0, $a1, $a2 +0xa4,0x98,0x1a,0x00 = rotr.h $a0, $a1, $a2 +0xa4,0x24,0x4c,0x00 = rotri.b $a0, $a1, 1 +0xa4,0x44,0x4c,0x00 = rotri.h $a0, $a1, 1 +0xa4,0x18,0x34,0x00 = rcr.b $a0, $a1, $a2 +0xa4,0x98,0x34,0x00 = rcr.h $a0, $a1, $a2 +0xa4,0x18,0x35,0x00 = rcr.w $a0, $a1, $a2 +0xa4,0x98,0x35,0x00 = rcr.d $a0, $a1, $a2 +0xa4,0x24,0x50,0x00 = rcri.b $a0, $a1, 1 +0xa4,0x44,0x50,0x00 = rcri.h $a0, $a1, 1 +0xa4,0x84,0x50,0x00 = rcri.w $a0, $a1, 1 +0xa4,0x04,0x51,0x00 = rcri.d $a0, $a1, 1 +0x20,0xe4,0x14,0x01 = fcvt.ud.d $fa0, $fa1 +0x20,0xe0,0x14,0x01 = fcvt.ld.d $fa0, $fa1 +0x20,0x08,0x15,0x01 = fcvt.d.ld $fa0, $fa1, $fa2 +0xa4,0x04,0x80,0x2e = ldl.d $a0, $a1, 1 +0xa4,0x04,0x00,0x2e = ldl.w $a0, $a1, 1 +0xa4,0x04,0x40,0x2e = ldr.w $a0, $a1, 1 +0xa4,0x04,0xc0,0x2e = ldr.d $a0, $a1, 1 +0xa4,0x04,0x00,0x2f = stl.w $a0, $a1, 1 +0xa4,0x04,0x80,0x2f = stl.d $a0, $a1, 1 +0xa4,0x04,0x40,0x2f = str.w $a0, $a1, 1 +0xa4,0x04,0xc0,0x2f = str.d $a0, $a1, 1 diff --git a/suite/MC/LoongArch/bit-manipu.s.cs b/suite/MC/LoongArch/bit-manipu.s.cs new file mode 100644 index 0000000000..5bdc47148f --- /dev/null +++ b/suite/MC/LoongArch/bit-manipu.s.cs @@ -0,0 +1,13 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x61,0x10,0x00,0x00 = clo.w $ra, $sp +0x47,0x15,0x00,0x00 = clz.w $a3, $a6 +0xc2,0x18,0x00,0x00 = cto.w $tp, $a2 +0xc5,0x1e,0x00,0x00 = ctz.w $a1, $fp +0x1d,0x40,0x08,0x00 = bytepick.w $s6, $zero, $t4, 0 +0x74,0x31,0x00,0x00 = revb.2h $t8, $a7 +0x75,0x4b,0x00,0x00 = bitrev.4b $r21, $s4 +0xb9,0x50,0x00,0x00 = bitrev.w $s2, $a1 +0x68,0x09,0x67,0x00 = bstrins.w $a4, $a7, 7, 2 +0x21,0x91,0x6a,0x00 = bstrpick.w $ra, $a5, 0xa, 4 +0x74,0x49,0x13,0x00 = maskeqz $t8, $a7, $t6 +0xb4,0xe9,0x13,0x00 = masknez $t8, $t1, $s3 diff --git a/suite/MC/LoongArch/bit-shift.s.cs b/suite/MC/LoongArch/bit-shift.s.cs new file mode 100644 index 0000000000..857aacd38e --- /dev/null +++ b/suite/MC/LoongArch/bit-shift.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x78,0x5f,0x17,0x00 = sll.w $s1, $s4, $s0 +0x3f,0x9e,0x17,0x00 = srl.w $s8, $t5, $a3 +0x8c,0x2b,0x18,0x00 = sra.w $t0, $s5, $a6 +0x41,0x4b,0x1b,0x00 = rotr.w $ra, $s3, $t6 +0x5a,0x82,0x40,0x00 = slli.w $s3, $t6, 0 +0xca,0xf9,0x44,0x00 = srli.w $a6, $t2, 0x1e +0x28,0xe2,0x48,0x00 = srai.w $a4, $t5, 0x18 +0x97,0xde,0x4c,0x00 = rotri.w $s0, $t8, 0x17 diff --git a/suite/MC/LoongArch/bitclr.s.cs b/suite/MC/LoongArch/bitclr.s.cs new file mode 100644 index 0000000000..83df8c1ca1 --- /dev/null +++ b/suite/MC/LoongArch/bitclr.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xb8,0x38,0x0c,0x75 = xvbitclr.b $xr24, $xr5, $xr14 +0x3e,0xb5,0x0c,0x75 = xvbitclr.h $xr30, $xr9, $xr13 +0x62,0x1c,0x0d,0x75 = xvbitclr.w $xr2, $xr3, $xr7 +0xae,0xe4,0x0d,0x75 = xvbitclr.d $xr14, $xr5, $xr25 +0x56,0x3f,0x10,0x77 = xvbitclri.b $xr22, $xr26, 7 +0xc2,0x75,0x10,0x77 = xvbitclri.h $xr2, $xr14, 0xd +0x43,0x80,0x10,0x77 = xvbitclri.w $xr3, $xr2, 0 +0x8a,0x1d,0x11,0x77 = xvbitclri.d $xr10, $xr12, 7 diff --git a/suite/MC/LoongArch/bitrev.s.cs b/suite/MC/LoongArch/bitrev.s.cs new file mode 100644 index 0000000000..9a5138f10d --- /dev/null +++ b/suite/MC/LoongArch/bitrev.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x90,0x0e,0x10,0x75 = xvbitrev.b $xr16, $xr20, $xr3 +0x70,0xd0,0x10,0x75 = xvbitrev.h $xr16, $xr3, $xr20 +0x58,0x5f,0x11,0x75 = xvbitrev.w $xr24, $xr26, $xr23 +0x2d,0xec,0x11,0x75 = xvbitrev.d $xr13, $xr1, $xr27 +0x67,0x35,0x18,0x77 = xvbitrevi.b $xr7, $xr11, 5 +0xa1,0x7c,0x18,0x77 = xvbitrevi.h $xr1, $xr5, 0xf +0xad,0xca,0x18,0x77 = xvbitrevi.w $xr13, $xr21, 0x12 +0x61,0x24,0x19,0x77 = xvbitrevi.d $xr1, $xr3, 0x9 diff --git a/suite/MC/LoongArch/bitsel.s.cs b/suite/MC/LoongArch/bitsel.s.cs new file mode 100644 index 0000000000..a6a8687de1 --- /dev/null +++ b/suite/MC/LoongArch/bitsel.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xb2,0xbf,0x2a,0x0d = xvbitsel.v $xr18, $xr29, $xr15, $xr21 diff --git a/suite/MC/LoongArch/bitseli.s.cs b/suite/MC/LoongArch/bitseli.s.cs new file mode 100644 index 0000000000..15133c83b8 --- /dev/null +++ b/suite/MC/LoongArch/bitseli.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xad,0xe6,0xc5,0x77 = xvbitseli.b $xr13, $xr21, 0x79 diff --git a/suite/MC/LoongArch/bitset.s.cs b/suite/MC/LoongArch/bitset.s.cs new file mode 100644 index 0000000000..237abf7db5 --- /dev/null +++ b/suite/MC/LoongArch/bitset.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x06,0x72,0x0e,0x75 = xvbitset.b $xr6, $xr16, $xr28 +0xa5,0xfd,0x0e,0x75 = xvbitset.h $xr5, $xr13, $xr31 +0x87,0x23,0x0f,0x75 = xvbitset.w $xr7, $xr28, $xr8 +0x04,0xb2,0x0f,0x75 = xvbitset.d $xr4, $xr16, $xr12 +0x7a,0x20,0x14,0x77 = xvbitseti.b $xr26, $xr3, 0 +0x69,0x66,0x14,0x77 = xvbitseti.h $xr9, $xr19, 0x9 +0x6c,0x8a,0x14,0x77 = xvbitseti.w $xr12, $xr19, 2 +0xf4,0x08,0x15,0x77 = xvbitseti.d $xr20, $xr7, 2 diff --git a/suite/MC/LoongArch/bound-check.s.cs b/suite/MC/LoongArch/bound-check.s.cs new file mode 100644 index 0000000000..819a145dd9 --- /dev/null +++ b/suite/MC/LoongArch/bound-check.s.cs @@ -0,0 +1,17 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xc6,0x74,0x78,0x38 = ldgt.b $a2, $a2, $s6 +0xe5,0x87,0x78,0x38 = ldgt.h $a1, $s8, $ra +0x4f,0x23,0x79,0x38 = ldgt.w $t3, $s3, $a4 +0x37,0xff,0x79,0x38 = ldgt.d $s0, $s2, $s8 +0x89,0x3d,0x7a,0x38 = ldle.b $a5, $t0, $t3 +0x6b,0xdd,0x7a,0x38 = ldle.h $a7, $a7, $s0 +0x58,0x08,0x7b,0x38 = ldle.w $s1, $tp, $tp +0xf4,0xc1,0x7b,0x38 = ldle.d $t8, $t3, $t4 +0x7b,0x52,0x7c,0x38 = stgt.b $s4, $t7, $t8 +0x90,0x98,0x7c,0x38 = stgt.h $t4, $a0, $a2 +0x9f,0x3b,0x7d,0x38 = stgt.w $s8, $s5, $t2 +0xbe,0xe2,0x7d,0x38 = stgt.d $s7, $r21, $s1 +0x8a,0x40,0x7e,0x38 = stle.b $a6, $a0, $t4 +0x31,0xd6,0x7e,0x38 = stle.h $t5, $t5, $r21 +0x97,0x77,0x7f,0x38 = stle.w $s0, $s5, $s6 +0x19,0xf7,0x7f,0x38 = stle.d $s2, $s1, $s6 diff --git a/suite/MC/LoongArch/branch.s.cs b/suite/MC/LoongArch/branch.s.cs new file mode 100644 index 0000000000..b1e438102a --- /dev/null +++ b/suite/MC/LoongArch/branch.s.cs @@ -0,0 +1,12 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x47,0xb1,0x00,0x58 = beq $a6, $a3, 0xb0 +0x21,0x8b,0x00,0x5c = bne $s2, $ra, 0x88 +0xfe,0xa9,0x00,0x60 = blt $t3, $s7, 0xa8 +0x8f,0x95,0x00,0x64 = bge $t0, $t3, 0x94 +0x25,0x06,0x00,0x68 = bltu $t5, $a1, 4 +0xd7,0x8c,0x00,0x6c = bgeu $a2, $s0, 0x8c +0x20,0x61,0x00,0x40 = beqz $a5, 0x60 +0x60,0xd4,0x00,0x44 = bnez $sp, 0xd4 +0x00,0xf8,0x00,0x50 = b 0xf8 +0x00,0xec,0x00,0x54 = bl 0xec +0x81,0x04,0x00,0x4c = jirl $ra, $a0, 4 diff --git a/suite/MC/LoongArch/bsll.s.cs b/suite/MC/LoongArch/bsll.s.cs new file mode 100644 index 0000000000..3c0d689dc0 --- /dev/null +++ b/suite/MC/LoongArch/bsll.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xae,0x52,0x8e,0x76 = xvbsll.v $xr14, $xr21, 0x14 diff --git a/suite/MC/LoongArch/bsrl.s.cs b/suite/MC/LoongArch/bsrl.s.cs new file mode 100644 index 0000000000..0d8a3f30b0 --- /dev/null +++ b/suite/MC/LoongArch/bsrl.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa4,0xf4,0x8e,0x76 = xvbsrl.v $xr4, $xr5, 0x1d diff --git a/suite/MC/LoongArch/clo.s.cs b/suite/MC/LoongArch/clo.s.cs new file mode 100644 index 0000000000..fb30bd21b2 --- /dev/null +++ b/suite/MC/LoongArch/clo.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x89,0x01,0x9c,0x76 = xvclo.b $xr9, $xr12 +0xd0,0x05,0x9c,0x76 = xvclo.h $xr16, $xr14 +0x5e,0x0a,0x9c,0x76 = xvclo.w $xr30, $xr18 +0xbf,0x0c,0x9c,0x76 = xvclo.d $xr31, $xr5 diff --git a/suite/MC/LoongArch/clz.s.cs b/suite/MC/LoongArch/clz.s.cs new file mode 100644 index 0000000000..90c9878e1a --- /dev/null +++ b/suite/MC/LoongArch/clz.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xc5,0x10,0x9c,0x76 = xvclz.b $xr5, $xr6 +0xe4,0x14,0x9c,0x76 = xvclz.h $xr4, $xr7 +0x0c,0x18,0x9c,0x76 = xvclz.w $xr12, $xr0 +0x01,0x1c,0x9c,0x76 = xvclz.d $xr1, $xr0 diff --git a/suite/MC/LoongArch/crc.s.cs b/suite/MC/LoongArch/crc.s.cs new file mode 100644 index 0000000000..9317b55091 --- /dev/null +++ b/suite/MC/LoongArch/crc.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf8,0x08,0x24,0x00 = crc.w.b.w $s1, $a3, $tp +0x5f,0xc9,0x24,0x00 = crc.w.h.w $s8, $a6, $t6 +0xdc,0x28,0x25,0x00 = crc.w.w.w $s5, $a2, $a6 +0x7c,0xfd,0x25,0x00 = crc.w.d.w $s5, $a7, $s8 +0x4f,0x0e,0x26,0x00 = crcc.w.b.w $t3, $t6, $sp +0xb5,0xcb,0x26,0x00 = crcc.w.h.w $r21, $s6, $t6 +0xd1,0x35,0x27,0x00 = crcc.w.w.w $t5, $t2, $t1 +0xbe,0xee,0x27,0x00 = crcc.w.d.w $s7, $r21, $s4 diff --git a/suite/MC/LoongArch/d-arith.s.cs b/suite/MC/LoongArch/d-arith.s.cs new file mode 100644 index 0000000000..3fd39557d1 --- /dev/null +++ b/suite/MC/LoongArch/d-arith.s.cs @@ -0,0 +1,25 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xfd,0xe5,0x00,0x01 = fadd.s $fs5, $ft7, $fs1 +0xf9,0x34,0x01,0x01 = fadd.d $fs1, $fa7, $ft5 +0x3d,0x48,0x03,0x01 = fsub.d $fs5, $fa1, $ft10 +0xc4,0x1f,0x05,0x01 = fmul.d $fa4, $fs6, $fa7 +0x23,0x73,0x07,0x01 = fdiv.d $fa3, $fs1, $fs4 +0x15,0x73,0x2c,0x08 = fmadd.d $ft13, $fs0, $fs4, $fs0 +0x46,0xd2,0x6d,0x08 = fmsub.d $fa6, $ft10, $ft12, $fs3 +0xb9,0x4d,0xaf,0x08 = fnmadd.d $fs1, $ft5, $ft11, $fs6 +0x5e,0x1f,0xec,0x08 = fnmsub.d $fs6, $fs2, $fa7, $fs0 +0x4b,0x37,0x09,0x01 = fmax.d $ft3, $fs2, $ft5 +0xa1,0x6d,0x0b,0x01 = fmin.d $fa1, $ft5, $fs3 +0xb8,0x11,0x0d,0x01 = fmaxa.d $fs0, $ft5, $fa4 +0x52,0x01,0x0f,0x01 = fmina.d $ft10, $ft2, $fa0 +0x77,0x08,0x14,0x01 = fabs.d $ft15, $fa3 +0x4b,0x1b,0x14,0x01 = fneg.d $ft3, $fs2 +0x62,0x49,0x14,0x01 = fsqrt.d $fa2, $ft3 +0x7b,0x5b,0x14,0x01 = frecip.d $fs3, $fs3 +0x00,0x78,0x14,0x01 = frecipe.d $fa0, $fa0 +0x76,0x68,0x14,0x01 = frsqrt.d $ft14, $fa3 +0x21,0x88,0x14,0x01 = frsqrte.d $fa1, $fa1 +0xcc,0x69,0x11,0x01 = fscaleb.d $ft4, $ft6, $fs2 +0xb5,0x2b,0x14,0x01 = flogb.d $ft13, $fs5 +0x50,0x1b,0x13,0x01 = fcopysign.d $ft8, $fs2, $fa6 +0x53,0x38,0x14,0x01 = fclass.d $ft11, $fa2 diff --git a/suite/MC/LoongArch/d-bound-check.s.cs b/suite/MC/LoongArch/d-bound-check.s.cs new file mode 100644 index 0000000000..e26e9a48e3 --- /dev/null +++ b/suite/MC/LoongArch/d-bound-check.s.cs @@ -0,0 +1,6 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x63,0x37,0x74,0x38 = fldgt.s $fa3, $s4, $t1 +0xba,0xfc,0x74,0x38 = fldgt.d $fs2, $a1, $s8 +0xe3,0xd9,0x75,0x38 = fldle.d $fa3, $t3, $fp +0x6d,0xe9,0x76,0x38 = fstgt.d $ft5, $a7, $s3 +0x32,0xb5,0x77,0x38 = fstle.d $ft10, $a5, $t1 diff --git a/suite/MC/LoongArch/d-branch.s.cs b/suite/MC/LoongArch/d-branch.s.cs new file mode 100644 index 0000000000..6c4f3a64ea --- /dev/null +++ b/suite/MC/LoongArch/d-branch.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xc0,0x0c,0x00,0x48 = bceqz $fcc6, 0xc diff --git a/suite/MC/LoongArch/d-comp.s.cs b/suite/MC/LoongArch/d-comp.s.cs new file mode 100644 index 0000000000..bd03325c6f --- /dev/null +++ b/suite/MC/LoongArch/d-comp.s.cs @@ -0,0 +1,24 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x00,0x04,0x10,0x0c = fcmp.caf.s $fcc0, $fa0, $fa1 +0x00,0x04,0x20,0x0c = fcmp.caf.d $fcc0, $fa0, $fa1 +0x00,0x04,0x24,0x0c = fcmp.cun.d $fcc0, $fa0, $fa1 +0x00,0x04,0x22,0x0c = fcmp.ceq.d $fcc0, $fa0, $fa1 +0x00,0x04,0x26,0x0c = fcmp.cueq.d $fcc0, $fa0, $fa1 +0x00,0x04,0x21,0x0c = fcmp.clt.d $fcc0, $fa0, $fa1 +0x00,0x04,0x25,0x0c = fcmp.cult.d $fcc0, $fa0, $fa1 +0x00,0x04,0x23,0x0c = fcmp.cle.d $fcc0, $fa0, $fa1 +0x00,0x04,0x27,0x0c = fcmp.cule.d $fcc0, $fa0, $fa1 +0x00,0x04,0x28,0x0c = fcmp.cne.d $fcc0, $fa0, $fa1 +0x00,0x04,0x2a,0x0c = fcmp.cor.d $fcc0, $fa0, $fa1 +0x00,0x04,0x2c,0x0c = fcmp.cune.d $fcc0, $fa0, $fa1 +0x00,0x84,0x20,0x0c = fcmp.saf.d $fcc0, $fa0, $fa1 +0x00,0x84,0x24,0x0c = fcmp.sun.d $fcc0, $fa0, $fa1 +0x00,0x84,0x22,0x0c = fcmp.seq.d $fcc0, $fa0, $fa1 +0x00,0x84,0x26,0x0c = fcmp.sueq.d $fcc0, $fa0, $fa1 +0x00,0x84,0x21,0x0c = fcmp.slt.d $fcc0, $fa0, $fa1 +0x00,0x84,0x25,0x0c = fcmp.sult.d $fcc0, $fa0, $fa1 +0x00,0x84,0x23,0x0c = fcmp.sle.d $fcc0, $fa0, $fa1 +0x00,0x84,0x27,0x0c = fcmp.sule.d $fcc0, $fa0, $fa1 +0x00,0x84,0x28,0x0c = fcmp.sne.d $fcc0, $fa0, $fa1 +0x00,0x84,0x2a,0x0c = fcmp.sor.d $fcc0, $fa0, $fa1 +0x00,0x84,0x2c,0x0c = fcmp.sune.d $fcc0, $fa0, $fa1 diff --git a/suite/MC/LoongArch/d-conv.s.cs b/suite/MC/LoongArch/d-conv.s.cs new file mode 100644 index 0000000000..39277d3f42 --- /dev/null +++ b/suite/MC/LoongArch/d-conv.s.cs @@ -0,0 +1,23 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x25,0x46,0x1e,0x01 = frint.s $fa5, $ft9 +0x6c,0x1a,0x19,0x01 = fcvt.s.d $ft4, $ft11 +0xca,0x24,0x19,0x01 = fcvt.d.s $ft2, $fa6 +0xa6,0x18,0x1d,0x01 = ffint.s.l $fa6, $fa5 +0x58,0x22,0x1d,0x01 = ffint.d.w $fs0, $ft10 +0x57,0x2b,0x1d,0x01 = ffint.d.l $ft15, $fs2 +0xc3,0x09,0x1b,0x01 = ftint.w.d $fa3, $ft6 +0x1f,0x27,0x1b,0x01 = ftint.l.s $fs7, $fs0 +0x10,0x2b,0x1b,0x01 = ftint.l.d $ft8, $fs0 +0x07,0x09,0x1a,0x01 = ftintrm.w.d $fa7, $ft0 +0x58,0x25,0x1a,0x01 = ftintrm.l.s $fs0, $ft2 +0x29,0x29,0x1a,0x01 = ftintrm.l.d $ft1, $ft1 +0x6c,0x48,0x1a,0x01 = ftintrp.w.d $ft4, $fa3 +0x00,0x66,0x1a,0x01 = ftintrp.l.s $fa0, $ft8 +0xa4,0x6b,0x1a,0x01 = ftintrp.l.d $fa4, $fs5 +0x19,0x8b,0x1a,0x01 = ftintrz.w.d $fs1, $fs0 +0xb7,0xa4,0x1a,0x01 = ftintrz.l.s $ft15, $fa5 +0x43,0xa9,0x1a,0x01 = ftintrz.l.d $fa3, $ft2 +0x9f,0xc9,0x1a,0x01 = ftintrne.w.d $fs7, $ft4 +0x76,0xe7,0x1a,0x01 = ftintrne.l.s $ft14, $fs3 +0xdc,0xe8,0x1a,0x01 = ftintrne.l.d $fs4, $fa6 +0x5d,0x48,0x1e,0x01 = frint.d $fs5, $fa2 diff --git a/suite/MC/LoongArch/d-memory.s.cs b/suite/MC/LoongArch/d-memory.s.cs new file mode 100644 index 0000000000..983e2a61a6 --- /dev/null +++ b/suite/MC/LoongArch/d-memory.s.cs @@ -0,0 +1,6 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf7,0xe9,0x03,0x2b = fld.s $ft15, $t3, 0xfa +0x36,0xca,0x81,0x2b = fld.d $ft14, $t5, 0x72 +0xfc,0x18,0xc3,0x2b = fst.d $fs4, $a3, 0xc6 +0xbb,0x7d,0x34,0x38 = fldx.d $fs3, $t1, $s8 +0xe6,0x45,0x3c,0x38 = fstx.d $fa6, $t3, $t5 diff --git a/suite/MC/LoongArch/d-move.s.cs b/suite/MC/LoongArch/d-move.s.cs new file mode 100644 index 0000000000..922662cd1b --- /dev/null +++ b/suite/MC/LoongArch/d-move.s.cs @@ -0,0 +1,4 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xed,0x96,0x14,0x01 = fmov.s $ft5, $ft15 +0x3e,0x99,0x14,0x01 = fmov.d $fs6, $ft1 +0x92,0x56,0x02,0x0d = fsel $ft10, $ft12, $ft13, $fcc4 diff --git a/suite/MC/LoongArch/div.s.cs b/suite/MC/LoongArch/div.s.cs new file mode 100644 index 0000000000..4570324983 --- /dev/null +++ b/suite/MC/LoongArch/div.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x29,0x23,0xe0,0x74 = xvdiv.b $xr9, $xr25, $xr8 +0x32,0xec,0xe0,0x74 = xvdiv.h $xr18, $xr1, $xr27 +0x45,0x6f,0xe1,0x74 = xvdiv.w $xr5, $xr26, $xr27 +0x5b,0xb3,0xe1,0x74 = xvdiv.d $xr27, $xr26, $xr12 +0xc0,0x7a,0xe4,0x74 = xvdiv.bu $xr0, $xr22, $xr30 +0xff,0xe6,0xe4,0x74 = xvdiv.hu $xr31, $xr23, $xr25 +0x21,0x1f,0xe5,0x74 = xvdiv.wu $xr1, $xr25, $xr7 +0x27,0x9f,0xe5,0x74 = xvdiv.du $xr7, $xr25, $xr7 diff --git a/suite/MC/LoongArch/ext2xv.s.cs b/suite/MC/LoongArch/ext2xv.s.cs new file mode 100644 index 0000000000..f0cda7f6ce --- /dev/null +++ b/suite/MC/LoongArch/ext2xv.s.cs @@ -0,0 +1,13 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x7e,0x12,0x9f,0x76 = vext2xv.h.b $xr30, $xr19 +0xbb,0x14,0x9f,0x76 = vext2xv.w.b $xr27, $xr5 +0x39,0x1b,0x9f,0x76 = vext2xv.d.b $xr25, $xr25 +0x94,0x1e,0x9f,0x76 = vext2xv.w.h $xr20, $xr20 +0x68,0x22,0x9f,0x76 = vext2xv.d.h $xr8, $xr19 +0x24,0x27,0x9f,0x76 = vext2xv.d.w $xr4, $xr25 +0x99,0x29,0x9f,0x76 = vext2xv.hu.bu $xr25, $xr12 +0xbf,0x2d,0x9f,0x76 = vext2xv.wu.bu $xr31, $xr13 +0x2c,0x33,0x9f,0x76 = vext2xv.du.bu $xr12, $xr25 +0x97,0x35,0x9f,0x76 = vext2xv.wu.hu $xr23, $xr12 +0xd2,0x38,0x9f,0x76 = vext2xv.du.hu $xr18, $xr6 +0xaa,0x3e,0x9f,0x76 = vext2xv.du.wu $xr10, $xr21 diff --git a/suite/MC/LoongArch/exth.s.cs b/suite/MC/LoongArch/exth.s.cs new file mode 100644 index 0000000000..728abc7d05 --- /dev/null +++ b/suite/MC/LoongArch/exth.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x4f,0xe1,0x9e,0x76 = xvexth.h.b $xr15, $xr10 +0x7a,0xe5,0x9e,0x76 = xvexth.w.h $xr26, $xr11 +0x62,0xeb,0x9e,0x76 = xvexth.d.w $xr2, $xr27 +0x36,0xef,0x9e,0x76 = xvexth.q.d $xr22, $xr25 +0xd5,0xf3,0x9e,0x76 = xvexth.hu.bu $xr21, $xr30 +0x7c,0xf5,0x9e,0x76 = xvexth.wu.hu $xr28, $xr11 +0x3b,0xfb,0x9e,0x76 = xvexth.du.wu $xr27, $xr25 +0x90,0xff,0x9e,0x76 = xvexth.qu.du $xr16, $xr28 diff --git a/suite/MC/LoongArch/extl.s.cs b/suite/MC/LoongArch/extl.s.cs new file mode 100644 index 0000000000..0eb319c7cd --- /dev/null +++ b/suite/MC/LoongArch/extl.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x9d,0x01,0x09,0x77 = xvextl.q.d $xr29, $xr12 +0x9b,0x02,0x0d,0x77 = xvextl.qu.du $xr27, $xr20 diff --git a/suite/MC/LoongArch/extrins.s.cs b/suite/MC/LoongArch/extrins.s.cs new file mode 100644 index 0000000000..f0df73e45c --- /dev/null +++ b/suite/MC/LoongArch/extrins.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xfe,0xf2,0x8f,0x77 = xvextrins.b $xr30, $xr23, 0xfc +0xa0,0x21,0x8b,0x77 = xvextrins.h $xr0, $xr13, 0xc8 +0xae,0x62,0x86,0x77 = xvextrins.w $xr14, $xr21, 0x98 +0xdf,0x1f,0x82,0x77 = xvextrins.d $xr31, $xr30, 0x87 diff --git a/suite/MC/LoongArch/f-arith.s.cs b/suite/MC/LoongArch/f-arith.s.cs new file mode 100644 index 0000000000..b84c08d48d --- /dev/null +++ b/suite/MC/LoongArch/f-arith.s.cs @@ -0,0 +1,24 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xfd,0xe5,0x00,0x01 = fadd.s $fs5, $ft7, $fs1 +0xce,0xfc,0x02,0x01 = fsub.s $ft6, $fa6, $fs7 +0xe0,0xc4,0x04,0x01 = fmul.s $fa0, $fa7, $ft9 +0x14,0xcf,0x06,0x01 = fdiv.s $ft12, $fs0, $ft11 +0x03,0x8e,0x17,0x08 = fmadd.s $fa3, $ft8, $fa3, $ft7 +0x77,0x55,0x52,0x08 = fmsub.s $ft15, $ft3, $ft13, $fa4 +0x3d,0x60,0x9a,0x08 = fnmadd.s $fs5, $fa1, $fs0, $ft12 +0x88,0xe0,0xdc,0x08 = fnmsub.s $ft0, $fa4, $fs0, $fs1 +0xd6,0xec,0x08,0x01 = fmax.s $ft14, $fa6, $fs3 +0x4e,0xcd,0x0a,0x01 = fmin.s $ft6, $ft2, $ft11 +0x69,0xff,0x0c,0x01 = fmaxa.s $ft1, $fs3, $fs7 +0x4f,0x86,0x0e,0x01 = fmina.s $ft7, $ft10, $fa1 +0x9c,0x05,0x14,0x01 = fabs.s $fs4, $ft4 +0x15,0x17,0x14,0x01 = fneg.s $ft13, $fs0 +0x5b,0x46,0x14,0x01 = fsqrt.s $fs3, $ft10 +0x71,0x57,0x14,0x01 = frecip.s $ft9, $fs3 +0x00,0x74,0x14,0x01 = frecipe.s $fa0, $fa0 +0x99,0x65,0x14,0x01 = frsqrt.s $fs1, $ft4 +0x21,0x84,0x14,0x01 = frsqrte.s $fa1, $fa1 +0xf5,0x9a,0x10,0x01 = fscaleb.s $ft13, $ft15, $fa6 +0xff,0x26,0x14,0x01 = flogb.s $fs7, $ft15 +0x0d,0xdf,0x12,0x01 = fcopysign.s $ft5, $fs0, $ft15 +0x34,0x35,0x14,0x01 = fclass.s $ft12, $ft1 diff --git a/suite/MC/LoongArch/f-bound-check.s.cs b/suite/MC/LoongArch/f-bound-check.s.cs new file mode 100644 index 0000000000..0e2a1c1682 --- /dev/null +++ b/suite/MC/LoongArch/f-bound-check.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x63,0x37,0x74,0x38 = fldgt.s $fa3, $s4, $t1 +0xb8,0x47,0x75,0x38 = fldle.s $fs0, $s6, $t5 +0xbf,0x79,0x76,0x38 = fstgt.s $fs7, $t1, $s7 +0xad,0x1d,0x77,0x38 = fstle.s $ft5, $t1, $a3 diff --git a/suite/MC/LoongArch/f-branch.s.cs b/suite/MC/LoongArch/f-branch.s.cs new file mode 100644 index 0000000000..76b19e1ce5 --- /dev/null +++ b/suite/MC/LoongArch/f-branch.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xc0,0x0c,0x00,0x48 = bceqz $fcc6, 0xc +0xc0,0x49,0x00,0x48 = bcnez $fcc6, 0x48 diff --git a/suite/MC/LoongArch/f-comp.s.cs b/suite/MC/LoongArch/f-comp.s.cs new file mode 100644 index 0000000000..de333fff7d --- /dev/null +++ b/suite/MC/LoongArch/f-comp.s.cs @@ -0,0 +1,23 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x00,0x04,0x10,0x0c = fcmp.caf.s $fcc0, $fa0, $fa1 +0x00,0x04,0x14,0x0c = fcmp.cun.s $fcc0, $fa0, $fa1 +0x00,0x04,0x12,0x0c = fcmp.ceq.s $fcc0, $fa0, $fa1 +0x00,0x04,0x16,0x0c = fcmp.cueq.s $fcc0, $fa0, $fa1 +0x00,0x04,0x11,0x0c = fcmp.clt.s $fcc0, $fa0, $fa1 +0x00,0x04,0x15,0x0c = fcmp.cult.s $fcc0, $fa0, $fa1 +0x00,0x04,0x13,0x0c = fcmp.cle.s $fcc0, $fa0, $fa1 +0x00,0x04,0x17,0x0c = fcmp.cule.s $fcc0, $fa0, $fa1 +0x00,0x04,0x18,0x0c = fcmp.cne.s $fcc0, $fa0, $fa1 +0x00,0x04,0x1a,0x0c = fcmp.cor.s $fcc0, $fa0, $fa1 +0x00,0x04,0x1c,0x0c = fcmp.cune.s $fcc0, $fa0, $fa1 +0x00,0x84,0x10,0x0c = fcmp.saf.s $fcc0, $fa0, $fa1 +0x00,0x84,0x14,0x0c = fcmp.sun.s $fcc0, $fa0, $fa1 +0x00,0x84,0x12,0x0c = fcmp.seq.s $fcc0, $fa0, $fa1 +0x00,0x84,0x16,0x0c = fcmp.sueq.s $fcc0, $fa0, $fa1 +0x00,0x84,0x11,0x0c = fcmp.slt.s $fcc0, $fa0, $fa1 +0x00,0x84,0x15,0x0c = fcmp.sult.s $fcc0, $fa0, $fa1 +0x00,0x84,0x13,0x0c = fcmp.sle.s $fcc0, $fa0, $fa1 +0x00,0x84,0x17,0x0c = fcmp.sule.s $fcc0, $fa0, $fa1 +0x00,0x84,0x18,0x0c = fcmp.sne.s $fcc0, $fa0, $fa1 +0x00,0x84,0x1a,0x0c = fcmp.sor.s $fcc0, $fa0, $fa1 +0x00,0x84,0x1c,0x0c = fcmp.sune.s $fcc0, $fa0, $fa1 diff --git a/suite/MC/LoongArch/f-conv.s.cs b/suite/MC/LoongArch/f-conv.s.cs new file mode 100644 index 0000000000..c03baca6dd --- /dev/null +++ b/suite/MC/LoongArch/f-conv.s.cs @@ -0,0 +1,8 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xbe,0x10,0x1d,0x01 = ffint.s.w $fs6, $fa5 +0xb5,0x05,0x1b,0x01 = ftint.w.s $ft13, $ft5 +0x10,0x06,0x1a,0x01 = ftintrm.w.s $ft8, $ft8 +0xee,0x47,0x1a,0x01 = ftintrp.w.s $ft6, $fs7 +0xa4,0x87,0x1a,0x01 = ftintrz.w.s $fa4, $fs5 +0x24,0xc6,0x1a,0x01 = ftintrne.w.s $fa4, $ft9 +0x25,0x46,0x1e,0x01 = frint.s $fa5, $ft9 diff --git a/suite/MC/LoongArch/f-memory.s.cs b/suite/MC/LoongArch/f-memory.s.cs new file mode 100644 index 0000000000..1d2697a1d7 --- /dev/null +++ b/suite/MC/LoongArch/f-memory.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf7,0xe9,0x03,0x2b = fld.s $ft15, $t3, 0xfa +0x7e,0x9a,0x43,0x2b = fst.s $fs6, $t7, 0xe6 +0xe1,0x4d,0x30,0x38 = fldx.s $fa1, $t3, $t7 +0x7a,0x58,0x38,0x38 = fstx.s $fs2, $sp, $fp diff --git a/suite/MC/LoongArch/f-move.s.cs b/suite/MC/LoongArch/f-move.s.cs new file mode 100644 index 0000000000..0b656cebc1 --- /dev/null +++ b/suite/MC/LoongArch/f-move.s.cs @@ -0,0 +1,17 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xed,0x96,0x14,0x01 = fmov.s $ft5, $ft15 +0x92,0x56,0x02,0x0d = fsel $ft10, $ft12, $ft13, $fcc4 +0x46,0xa4,0x14,0x01 = movgr2fr.w $fa6, $tp +0xca,0xb6,0x14,0x01 = movfr2gr.s $a6, $ft14 +0x80,0xc0,0x14,0x01 = movgr2fcsr $fcsr0, $a0 +0x04,0xc8,0x14,0x01 = movfcsr2gr $a0, $fcsr0 +0x81,0xc0,0x14,0x01 = movgr2fcsr $fcsr1, $a0 +0x24,0xc8,0x14,0x01 = movfcsr2gr $a0, $fcsr1 +0x82,0xc0,0x14,0x01 = movgr2fcsr $fcsr2, $a0 +0x44,0xc8,0x14,0x01 = movfcsr2gr $a0, $fcsr2 +0x83,0xc0,0x14,0x01 = movgr2fcsr $fcsr3, $a0 +0x64,0xc8,0x14,0x01 = movfcsr2gr $a0, $fcsr3 +0x64,0xd1,0x14,0x01 = movfr2cf $fcc4, $ft3 +0x10,0xd4,0x14,0x01 = movcf2fr $ft8, $fcc0 +0x25,0xd8,0x14,0x01 = movgr2cf $fcc5, $ra +0xf5,0xdc,0x14,0x01 = movcf2gr $r21, $fcc7 diff --git a/suite/MC/LoongArch/fadd.s.cs b/suite/MC/LoongArch/fadd.s.cs new file mode 100644 index 0000000000..322dff08e9 --- /dev/null +++ b/suite/MC/LoongArch/fadd.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa6,0xbe,0x30,0x75 = xvfadd.s $xr6, $xr21, $xr15 +0x1b,0x05,0x31,0x75 = xvfadd.d $xr27, $xr8, $xr1 diff --git a/suite/MC/LoongArch/fclass.s.cs b/suite/MC/LoongArch/fclass.s.cs new file mode 100644 index 0000000000..d5ca4bed1e --- /dev/null +++ b/suite/MC/LoongArch/fclass.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xe3,0xd4,0x9c,0x76 = xvfclass.s $xr3, $xr7 +0x56,0xd9,0x9c,0x76 = xvfclass.d $xr22, $xr10 diff --git a/suite/MC/LoongArch/fcmp.s.cs b/suite/MC/LoongArch/fcmp.s.cs new file mode 100644 index 0000000000..23880a018c --- /dev/null +++ b/suite/MC/LoongArch/fcmp.s.cs @@ -0,0 +1,45 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x01,0x7d,0x90,0x0c = xvfcmp.caf.s $xr1, $xr8, $xr31 +0xf3,0x53,0xa0,0x0c = xvfcmp.caf.d $xr19, $xr31, $xr20 +0x28,0x75,0x94,0x0c = xvfcmp.cun.s $xr8, $xr9, $xr29 +0xd3,0x72,0xa4,0x0c = xvfcmp.cun.d $xr19, $xr22, $xr28 +0x20,0x00,0x92,0x0c = xvfcmp.ceq.s $xr0, $xr1, $xr0 +0xfd,0x52,0xa2,0x0c = xvfcmp.ceq.d $xr29, $xr23, $xr20 +0xa5,0x7d,0x96,0x0c = xvfcmp.cueq.s $xr5, $xr13, $xr31 +0xc4,0x1e,0xa6,0x0c = xvfcmp.cueq.d $xr4, $xr22, $xr7 +0x24,0x05,0x91,0x0c = xvfcmp.clt.s $xr4, $xr9, $xr1 +0x93,0x54,0xa1,0x0c = xvfcmp.clt.d $xr19, $xr4, $xr21 +0x2f,0x0e,0x95,0x0c = xvfcmp.cult.s $xr15, $xr17, $xr3 +0x34,0x1a,0xa5,0x0c = xvfcmp.cult.d $xr20, $xr17, $xr6 +0xd6,0x3e,0x93,0x0c = xvfcmp.cle.s $xr22, $xr22, $xr15 +0x35,0x33,0xa3,0x0c = xvfcmp.cle.d $xr21, $xr25, $xr12 +0x41,0x74,0x97,0x0c = xvfcmp.cule.s $xr1, $xr2, $xr29 +0xa0,0x2c,0xa7,0x0c = xvfcmp.cule.d $xr0, $xr5, $xr11 +0x27,0x6a,0x98,0x0c = xvfcmp.cne.s $xr7, $xr17, $xr26 +0x32,0x03,0xa8,0x0c = xvfcmp.cne.d $xr18, $xr25, $xr0 +0x41,0x38,0x9a,0x0c = xvfcmp.cor.s $xr1, $xr2, $xr14 +0x6c,0x5e,0xaa,0x0c = xvfcmp.cor.d $xr12, $xr19, $xr23 +0x35,0x12,0x9c,0x0c = xvfcmp.cune.s $xr21, $xr17, $xr4 +0xd4,0x33,0xac,0x0c = xvfcmp.cune.d $xr20, $xr30, $xr12 +0x77,0x89,0x90,0x0c = xvfcmp.saf.s $xr23, $xr11, $xr2 +0x87,0x9d,0xa0,0x0c = xvfcmp.saf.d $xr7, $xr12, $xr7 +0xe0,0xf8,0x94,0x0c = xvfcmp.sun.s $xr0, $xr7, $xr30 +0x64,0xf9,0xa4,0x0c = xvfcmp.sun.d $xr4, $xr11, $xr30 +0xef,0xee,0x92,0x0c = xvfcmp.seq.s $xr15, $xr23, $xr27 +0xcf,0x8e,0xa2,0x0c = xvfcmp.seq.d $xr15, $xr22, $xr3 +0x4c,0xa7,0x96,0x0c = xvfcmp.sueq.s $xr12, $xr26, $xr9 +0x45,0xc6,0xa6,0x0c = xvfcmp.sueq.d $xr5, $xr18, $xr17 +0x59,0xfe,0x91,0x0c = xvfcmp.slt.s $xr25, $xr18, $xr31 +0x51,0xe3,0xa1,0x0c = xvfcmp.slt.d $xr17, $xr26, $xr24 +0xe8,0xc9,0x95,0x0c = xvfcmp.sult.s $xr8, $xr15, $xr18 +0x84,0x94,0xa5,0x0c = xvfcmp.sult.d $xr4, $xr4, $xr5 +0xa1,0xc0,0x93,0x0c = xvfcmp.sle.s $xr1, $xr5, $xr16 +0x23,0xdc,0xa3,0x0c = xvfcmp.sle.d $xr3, $xr1, $xr23 +0x77,0x85,0x97,0x0c = xvfcmp.sule.s $xr23, $xr11, $xr1 +0x4b,0xc5,0xa7,0x0c = xvfcmp.sule.d $xr11, $xr10, $xr17 +0x9b,0xf9,0x98,0x0c = xvfcmp.sne.s $xr27, $xr12, $xr30 +0x94,0xc6,0xa8,0x0c = xvfcmp.sne.d $xr20, $xr20, $xr17 +0xab,0x89,0x9a,0x0c = xvfcmp.sor.s $xr11, $xr13, $xr2 +0x86,0x9b,0xaa,0x0c = xvfcmp.sor.d $xr6, $xr28, $xr6 +0x0b,0xa2,0x9c,0x0c = xvfcmp.sune.s $xr11, $xr16, $xr8 +0xbe,0xec,0xac,0x0c = xvfcmp.sune.d $xr30, $xr5, $xr27 diff --git a/suite/MC/LoongArch/fcvt.s.cs b/suite/MC/LoongArch/fcvt.s.cs new file mode 100644 index 0000000000..66e70989ca --- /dev/null +++ b/suite/MC/LoongArch/fcvt.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x29,0x5e,0x46,0x75 = xvfcvt.h.s $xr9, $xr17, $xr23 +0x5b,0xf5,0x46,0x75 = xvfcvt.s.d $xr27, $xr10, $xr29 diff --git a/suite/MC/LoongArch/fcvth.s.cs b/suite/MC/LoongArch/fcvth.s.cs new file mode 100644 index 0000000000..d8429a515e --- /dev/null +++ b/suite/MC/LoongArch/fcvth.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x29,0xef,0x9d,0x76 = xvfcvth.s.h $xr9, $xr25 +0x3d,0xf6,0x9d,0x76 = xvfcvth.d.s $xr29, $xr17 diff --git a/suite/MC/LoongArch/fcvtl.s.cs b/suite/MC/LoongArch/fcvtl.s.cs new file mode 100644 index 0000000000..8ba737d2f2 --- /dev/null +++ b/suite/MC/LoongArch/fcvtl.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xd0,0xe9,0x9d,0x76 = xvfcvtl.s.h $xr16, $xr14 +0xb8,0xf0,0x9d,0x76 = xvfcvtl.d.s $xr24, $xr5 diff --git a/suite/MC/LoongArch/fdiv.s.cs b/suite/MC/LoongArch/fdiv.s.cs new file mode 100644 index 0000000000..215a9c6812 --- /dev/null +++ b/suite/MC/LoongArch/fdiv.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xbd,0xb0,0x3a,0x75 = xvfdiv.s $xr29, $xr5, $xr12 +0x5f,0x79,0x3b,0x75 = xvfdiv.d $xr31, $xr10, $xr30 diff --git a/suite/MC/LoongArch/ffint.s.cs b/suite/MC/LoongArch/ffint.s.cs new file mode 100644 index 0000000000..fa45f26821 --- /dev/null +++ b/suite/MC/LoongArch/ffint.s.cs @@ -0,0 +1,8 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa3,0x00,0x9e,0x76 = xvffint.s.w $xr3, $xr5 +0x65,0x0a,0x9e,0x76 = xvffint.d.l $xr5, $xr19 +0x83,0x07,0x9e,0x76 = xvffint.s.wu $xr3, $xr28 +0xbf,0x0f,0x9e,0x76 = xvffint.d.lu $xr31, $xr29 +0xe2,0x10,0x9e,0x76 = xvffintl.d.w $xr2, $xr7 +0x87,0x17,0x9e,0x76 = xvffinth.d.w $xr7, $xr28 +0x6a,0x0f,0x48,0x75 = xvffint.s.l $xr10, $xr27, $xr3 diff --git a/suite/MC/LoongArch/flogb.s.cs b/suite/MC/LoongArch/flogb.s.cs new file mode 100644 index 0000000000..0eee34bae5 --- /dev/null +++ b/suite/MC/LoongArch/flogb.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x91,0xc5,0x9c,0x76 = xvflogb.s $xr17, $xr12 +0x3a,0xc8,0x9c,0x76 = xvflogb.d $xr26, $xr1 diff --git a/suite/MC/LoongArch/fmadd.s.cs b/suite/MC/LoongArch/fmadd.s.cs new file mode 100644 index 0000000000..74e821b24e --- /dev/null +++ b/suite/MC/LoongArch/fmadd.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xe5,0xff,0x1d,0x0a = xvfmadd.s $xr5, $xr31, $xr31, $xr27 +0x09,0xfe,0x2c,0x0a = xvfmadd.d $xr9, $xr16, $xr31, $xr25 diff --git a/suite/MC/LoongArch/fmax.s.cs b/suite/MC/LoongArch/fmax.s.cs new file mode 100644 index 0000000000..a4da421c83 --- /dev/null +++ b/suite/MC/LoongArch/fmax.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x1d,0xa3,0x3c,0x75 = xvfmax.s $xr29, $xr24, $xr8 +0x3f,0x5f,0x3d,0x75 = xvfmax.d $xr31, $xr25, $xr23 diff --git a/suite/MC/LoongArch/fmaxa.s.cs b/suite/MC/LoongArch/fmaxa.s.cs new file mode 100644 index 0000000000..e891ec5114 --- /dev/null +++ b/suite/MC/LoongArch/fmaxa.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x4f,0x96,0x40,0x75 = xvfmaxa.s $xr15, $xr18, $xr5 +0x82,0x76,0x41,0x75 = xvfmaxa.d $xr2, $xr20, $xr29 diff --git a/suite/MC/LoongArch/fmin.s.cs b/suite/MC/LoongArch/fmin.s.cs new file mode 100644 index 0000000000..3d86fe9fed --- /dev/null +++ b/suite/MC/LoongArch/fmin.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xbf,0xc0,0x3e,0x75 = xvfmin.s $xr31, $xr5, $xr16 +0xcd,0x67,0x3f,0x75 = xvfmin.d $xr13, $xr30, $xr25 diff --git a/suite/MC/LoongArch/fmina.s.cs b/suite/MC/LoongArch/fmina.s.cs new file mode 100644 index 0000000000..a6a650e97c --- /dev/null +++ b/suite/MC/LoongArch/fmina.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x7d,0xc7,0x42,0x75 = xvfmina.s $xr29, $xr27, $xr17 +0x8c,0x4a,0x43,0x75 = xvfmina.d $xr12, $xr20, $xr18 diff --git a/suite/MC/LoongArch/fmsub.s.cs b/suite/MC/LoongArch/fmsub.s.cs new file mode 100644 index 0000000000..420d65d897 --- /dev/null +++ b/suite/MC/LoongArch/fmsub.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x71,0x8c,0x5b,0x0a = xvfmsub.s $xr17, $xr3, $xr3, $xr23 +0xfe,0x41,0x67,0x0a = xvfmsub.d $xr30, $xr15, $xr16, $xr14 diff --git a/suite/MC/LoongArch/fmul.s.cs b/suite/MC/LoongArch/fmul.s.cs new file mode 100644 index 0000000000..0ee04a50c2 --- /dev/null +++ b/suite/MC/LoongArch/fmul.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xc9,0xf9,0x38,0x75 = xvfmul.s $xr9, $xr14, $xr30 +0x5c,0x4f,0x39,0x75 = xvfmul.d $xr28, $xr26, $xr19 diff --git a/suite/MC/LoongArch/fnmadd.s.cs b/suite/MC/LoongArch/fnmadd.s.cs new file mode 100644 index 0000000000..394343e61d --- /dev/null +++ b/suite/MC/LoongArch/fnmadd.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xce,0x5e,0x9c,0x0a = xvfnmadd.s $xr14, $xr22, $xr23, $xr24 +0xc1,0x5f,0xa6,0x0a = xvfnmadd.d $xr1, $xr30, $xr23, $xr12 diff --git a/suite/MC/LoongArch/fnmsub.s.cs b/suite/MC/LoongArch/fnmsub.s.cs new file mode 100644 index 0000000000..9a715a480e --- /dev/null +++ b/suite/MC/LoongArch/fnmsub.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xb6,0x90,0xd5,0x0a = xvfnmsub.s $xr22, $xr5, $xr4, $xr11 +0x08,0x74,0xee,0x0a = xvfnmsub.d $xr8, $xr0, $xr29, $xr28 diff --git a/suite/MC/LoongArch/frecip.s.cs b/suite/MC/LoongArch/frecip.s.cs new file mode 100644 index 0000000000..1bc4d437b4 --- /dev/null +++ b/suite/MC/LoongArch/frecip.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x03,0xf6,0x9c,0x76 = xvfrecip.s $xr3, $xr16 +0x11,0xfb,0x9c,0x76 = xvfrecip.d $xr17, $xr24 +0x03,0x16,0x9d,0x76 = xvfrecipe.s $xr3, $xr16 +0x11,0x1b,0x9d,0x76 = xvfrecipe.d $xr17, $xr24 diff --git a/suite/MC/LoongArch/frint.s.cs b/suite/MC/LoongArch/frint.s.cs new file mode 100644 index 0000000000..4b59b50a62 --- /dev/null +++ b/suite/MC/LoongArch/frint.s.cs @@ -0,0 +1,11 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x33,0x76,0x9d,0x76 = xvfrintrne.s $xr19, $xr17 +0xac,0x7b,0x9d,0x76 = xvfrintrne.d $xr12, $xr29 +0x2a,0x65,0x9d,0x76 = xvfrintrz.s $xr10, $xr9 +0xbd,0x68,0x9d,0x76 = xvfrintrz.d $xr29, $xr5 +0x1a,0x56,0x9d,0x76 = xvfrintrp.s $xr26, $xr16 +0x81,0x5b,0x9d,0x76 = xvfrintrp.d $xr1, $xr28 +0xbb,0x45,0x9d,0x76 = xvfrintrm.s $xr27, $xr13 +0x6e,0x4b,0x9d,0x76 = xvfrintrm.d $xr14, $xr27 +0x15,0x37,0x9d,0x76 = xvfrint.s $xr21, $xr24 +0x5f,0x3a,0x9d,0x76 = xvfrint.d $xr31, $xr18 diff --git a/suite/MC/LoongArch/frsqrt.s.cs b/suite/MC/LoongArch/frsqrt.s.cs new file mode 100644 index 0000000000..774c1c9ff0 --- /dev/null +++ b/suite/MC/LoongArch/frsqrt.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x3f,0x07,0x9d,0x76 = xvfrsqrt.s $xr31, $xr25 +0xce,0x0a,0x9d,0x76 = xvfrsqrt.d $xr14, $xr22 +0x3f,0x27,0x9d,0x76 = xvfrsqrte.s $xr31, $xr25 +0xce,0x2a,0x9d,0x76 = xvfrsqrte.d $xr14, $xr22 diff --git a/suite/MC/LoongArch/frstp.s.cs b/suite/MC/LoongArch/frstp.s.cs new file mode 100644 index 0000000000..0ff9a29c49 --- /dev/null +++ b/suite/MC/LoongArch/frstp.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x57,0x4a,0x2b,0x75 = xvfrstp.b $xr23, $xr18, $xr18 +0xcd,0x9b,0x2b,0x75 = xvfrstp.h $xr13, $xr30, $xr6 +0x98,0x7f,0x9a,0x76 = xvfrstpi.b $xr24, $xr28, 0x1f +0x16,0xcb,0x9a,0x76 = xvfrstpi.h $xr22, $xr24, 0x12 diff --git a/suite/MC/LoongArch/fsqrt.s.cs b/suite/MC/LoongArch/fsqrt.s.cs new file mode 100644 index 0000000000..4c1967cef4 --- /dev/null +++ b/suite/MC/LoongArch/fsqrt.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x64,0xe7,0x9c,0x76 = xvfsqrt.s $xr4, $xr27 +0x5a,0xe8,0x9c,0x76 = xvfsqrt.d $xr26, $xr2 diff --git a/suite/MC/LoongArch/fsub.s.cs b/suite/MC/LoongArch/fsub.s.cs new file mode 100644 index 0000000000..481735664e --- /dev/null +++ b/suite/MC/LoongArch/fsub.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x16,0x8c,0x32,0x75 = xvfsub.s $xr22, $xr0, $xr3 +0x24,0x3f,0x33,0x75 = xvfsub.d $xr4, $xr25, $xr15 diff --git a/suite/MC/LoongArch/ftint.s.cs b/suite/MC/LoongArch/ftint.s.cs new file mode 100644 index 0000000000..165daac843 --- /dev/null +++ b/suite/MC/LoongArch/ftint.s.cs @@ -0,0 +1,30 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xb4,0x51,0x9e,0x76 = xvftintrne.w.s $xr20, $xr13 +0xde,0x55,0x9e,0x76 = xvftintrne.l.d $xr30, $xr14 +0xae,0x48,0x9e,0x76 = xvftintrz.w.s $xr14, $xr5 +0x41,0x4f,0x9e,0x76 = xvftintrz.l.d $xr1, $xr26 +0x32,0x40,0x9e,0x76 = xvftintrp.w.s $xr18, $xr1 +0x0a,0x47,0x9e,0x76 = xvftintrp.l.d $xr10, $xr24 +0xe8,0x3a,0x9e,0x76 = xvftintrm.w.s $xr8, $xr23 +0x2c,0x3e,0x9e,0x76 = xvftintrm.l.d $xr12, $xr17 +0x2b,0x33,0x9e,0x76 = xvftint.w.s $xr11, $xr25 +0xc7,0x36,0x9e,0x76 = xvftint.l.d $xr7, $xr22 +0x6d,0x72,0x9e,0x76 = xvftintrz.wu.s $xr13, $xr19 +0x78,0x74,0x9e,0x76 = xvftintrz.lu.d $xr24, $xr3 +0xce,0x58,0x9e,0x76 = xvftint.wu.s $xr14, $xr6 +0x42,0x5c,0x9e,0x76 = xvftint.lu.d $xr2, $xr2 +0x8d,0x96,0x4b,0x75 = xvftintrne.w.d $xr13, $xr20, $xr5 +0x0d,0x6d,0x4b,0x75 = xvftintrz.w.d $xr13, $xr8, $xr27 +0x4e,0xff,0x4a,0x75 = xvftintrp.w.d $xr14, $xr26, $xr31 +0xfd,0x1e,0x4a,0x75 = xvftintrm.w.d $xr29, $xr23, $xr7 +0xc7,0xf6,0x49,0x75 = xvftint.w.d $xr7, $xr22, $xr29 +0x9f,0xa3,0x9e,0x76 = xvftintrnel.l.s $xr31, $xr28 +0xb0,0xa7,0x9e,0x76 = xvftintrneh.l.s $xr16, $xr29 +0xbb,0x9b,0x9e,0x76 = xvftintrzl.l.s $xr27, $xr29 +0x4e,0x9d,0x9e,0x76 = xvftintrzh.l.s $xr14, $xr10 +0x0e,0x90,0x9e,0x76 = xvftintrpl.l.s $xr14, $xr0 +0x17,0x94,0x9e,0x76 = xvftintrph.l.s $xr23, $xr0 +0xf6,0x89,0x9e,0x76 = xvftintrml.l.s $xr22, $xr15 +0x6a,0x8e,0x9e,0x76 = xvftintrmh.l.s $xr10, $xr19 +0x7f,0x81,0x9e,0x76 = xvftintl.l.s $xr31, $xr11 +0xaf,0x84,0x9e,0x76 = xvftinth.l.s $xr15, $xr5 diff --git a/suite/MC/LoongArch/haddw.s.cs b/suite/MC/LoongArch/haddw.s.cs new file mode 100644 index 0000000000..2fb81da8e9 --- /dev/null +++ b/suite/MC/LoongArch/haddw.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x7f,0x76,0x54,0x74 = xvhaddw.h.b $xr31, $xr19, $xr29 +0x1f,0xde,0x54,0x74 = xvhaddw.w.h $xr31, $xr16, $xr23 +0x3e,0x60,0x55,0x74 = xvhaddw.d.w $xr30, $xr1, $xr24 +0xf0,0xc5,0x55,0x74 = xvhaddw.q.d $xr16, $xr15, $xr17 +0x2e,0x0a,0x58,0x74 = xvhaddw.hu.bu $xr14, $xr17, $xr2 +0x55,0xa0,0x58,0x74 = xvhaddw.wu.hu $xr21, $xr2, $xr8 +0x06,0x4f,0x59,0x74 = xvhaddw.du.wu $xr6, $xr24, $xr19 +0x8a,0xb5,0x59,0x74 = xvhaddw.qu.du $xr10, $xr12, $xr13 diff --git a/suite/MC/LoongArch/hsubw.s.cs b/suite/MC/LoongArch/hsubw.s.cs new file mode 100644 index 0000000000..81112ea649 --- /dev/null +++ b/suite/MC/LoongArch/hsubw.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf6,0x40,0x56,0x74 = xvhsubw.h.b $xr22, $xr7, $xr16 +0x13,0xbd,0x56,0x74 = xvhsubw.w.h $xr19, $xr8, $xr15 +0xfe,0x4e,0x57,0x74 = xvhsubw.d.w $xr30, $xr23, $xr19 +0xb4,0xf1,0x57,0x74 = xvhsubw.q.d $xr20, $xr13, $xr28 +0x4a,0x40,0x5a,0x74 = xvhsubw.hu.bu $xr10, $xr2, $xr16 +0x41,0xcb,0x5a,0x74 = xvhsubw.wu.hu $xr1, $xr26, $xr18 +0xe5,0x52,0x5b,0x74 = xvhsubw.du.wu $xr5, $xr23, $xr20 +0x9f,0xa0,0x5b,0x74 = xvhsubw.qu.du $xr31, $xr4, $xr8 diff --git a/suite/MC/LoongArch/ilv.s.cs b/suite/MC/LoongArch/ilv.s.cs new file mode 100644 index 0000000000..56e560335c --- /dev/null +++ b/suite/MC/LoongArch/ilv.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xdd,0x01,0x1a,0x75 = xvilvl.b $xr29, $xr14, $xr0 +0x3e,0xd5,0x1a,0x75 = xvilvl.h $xr30, $xr9, $xr21 +0xd8,0x26,0x1b,0x75 = xvilvl.w $xr24, $xr22, $xr9 +0x99,0xaa,0x1b,0x75 = xvilvl.d $xr25, $xr20, $xr10 +0xd3,0x6a,0x1c,0x75 = xvilvh.b $xr19, $xr22, $xr26 +0xea,0x9e,0x1c,0x75 = xvilvh.h $xr10, $xr23, $xr7 +0x05,0x78,0x1d,0x75 = xvilvh.w $xr5, $xr0, $xr30 +0x58,0x88,0x1d,0x75 = xvilvh.d $xr24, $xr2, $xr2 diff --git a/suite/MC/LoongArch/insgr2vr.s.cs b/suite/MC/LoongArch/insgr2vr.s.cs new file mode 100644 index 0000000000..493a944281 --- /dev/null +++ b/suite/MC/LoongArch/insgr2vr.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xd9,0xdf,0xeb,0x76 = xvinsgr2vr.w $xr25, $s7, 7 +0xbb,0xe6,0xeb,0x76 = xvinsgr2vr.d $xr27, $r21, 1 diff --git a/suite/MC/LoongArch/insve0.s.cs b/suite/MC/LoongArch/insve0.s.cs new file mode 100644 index 0000000000..4489f7127b --- /dev/null +++ b/suite/MC/LoongArch/insve0.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x26,0xdc,0xff,0x76 = xvinsve0.w $xr6, $xr1, 7 +0x3c,0xe0,0xff,0x76 = xvinsve0.d $xr28, $xr1, 0 diff --git a/suite/MC/LoongArch/ld.s.cs b/suite/MC/LoongArch/ld.s.cs new file mode 100644 index 0000000000..901916038b --- /dev/null +++ b/suite/MC/LoongArch/ld.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x63,0xb8,0xb5,0x2c = xvld $xr3, $sp, -0x292 +0x37,0x39,0x48,0x38 = xvldx $xr23, $a5, $t2 diff --git a/suite/MC/LoongArch/ldrepl.s.cs b/suite/MC/LoongArch/ldrepl.s.cs new file mode 100644 index 0000000000..cf1852cdf2 --- /dev/null +++ b/suite/MC/LoongArch/ldrepl.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xb3,0x92,0x9d,0x32 = xvldrepl.b $xr19, $r21, 0x764 +0x20,0xc6,0x4d,0x32 = xvldrepl.h $xr0, $t5, 0x6e2 +0x4b,0x0f,0x2a,0x32 = xvldrepl.w $xr11, $s3, -0x5f4 +0x9c,0xdd,0x13,0x32 = xvldrepl.d $xr28, $t0, 0x7b8 diff --git a/suite/MC/LoongArch/lvz.s.cs b/suite/MC/LoongArch/lvz.s.cs new file mode 100644 index 0000000000..4772fc2afe --- /dev/null +++ b/suite/MC/LoongArch/lvz.s.cs @@ -0,0 +1,6 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x04,0x04,0x00,0x05 = gcsrrd $a0, 1 +0x24,0x04,0x00,0x05 = gcsrwr $a0, 1 +0xa4,0x04,0x00,0x05 = gcsrxchg $a0, $a1, 1 +0x01,0x24,0x48,0x06 = gtlbflush +0x01,0x80,0x2b,0x00 = hvcl 1 diff --git a/suite/MC/LoongArch/madd.s.cs b/suite/MC/LoongArch/madd.s.cs new file mode 100644 index 0000000000..fb754e68a1 --- /dev/null +++ b/suite/MC/LoongArch/madd.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xe5,0x23,0xa8,0x74 = xvmadd.b $xr5, $xr31, $xr8 +0x04,0xf0,0xa8,0x74 = xvmadd.h $xr4, $xr0, $xr28 +0xa2,0x61,0xa9,0x74 = xvmadd.w $xr2, $xr13, $xr24 +0x13,0xc9,0xa9,0x74 = xvmadd.d $xr19, $xr8, $xr18 diff --git a/suite/MC/LoongArch/maddw.s.cs b/suite/MC/LoongArch/maddw.s.cs new file mode 100644 index 0000000000..ec12f923d4 --- /dev/null +++ b/suite/MC/LoongArch/maddw.s.cs @@ -0,0 +1,25 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf9,0x25,0xac,0x74 = xvmaddwev.h.b $xr25, $xr15, $xr9 +0x3a,0x80,0xac,0x74 = xvmaddwev.w.h $xr26, $xr1, $xr0 +0x17,0x63,0xad,0x74 = xvmaddwev.d.w $xr23, $xr24, $xr24 +0x27,0xd9,0xad,0x74 = xvmaddwev.q.d $xr7, $xr9, $xr22 +0xb7,0x69,0xb4,0x74 = xvmaddwev.h.bu $xr23, $xr13, $xr26 +0x6d,0x8c,0xb4,0x74 = xvmaddwev.w.hu $xr13, $xr3, $xr3 +0x7d,0x73,0xb5,0x74 = xvmaddwev.d.wu $xr29, $xr27, $xr28 +0x5d,0xa9,0xb5,0x74 = xvmaddwev.q.du $xr29, $xr10, $xr10 +0x5e,0x7f,0xbc,0x74 = xvmaddwev.h.bu.b $xr30, $xr26, $xr31 +0x26,0xfe,0xbc,0x74 = xvmaddwev.w.hu.h $xr6, $xr17, $xr31 +0x8a,0x0b,0xbd,0x74 = xvmaddwev.d.wu.w $xr10, $xr28, $xr2 +0x90,0xe2,0xbd,0x74 = xvmaddwev.q.du.d $xr16, $xr20, $xr24 +0x10,0x49,0xae,0x74 = xvmaddwod.h.b $xr16, $xr8, $xr18 +0x0b,0xbb,0xae,0x74 = xvmaddwod.w.h $xr11, $xr24, $xr14 +0x80,0x36,0xaf,0x74 = xvmaddwod.d.w $xr0, $xr20, $xr13 +0xef,0xca,0xaf,0x74 = xvmaddwod.q.d $xr15, $xr23, $xr18 +0xff,0x1e,0xb6,0x74 = xvmaddwod.h.bu $xr31, $xr23, $xr7 +0x1d,0xa2,0xb6,0x74 = xvmaddwod.w.hu $xr29, $xr16, $xr8 +0x17,0x2e,0xb7,0x74 = xvmaddwod.d.wu $xr23, $xr16, $xr11 +0x49,0xcd,0xb7,0x74 = xvmaddwod.q.du $xr9, $xr10, $xr19 +0x5b,0x2c,0xbe,0x74 = xvmaddwod.h.bu.b $xr27, $xr2, $xr11 +0x0c,0xcf,0xbe,0x74 = xvmaddwod.w.hu.h $xr12, $xr24, $xr19 +0x0b,0x38,0xbf,0x74 = xvmaddwod.d.wu.w $xr11, $xr0, $xr14 +0x7d,0xfe,0xbf,0x74 = xvmaddwod.q.du.d $xr29, $xr19, $xr31 diff --git a/suite/MC/LoongArch/max.s.cs b/suite/MC/LoongArch/max.s.cs new file mode 100644 index 0000000000..3258ef57c0 --- /dev/null +++ b/suite/MC/LoongArch/max.s.cs @@ -0,0 +1,17 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x17,0x35,0x70,0x74 = xvmax.b $xr23, $xr8, $xr13 +0x4d,0xf2,0x70,0x74 = xvmax.h $xr13, $xr18, $xr28 +0x3a,0x08,0x71,0x74 = xvmax.w $xr26, $xr1, $xr2 +0x22,0xb6,0x71,0x74 = xvmax.d $xr2, $xr17, $xr13 +0xe6,0x04,0x90,0x76 = xvmaxi.b $xr6, $xr7, 1 +0x58,0xe5,0x90,0x76 = xvmaxi.h $xr24, $xr10, -7 +0x58,0x62,0x91,0x76 = xvmaxi.w $xr24, $xr18, -8 +0xb5,0xd4,0x91,0x76 = xvmaxi.d $xr21, $xr5, -0xb +0xdd,0x2f,0x74,0x74 = xvmax.bu $xr29, $xr30, $xr11 +0xe4,0xee,0x74,0x74 = xvmax.hu $xr4, $xr23, $xr27 +0x1f,0x00,0x75,0x74 = xvmax.wu $xr31, $xr0, $xr0 +0xc5,0xa6,0x75,0x74 = xvmax.du $xr5, $xr22, $xr9 +0x6c,0x73,0x94,0x76 = xvmaxi.bu $xr12, $xr27, 0x1c +0x99,0xc0,0x94,0x76 = xvmaxi.hu $xr25, $xr4, 0x10 +0xfb,0x54,0x95,0x76 = xvmaxi.wu $xr27, $xr7, 0x15 +0xbf,0xa5,0x95,0x76 = xvmaxi.du $xr31, $xr13, 0x9 diff --git a/suite/MC/LoongArch/memory.s.cs b/suite/MC/LoongArch/memory.s.cs new file mode 100644 index 0000000000..2de399ca64 --- /dev/null +++ b/suite/MC/LoongArch/memory.s.cs @@ -0,0 +1,10 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x18,0x55,0x00,0x28 = ld.b $s1, $a4, 0x15 +0x47,0x42,0x41,0x28 = ld.h $a3, $t6, 0x50 +0x52,0x73,0x81,0x28 = ld.w $t6, $s3, 0x5c +0xad,0x59,0x02,0x2a = ld.bu $t1, $t1, 0x96 +0xb2,0x1b,0x43,0x2a = ld.hu $t6, $s6, 0xc6 +0xe3,0x7c,0x01,0x29 = st.b $sp, $a3, 0x5f +0x19,0xea,0x41,0x29 = st.h $s2, $t4, 0x7a +0xad,0xbd,0x82,0x29 = st.w $t1, $t1, 0xaf +0x0a,0x5c,0xc0,0x2a = preld 0xa, $zero, 0x17 diff --git a/suite/MC/LoongArch/min.s.cs b/suite/MC/LoongArch/min.s.cs new file mode 100644 index 0000000000..0503608141 --- /dev/null +++ b/suite/MC/LoongArch/min.s.cs @@ -0,0 +1,17 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x55,0x1f,0x72,0x74 = xvmin.b $xr21, $xr26, $xr7 +0xbd,0xa4,0x72,0x74 = xvmin.h $xr29, $xr5, $xr9 +0x1f,0x53,0x73,0x74 = xvmin.w $xr31, $xr24, $xr20 +0x7b,0x8b,0x73,0x74 = xvmin.d $xr27, $xr27, $xr2 +0x36,0x26,0x92,0x76 = xvmini.b $xr22, $xr17, 0x9 +0xec,0xc6,0x92,0x76 = xvmini.h $xr12, $xr23, -0xf +0x21,0x4e,0x93,0x76 = xvmini.w $xr1, $xr17, -0xd +0xea,0xaf,0x93,0x76 = xvmini.d $xr10, $xr31, 0xb +0x0f,0x0e,0x76,0x74 = xvmin.bu $xr15, $xr16, $xr3 +0xe4,0xef,0x76,0x74 = xvmin.hu $xr4, $xr31, $xr27 +0xaf,0x71,0x77,0x74 = xvmin.wu $xr15, $xr13, $xr28 +0x7b,0x94,0x77,0x74 = xvmin.du $xr27, $xr3, $xr5 +0x06,0x1f,0x96,0x76 = xvmini.bu $xr6, $xr24, 7 +0xa8,0xf4,0x96,0x76 = xvmini.hu $xr8, $xr5, 0x1d +0xb1,0x4d,0x97,0x76 = xvmini.wu $xr17, $xr13, 0x13 +0xf0,0xfa,0x97,0x76 = xvmini.du $xr16, $xr23, 0x1e diff --git a/suite/MC/LoongArch/misc.s.cs b/suite/MC/LoongArch/misc.s.cs new file mode 100644 index 0000000000..48d2dcc7a0 --- /dev/null +++ b/suite/MC/LoongArch/misc.s.cs @@ -0,0 +1,6 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x64,0x00,0x2b,0x00 = syscall 0x64 +0xc7,0x00,0x2a,0x00 = break 0xc7 +0x98,0x60,0x00,0x00 = rdtimel.w $s1, $a0 +0xab,0x64,0x00,0x00 = rdtimeh.w $a7, $a1 +0x03,0x6d,0x00,0x00 = cpucfg $sp, $a4 diff --git a/suite/MC/LoongArch/mod.s.cs b/suite/MC/LoongArch/mod.s.cs new file mode 100644 index 0000000000..6edbb61d0c --- /dev/null +++ b/suite/MC/LoongArch/mod.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x68,0x00,0xe2,0x74 = xvmod.b $xr8, $xr3, $xr0 +0x22,0xf2,0xe2,0x74 = xvmod.h $xr2, $xr17, $xr28 +0x0e,0x35,0xe3,0x74 = xvmod.w $xr14, $xr8, $xr13 +0x4b,0xc9,0xe3,0x74 = xvmod.d $xr11, $xr10, $xr18 +0x30,0x68,0xe6,0x74 = xvmod.bu $xr16, $xr1, $xr26 +0xaf,0x81,0xe6,0x74 = xvmod.hu $xr15, $xr13, $xr0 +0x6b,0x52,0xe7,0x74 = xvmod.wu $xr11, $xr19, $xr20 +0x6e,0x98,0xe7,0x74 = xvmod.du $xr14, $xr3, $xr6 diff --git a/suite/MC/LoongArch/mskgez.s.cs b/suite/MC/LoongArch/mskgez.s.cs new file mode 100644 index 0000000000..831e2a64e3 --- /dev/null +++ b/suite/MC/LoongArch/mskgez.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xbe,0x50,0x9c,0x76 = xvmskgez.b $xr30, $xr5 diff --git a/suite/MC/LoongArch/mskltz.s.cs b/suite/MC/LoongArch/mskltz.s.cs new file mode 100644 index 0000000000..f5516ac0c8 --- /dev/null +++ b/suite/MC/LoongArch/mskltz.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xae,0x40,0x9c,0x76 = xvmskltz.b $xr14, $xr5 +0x2b,0x47,0x9c,0x76 = xvmskltz.h $xr11, $xr25 +0x6e,0x4b,0x9c,0x76 = xvmskltz.w $xr14, $xr27 +0xe7,0x4e,0x9c,0x76 = xvmskltz.d $xr7, $xr23 diff --git a/suite/MC/LoongArch/msknz.s.cs b/suite/MC/LoongArch/msknz.s.cs new file mode 100644 index 0000000000..e16babd8a0 --- /dev/null +++ b/suite/MC/LoongArch/msknz.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xd6,0x62,0x9c,0x76 = xvmsknz.b $xr22, $xr22 diff --git a/suite/MC/LoongArch/msub.s.cs b/suite/MC/LoongArch/msub.s.cs new file mode 100644 index 0000000000..17c170e77b --- /dev/null +++ b/suite/MC/LoongArch/msub.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x96,0x1e,0xaa,0x74 = xvmsub.b $xr22, $xr20, $xr7 +0x40,0xb2,0xaa,0x74 = xvmsub.h $xr0, $xr18, $xr12 +0xc3,0x76,0xab,0x74 = xvmsub.w $xr3, $xr22, $xr29 +0x4b,0x8b,0xab,0x74 = xvmsub.d $xr11, $xr26, $xr2 diff --git a/suite/MC/LoongArch/muh.s.cs b/suite/MC/LoongArch/muh.s.cs new file mode 100644 index 0000000000..23f747ade3 --- /dev/null +++ b/suite/MC/LoongArch/muh.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x04,0x11,0x86,0x74 = xvmuh.b $xr4, $xr8, $xr4 +0xe5,0xea,0x86,0x74 = xvmuh.h $xr5, $xr23, $xr26 +0x7c,0x64,0x87,0x74 = xvmuh.w $xr28, $xr3, $xr25 +0x06,0xa4,0x87,0x74 = xvmuh.d $xr6, $xr0, $xr9 +0x8f,0x62,0x88,0x74 = xvmuh.bu $xr15, $xr20, $xr24 +0x9c,0xed,0x88,0x74 = xvmuh.hu $xr28, $xr12, $xr27 +0xd9,0x28,0x89,0x74 = xvmuh.wu $xr25, $xr6, $xr10 +0x13,0xfd,0x89,0x74 = xvmuh.du $xr19, $xr8, $xr31 diff --git a/suite/MC/LoongArch/mul.s.cs b/suite/MC/LoongArch/mul.s.cs new file mode 100644 index 0000000000..835cbbaab2 --- /dev/null +++ b/suite/MC/LoongArch/mul.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf2,0x6c,0x84,0x74 = xvmul.b $xr18, $xr7, $xr27 +0xe9,0xca,0x84,0x74 = xvmul.h $xr9, $xr23, $xr18 +0x15,0x6d,0x85,0x74 = xvmul.w $xr21, $xr8, $xr27 +0xe0,0xa1,0x85,0x74 = xvmul.d $xr0, $xr15, $xr8 diff --git a/suite/MC/LoongArch/mulw.s.cs b/suite/MC/LoongArch/mulw.s.cs new file mode 100644 index 0000000000..6689d2a2ef --- /dev/null +++ b/suite/MC/LoongArch/mulw.s.cs @@ -0,0 +1,25 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xe2,0x40,0x90,0x74 = xvmulwev.h.b $xr2, $xr7, $xr16 +0x6c,0x99,0x90,0x74 = xvmulwev.w.h $xr12, $xr11, $xr6 +0x10,0x3f,0x91,0x74 = xvmulwev.d.w $xr16, $xr24, $xr15 +0x11,0x92,0x91,0x74 = xvmulwev.q.d $xr17, $xr16, $xr4 +0xf4,0x74,0x98,0x74 = xvmulwev.h.bu $xr20, $xr7, $xr29 +0x0d,0xc7,0x98,0x74 = xvmulwev.w.hu $xr13, $xr24, $xr17 +0x01,0x7b,0x99,0x74 = xvmulwev.d.wu $xr1, $xr24, $xr30 +0xc1,0xee,0x99,0x74 = xvmulwev.q.du $xr1, $xr22, $xr27 +0x8d,0x33,0xa0,0x74 = xvmulwev.h.bu.b $xr13, $xr28, $xr12 +0x1b,0x9e,0xa0,0x74 = xvmulwev.w.hu.h $xr27, $xr16, $xr7 +0xed,0x44,0xa1,0x74 = xvmulwev.d.wu.w $xr13, $xr7, $xr17 +0x89,0xbe,0xa1,0x74 = xvmulwev.q.du.d $xr9, $xr20, $xr15 +0x50,0x0a,0x92,0x74 = xvmulwod.h.b $xr16, $xr18, $xr2 +0x5e,0xdc,0x92,0x74 = xvmulwod.w.h $xr30, $xr2, $xr23 +0x7e,0x23,0x93,0x74 = xvmulwod.d.w $xr30, $xr27, $xr8 +0xb4,0xbe,0x93,0x74 = xvmulwod.q.d $xr20, $xr21, $xr15 +0x53,0x1f,0x9a,0x74 = xvmulwod.h.bu $xr19, $xr26, $xr7 +0x2e,0x9a,0x9a,0x74 = xvmulwod.w.hu $xr14, $xr17, $xr6 +0xd8,0x52,0x9b,0x74 = xvmulwod.d.wu $xr24, $xr22, $xr20 +0xfc,0x9f,0x9b,0x74 = xvmulwod.q.du $xr28, $xr31, $xr7 +0xf8,0x71,0xa2,0x74 = xvmulwod.h.bu.b $xr24, $xr15, $xr28 +0x18,0x85,0xa2,0x74 = xvmulwod.w.hu.h $xr24, $xr8, $xr1 +0x6a,0x04,0xa3,0x74 = xvmulwod.d.wu.w $xr10, $xr3, $xr1 +0xef,0x89,0xa3,0x74 = xvmulwod.q.du.d $xr15, $xr15, $xr2 diff --git a/suite/MC/LoongArch/neg.s.cs b/suite/MC/LoongArch/neg.s.cs new file mode 100644 index 0000000000..ae869cdd54 --- /dev/null +++ b/suite/MC/LoongArch/neg.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x97,0x30,0x9c,0x76 = xvneg.b $xr23, $xr4 +0xc8,0x35,0x9c,0x76 = xvneg.h $xr8, $xr14 +0xd7,0x39,0x9c,0x76 = xvneg.w $xr23, $xr14 +0x34,0x3e,0x9c,0x76 = xvneg.d $xr20, $xr17 diff --git a/suite/MC/LoongArch/nor.s.cs b/suite/MC/LoongArch/nor.s.cs new file mode 100644 index 0000000000..797038ff7d --- /dev/null +++ b/suite/MC/LoongArch/nor.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xe4,0x8e,0x27,0x75 = xvnor.v $xr4, $xr23, $xr3 diff --git a/suite/MC/LoongArch/nori.s.cs b/suite/MC/LoongArch/nori.s.cs new file mode 100644 index 0000000000..881bef96b9 --- /dev/null +++ b/suite/MC/LoongArch/nori.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x27,0x44,0xdf,0x77 = xvnori.b $xr7, $xr1, 0xd1 diff --git a/suite/MC/LoongArch/or.s.cs b/suite/MC/LoongArch/or.s.cs new file mode 100644 index 0000000000..633e2d5459 --- /dev/null +++ b/suite/MC/LoongArch/or.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa6,0xd7,0x26,0x75 = xvor.v $xr6, $xr29, $xr21 diff --git a/suite/MC/LoongArch/ori.s.cs b/suite/MC/LoongArch/ori.s.cs new file mode 100644 index 0000000000..521b41b473 --- /dev/null +++ b/suite/MC/LoongArch/ori.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x46,0xbc,0xd7,0x77 = xvori.b $xr6, $xr2, 0xef diff --git a/suite/MC/LoongArch/orn.s.cs b/suite/MC/LoongArch/orn.s.cs new file mode 100644 index 0000000000..66c1dda957 --- /dev/null +++ b/suite/MC/LoongArch/orn.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xb1,0x97,0x28,0x75 = xvorn.v $xr17, $xr29, $xr5 diff --git a/suite/MC/LoongArch/pack.s.cs b/suite/MC/LoongArch/pack.s.cs new file mode 100644 index 0000000000..bf37fac11a --- /dev/null +++ b/suite/MC/LoongArch/pack.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x55,0x20,0x16,0x75 = xvpackev.b $xr21, $xr2, $xr8 +0x48,0x9a,0x16,0x75 = xvpackev.h $xr8, $xr18, $xr6 +0xc0,0x78,0x17,0x75 = xvpackev.w $xr0, $xr6, $xr30 +0x20,0x91,0x17,0x75 = xvpackev.d $xr0, $xr9, $xr4 +0xbc,0x7f,0x18,0x75 = xvpackod.b $xr28, $xr29, $xr31 +0x4e,0x99,0x18,0x75 = xvpackod.h $xr14, $xr10, $xr6 +0xb6,0x0a,0x19,0x75 = xvpackod.w $xr22, $xr21, $xr2 +0x32,0x89,0x19,0x75 = xvpackod.d $xr18, $xr9, $xr2 diff --git a/suite/MC/LoongArch/pcnt.s.cs b/suite/MC/LoongArch/pcnt.s.cs new file mode 100644 index 0000000000..8cdb05dd84 --- /dev/null +++ b/suite/MC/LoongArch/pcnt.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x68,0x23,0x9c,0x76 = xvpcnt.b $xr8, $xr27 +0x8c,0x24,0x9c,0x76 = xvpcnt.h $xr12, $xr4 +0xff,0x2a,0x9c,0x76 = xvpcnt.w $xr31, $xr23 +0x9a,0x2d,0x9c,0x76 = xvpcnt.d $xr26, $xr12 diff --git a/suite/MC/LoongArch/perm.s.cs b/suite/MC/LoongArch/perm.s.cs new file mode 100644 index 0000000000..01241951ad --- /dev/null +++ b/suite/MC/LoongArch/perm.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf8,0x42,0x7d,0x75 = xvperm.w $xr24, $xr23, $xr16 diff --git a/suite/MC/LoongArch/permi.s.cs b/suite/MC/LoongArch/permi.s.cs new file mode 100644 index 0000000000..917b9f454a --- /dev/null +++ b/suite/MC/LoongArch/permi.s.cs @@ -0,0 +1,4 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x87,0x95,0xe5,0x77 = xvpermi.w $xr7, $xr12, 0x65 +0xd1,0x0c,0xea,0x77 = xvpermi.d $xr17, $xr6, 0x83 +0xea,0xe1,0xee,0x77 = xvpermi.q $xr10, $xr15, 0xb8 diff --git a/suite/MC/LoongArch/pick.s.cs b/suite/MC/LoongArch/pick.s.cs new file mode 100644 index 0000000000..f1ea6eef96 --- /dev/null +++ b/suite/MC/LoongArch/pick.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x76,0x1b,0x1e,0x75 = xvpickev.b $xr22, $xr27, $xr6 +0x6e,0x8d,0x1e,0x75 = xvpickev.h $xr14, $xr11, $xr3 +0x9e,0x37,0x1f,0x75 = xvpickev.w $xr30, $xr28, $xr13 +0x01,0xa7,0x1f,0x75 = xvpickev.d $xr1, $xr24, $xr9 +0xce,0x3e,0x20,0x75 = xvpickod.b $xr14, $xr22, $xr15 +0xbf,0xb2,0x20,0x75 = xvpickod.h $xr31, $xr21, $xr12 +0x1f,0x78,0x21,0x75 = xvpickod.w $xr31, $xr0, $xr30 +0xaa,0xc0,0x21,0x75 = xvpickod.d $xr10, $xr5, $xr16 diff --git a/suite/MC/LoongArch/pickve.s.cs b/suite/MC/LoongArch/pickve.s.cs new file mode 100644 index 0000000000..f44d54d394 --- /dev/null +++ b/suite/MC/LoongArch/pickve.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x99,0xc7,0x03,0x77 = xvpickve.w $xr25, $xr28, 1 +0x2d,0xe0,0x03,0x77 = xvpickve.d $xr13, $xr1, 0 diff --git a/suite/MC/LoongArch/pickve2gr.s.cs b/suite/MC/LoongArch/pickve2gr.s.cs new file mode 100644 index 0000000000..914ae4d5e7 --- /dev/null +++ b/suite/MC/LoongArch/pickve2gr.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x6e,0xd9,0xef,0x76 = xvpickve2gr.w $t2, $xr11, 6 +0xc8,0xe0,0xef,0x76 = xvpickve2gr.d $a4, $xr6, 0 +0x2c,0xd0,0xf3,0x76 = xvpickve2gr.wu $t0, $xr1, 4 +0x0a,0xe1,0xf3,0x76 = xvpickve2gr.du $a6, $xr8, 0 diff --git a/suite/MC/LoongArch/pseudos.s.cs b/suite/MC/LoongArch/pseudos.s.cs new file mode 100644 index 0000000000..d4dbb4695a --- /dev/null +++ b/suite/MC/LoongArch/pseudos.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x00,0x00,0x40,0x03 = nop +0x28,0x01,0x15,0x00 = move $a4, $a5 diff --git a/suite/MC/LoongArch/repl128vei.s.cs b/suite/MC/LoongArch/repl128vei.s.cs new file mode 100644 index 0000000000..62f135cd16 --- /dev/null +++ b/suite/MC/LoongArch/repl128vei.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x6a,0x8a,0xf7,0x76 = xvrepl128vei.b $xr10, $xr19, 2 +0x66,0xca,0xf7,0x76 = xvrepl128vei.h $xr6, $xr19, 2 +0xab,0xe5,0xf7,0x76 = xvrepl128vei.w $xr11, $xr13, 1 +0xff,0xf2,0xf7,0x76 = xvrepl128vei.d $xr31, $xr23, 0 diff --git a/suite/MC/LoongArch/replgr2vr.s.cs b/suite/MC/LoongArch/replgr2vr.s.cs new file mode 100644 index 0000000000..429729ca1e --- /dev/null +++ b/suite/MC/LoongArch/replgr2vr.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x10,0x02,0x9f,0x76 = xvreplgr2vr.b $xr16, $t4 +0xc7,0x06,0x9f,0x76 = xvreplgr2vr.h $xr7, $fp +0xe4,0x09,0x9f,0x76 = xvreplgr2vr.w $xr4, $t3 +0x10,0x0f,0x9f,0x76 = xvreplgr2vr.d $xr16, $s1 diff --git a/suite/MC/LoongArch/replve.s.cs b/suite/MC/LoongArch/replve.s.cs new file mode 100644 index 0000000000..7e29daa3c9 --- /dev/null +++ b/suite/MC/LoongArch/replve.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x14,0x2e,0x22,0x75 = xvreplve.b $xr20, $xr16, $a7 +0xa0,0xe2,0x22,0x75 = xvreplve.h $xr0, $xr21, $s1 +0x54,0x4a,0x23,0x75 = xvreplve.w $xr20, $xr18, $t6 +0x64,0xdc,0x23,0x75 = xvreplve.d $xr4, $xr3, $s0 diff --git a/suite/MC/LoongArch/replve0.s.cs b/suite/MC/LoongArch/replve0.s.cs new file mode 100644 index 0000000000..f2459057bc --- /dev/null +++ b/suite/MC/LoongArch/replve0.s.cs @@ -0,0 +1,6 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x8b,0x02,0x07,0x77 = xvreplve0.b $xr11, $xr20 +0x4d,0x83,0x07,0x77 = xvreplve0.h $xr13, $xr26 +0x88,0xc1,0x07,0x77 = xvreplve0.w $xr8, $xr12 +0x94,0xe0,0x07,0x77 = xvreplve0.d $xr20, $xr4 +0x91,0xf2,0x07,0x77 = xvreplve0.q $xr17, $xr20 diff --git a/suite/MC/LoongArch/replvei.s.cs b/suite/MC/LoongArch/replvei.s.cs new file mode 100644 index 0000000000..ac3819a60e --- /dev/null +++ b/suite/MC/LoongArch/replvei.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x77,0x8c,0xf7,0x72 = vreplvei.b $vr23, $vr3, 3 +0x1b,0xc2,0xf7,0x72 = vreplvei.h $vr27, $vr16, 0 +0xf2,0xee,0xf7,0x72 = vreplvei.w $vr18, $vr23, 3 +0x8f,0xf5,0xf7,0x72 = vreplvei.d $vr15, $vr12, 1 diff --git a/suite/MC/LoongArch/rotr.s.cs b/suite/MC/LoongArch/rotr.s.cs new file mode 100644 index 0000000000..d7d35d58f5 --- /dev/null +++ b/suite/MC/LoongArch/rotr.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xc0,0x78,0xee,0x74 = xvrotr.b $xr0, $xr6, $xr30 +0x33,0xaa,0xee,0x74 = xvrotr.h $xr19, $xr17, $xr10 +0x52,0x1c,0xef,0x74 = xvrotr.w $xr18, $xr2, $xr7 +0xeb,0xae,0xef,0x74 = xvrotr.d $xr11, $xr23, $xr11 +0xa1,0x2c,0xa0,0x76 = xvrotri.b $xr1, $xr5, 3 +0x21,0x4e,0xa0,0x76 = xvrotri.h $xr1, $xr17, 3 +0xf9,0xce,0xa0,0x76 = xvrotri.w $xr25, $xr23, 0x13 +0x07,0x97,0xa1,0x76 = xvrotri.d $xr7, $xr24, 0x25 diff --git a/suite/MC/LoongArch/sadd.s.cs b/suite/MC/LoongArch/sadd.s.cs new file mode 100644 index 0000000000..88f2bcfe82 --- /dev/null +++ b/suite/MC/LoongArch/sadd.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xdb,0x5b,0x46,0x74 = xvsadd.b $xr27, $xr30, $xr22 +0x1d,0x84,0x46,0x74 = xvsadd.h $xr29, $xr0, $xr1 +0x96,0x7f,0x47,0x74 = xvsadd.w $xr22, $xr28, $xr31 +0x45,0xea,0x47,0x74 = xvsadd.d $xr5, $xr18, $xr26 +0x9d,0x72,0x4a,0x74 = xvsadd.bu $xr29, $xr20, $xr28 +0x07,0x9a,0x4a,0x74 = xvsadd.hu $xr7, $xr16, $xr6 +0x42,0x3d,0x4b,0x74 = xvsadd.wu $xr2, $xr10, $xr15 +0x12,0xbb,0x4b,0x74 = xvsadd.du $xr18, $xr24, $xr14 diff --git a/suite/MC/LoongArch/sat.s.cs b/suite/MC/LoongArch/sat.s.cs new file mode 100644 index 0000000000..f427f7de96 --- /dev/null +++ b/suite/MC/LoongArch/sat.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf6,0x28,0x24,0x77 = xvsat.b $xr22, $xr7, 2 +0x03,0x54,0x24,0x77 = xvsat.h $xr3, $xr0, 5 +0x09,0x82,0x24,0x77 = xvsat.w $xr9, $xr16, 0 +0x03,0x05,0x25,0x77 = xvsat.d $xr3, $xr8, 1 +0xc6,0x30,0x28,0x77 = xvsat.bu $xr6, $xr6, 4 +0x2c,0x73,0x28,0x77 = xvsat.hu $xr12, $xr25, 0xc +0x34,0x8c,0x28,0x77 = xvsat.wu $xr20, $xr1, 3 +0x85,0x1e,0x29,0x77 = xvsat.du $xr5, $xr20, 7 diff --git a/suite/MC/LoongArch/scr.s.cs b/suite/MC/LoongArch/scr.s.cs new file mode 100644 index 0000000000..5bbb9c85ac --- /dev/null +++ b/suite/MC/LoongArch/scr.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa0,0x08,0x00,0x00 = movgr2scr $scr0, $a1 +0x24,0x0c,0x00,0x00 = movscr2gr $a0, $scr1 +0x00,0x66,0x00,0x48 = jiscr0 0x64 +0x00,0x67,0x00,0x48 = jiscr1 0x64 diff --git a/suite/MC/LoongArch/seq.s.cs b/suite/MC/LoongArch/seq.s.cs new file mode 100644 index 0000000000..834cea6408 --- /dev/null +++ b/suite/MC/LoongArch/seq.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x83,0x4c,0x00,0x74 = xvseq.b $xr3, $xr4, $xr19 +0xa0,0x96,0x00,0x74 = xvseq.h $xr0, $xr21, $xr5 +0x06,0x4e,0x01,0x74 = xvseq.w $xr6, $xr16, $xr19 +0xa8,0xb5,0x01,0x74 = xvseq.d $xr8, $xr13, $xr13 +0x2c,0x03,0x80,0x76 = xvseqi.b $xr12, $xr25, 0 +0x89,0xa8,0x80,0x76 = xvseqi.h $xr9, $xr4, 0xa +0x99,0x50,0x81,0x76 = xvseqi.w $xr25, $xr4, -0xc +0xeb,0x9c,0x81,0x76 = xvseqi.d $xr11, $xr7, 7 diff --git a/suite/MC/LoongArch/set.s.cs b/suite/MC/LoongArch/set.s.cs new file mode 100644 index 0000000000..2fc0cc178f --- /dev/null +++ b/suite/MC/LoongArch/set.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x27,0x98,0x9c,0x76 = xvseteqz.v $fcc7, $xr1 +0xa7,0x9d,0x9c,0x76 = xvsetnez.v $fcc7, $xr13 diff --git a/suite/MC/LoongArch/setallnez.s.cs b/suite/MC/LoongArch/setallnez.s.cs new file mode 100644 index 0000000000..d75c21da5b --- /dev/null +++ b/suite/MC/LoongArch/setallnez.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa5,0xb3,0x9c,0x76 = xvsetallnez.b $fcc5, $xr29 +0x85,0xb4,0x9c,0x76 = xvsetallnez.h $fcc5, $xr4 +0xa4,0xb8,0x9c,0x76 = xvsetallnez.w $fcc4, $xr5 +0x87,0xbe,0x9c,0x76 = xvsetallnez.d $fcc7, $xr20 diff --git a/suite/MC/LoongArch/setanyeqz.s.cs b/suite/MC/LoongArch/setanyeqz.s.cs new file mode 100644 index 0000000000..9c57a38643 --- /dev/null +++ b/suite/MC/LoongArch/setanyeqz.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x05,0xa1,0x9c,0x76 = xvsetanyeqz.b $fcc5, $xr8 +0x85,0xa6,0x9c,0x76 = xvsetanyeqz.h $fcc5, $xr20 +0xc7,0xa8,0x9c,0x76 = xvsetanyeqz.w $fcc7, $xr6 +0x26,0xae,0x9c,0x76 = xvsetanyeqz.d $fcc6, $xr17 diff --git a/suite/MC/LoongArch/shuf.s.cs b/suite/MC/LoongArch/shuf.s.cs new file mode 100644 index 0000000000..85bc8b2269 --- /dev/null +++ b/suite/MC/LoongArch/shuf.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xd4,0xac,0x67,0x0d = xvshuf.b $xr20, $xr6, $xr11, $xr15 +0x1d,0x87,0x7a,0x75 = xvshuf.h $xr29, $xr24, $xr1 +0x0f,0x77,0x7b,0x75 = xvshuf.w $xr15, $xr24, $xr29 +0x5b,0xbe,0x7b,0x75 = xvshuf.d $xr27, $xr18, $xr15 diff --git a/suite/MC/LoongArch/shuf4i.s.cs b/suite/MC/LoongArch/shuf4i.s.cs new file mode 100644 index 0000000000..39830b1bf8 --- /dev/null +++ b/suite/MC/LoongArch/shuf4i.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x95,0xa3,0x92,0x77 = xvshuf4i.b $xr21, $xr28, 0xa8 +0x72,0x58,0x94,0x77 = xvshuf4i.h $xr18, $xr3, 0x16 +0x20,0x4b,0x99,0x77 = xvshuf4i.w $xr0, $xr25, 0x52 +0x98,0x8c,0x9d,0x77 = xvshuf4i.d $xr24, $xr4, 0x63 diff --git a/suite/MC/LoongArch/signcov.s.cs b/suite/MC/LoongArch/signcov.s.cs new file mode 100644 index 0000000000..9761bface3 --- /dev/null +++ b/suite/MC/LoongArch/signcov.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x01,0x37,0x2e,0x75 = xvsigncov.b $xr1, $xr24, $xr13 +0xe8,0xba,0x2e,0x75 = xvsigncov.h $xr8, $xr23, $xr14 +0x23,0x2b,0x2f,0x75 = xvsigncov.w $xr3, $xr25, $xr10 +0x3a,0xfe,0x2f,0x75 = xvsigncov.d $xr26, $xr17, $xr31 diff --git a/suite/MC/LoongArch/sle.s.cs b/suite/MC/LoongArch/sle.s.cs new file mode 100644 index 0000000000..9f097af4a8 --- /dev/null +++ b/suite/MC/LoongArch/sle.s.cs @@ -0,0 +1,17 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xd8,0x77,0x02,0x74 = xvsle.b $xr24, $xr30, $xr29 +0xb7,0xd1,0x02,0x74 = xvsle.h $xr23, $xr13, $xr20 +0xea,0x63,0x03,0x74 = xvsle.w $xr10, $xr31, $xr24 +0x4d,0xa3,0x03,0x74 = xvsle.d $xr13, $xr26, $xr8 +0x6e,0x59,0x82,0x76 = xvslei.b $xr14, $xr11, -0xa +0xc2,0xbe,0x82,0x76 = xvslei.h $xr2, $xr22, 0xf +0xc3,0x31,0x83,0x76 = xvslei.w $xr3, $xr14, 0xc +0xd3,0xab,0x83,0x76 = xvslei.d $xr19, $xr30, 0xa +0x69,0x0b,0x04,0x74 = xvsle.bu $xr9, $xr27, $xr2 +0x3d,0xdb,0x04,0x74 = xvsle.hu $xr29, $xr25, $xr22 +0x30,0x3b,0x05,0x74 = xvsle.wu $xr16, $xr25, $xr14 +0xc5,0xc8,0x05,0x74 = xvsle.du $xr5, $xr6, $xr18 +0x51,0x2b,0x84,0x76 = xvslei.bu $xr17, $xr26, 0xa +0x74,0xc9,0x84,0x76 = xvslei.hu $xr20, $xr11, 0x12 +0xa1,0x2b,0x85,0x76 = xvslei.wu $xr1, $xr29, 0xa +0xf9,0xe3,0x85,0x76 = xvslei.du $xr25, $xr31, 0x18 diff --git a/suite/MC/LoongArch/sll.s.cs b/suite/MC/LoongArch/sll.s.cs new file mode 100644 index 0000000000..5ee30f13aa --- /dev/null +++ b/suite/MC/LoongArch/sll.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa8,0x27,0xe8,0x74 = xvsll.b $xr8, $xr29, $xr9 +0x95,0xf7,0xe8,0x74 = xvsll.h $xr21, $xr28, $xr29 +0xd1,0x2b,0xe9,0x74 = xvsll.w $xr17, $xr30, $xr10 +0xd3,0xe8,0xe9,0x74 = xvsll.d $xr19, $xr6, $xr26 +0x59,0x27,0x2c,0x77 = xvslli.b $xr25, $xr26, 1 +0x91,0x7b,0x2c,0x77 = xvslli.h $xr17, $xr28, 0xe +0xfa,0xf7,0x2c,0x77 = xvslli.w $xr26, $xr31, 0x1d +0x8a,0xbb,0x2d,0x77 = xvslli.d $xr10, $xr28, 0x2e diff --git a/suite/MC/LoongArch/sllwil.s.cs b/suite/MC/LoongArch/sllwil.s.cs new file mode 100644 index 0000000000..7b9335de70 --- /dev/null +++ b/suite/MC/LoongArch/sllwil.s.cs @@ -0,0 +1,7 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xad,0x3a,0x08,0x77 = xvsllwil.h.b $xr13, $xr21, 6 +0xb4,0x43,0x08,0x77 = xvsllwil.w.h $xr20, $xr29, 0 +0x83,0xe2,0x08,0x77 = xvsllwil.d.w $xr3, $xr20, 0x18 +0xef,0x39,0x0c,0x77 = xvsllwil.hu.bu $xr15, $xr15, 6 +0xb6,0x43,0x0c,0x77 = xvsllwil.wu.hu $xr22, $xr29, 0 +0xa3,0xfc,0x0c,0x77 = xvsllwil.du.wu $xr3, $xr5, 0x1f diff --git a/suite/MC/LoongArch/slt.s.cs b/suite/MC/LoongArch/slt.s.cs new file mode 100644 index 0000000000..ff1cf4565f --- /dev/null +++ b/suite/MC/LoongArch/slt.s.cs @@ -0,0 +1,17 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xfe,0x37,0x06,0x74 = xvslt.b $xr30, $xr31, $xr13 +0xf3,0x82,0x06,0x74 = xvslt.h $xr19, $xr23, $xr0 +0x57,0x0f,0x07,0x74 = xvslt.w $xr23, $xr26, $xr3 +0x43,0xfd,0x07,0x74 = xvslt.d $xr3, $xr10, $xr31 +0x7f,0x1b,0x86,0x76 = xvslti.b $xr31, $xr27, 6 +0x65,0x9a,0x86,0x76 = xvslti.h $xr5, $xr19, 6 +0x14,0x2d,0x87,0x76 = xvslti.w $xr20, $xr8, 0xb +0x4d,0x8a,0x87,0x76 = xvslti.d $xr13, $xr18, 2 +0xb4,0x75,0x08,0x74 = xvslt.bu $xr20, $xr13, $xr29 +0xac,0xeb,0x08,0x74 = xvslt.hu $xr12, $xr29, $xr26 +0x3a,0x7f,0x09,0x74 = xvslt.wu $xr26, $xr25, $xr31 +0x9e,0x8e,0x09,0x74 = xvslt.du $xr30, $xr20, $xr3 +0x81,0x08,0x88,0x76 = xvslti.bu $xr1, $xr4, 2 +0xa0,0xd0,0x88,0x76 = xvslti.hu $xr0, $xr5, 0x14 +0x20,0x63,0x89,0x76 = xvslti.wu $xr0, $xr25, 0x18 +0xaa,0xf4,0x89,0x76 = xvslti.du $xr10, $xr5, 0x1d diff --git a/suite/MC/LoongArch/sra.s.cs b/suite/MC/LoongArch/sra.s.cs new file mode 100644 index 0000000000..1deab637f1 --- /dev/null +++ b/suite/MC/LoongArch/sra.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x4b,0x00,0xec,0x74 = xvsra.b $xr11, $xr2, $xr0 +0x71,0x9b,0xec,0x74 = xvsra.h $xr17, $xr27, $xr6 +0x8d,0x31,0xed,0x74 = xvsra.w $xr13, $xr12, $xr12 +0xe6,0x85,0xed,0x74 = xvsra.d $xr6, $xr15, $xr1 +0x50,0x2c,0x34,0x77 = xvsrai.b $xr16, $xr2, 3 +0x6e,0x70,0x34,0x77 = xvsrai.h $xr14, $xr3, 0xc +0x51,0xd6,0x34,0x77 = xvsrai.w $xr17, $xr18, 0x15 +0x8a,0x12,0x35,0x77 = xvsrai.d $xr10, $xr20, 4 diff --git a/suite/MC/LoongArch/sran.s.cs b/suite/MC/LoongArch/sran.s.cs new file mode 100644 index 0000000000..c438e48155 --- /dev/null +++ b/suite/MC/LoongArch/sran.s.cs @@ -0,0 +1,4 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xbe,0x8d,0xf6,0x74 = xvsran.b.h $xr30, $xr13, $xr3 +0x52,0x13,0xf7,0x74 = xvsran.h.w $xr18, $xr26, $xr4 +0x7b,0xd6,0xf7,0x74 = xvsran.w.d $xr27, $xr19, $xr21 diff --git a/suite/MC/LoongArch/srani.s.cs b/suite/MC/LoongArch/srani.s.cs new file mode 100644 index 0000000000..3cb57244ca --- /dev/null +++ b/suite/MC/LoongArch/srani.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xee,0x7e,0x58,0x77 = xvsrani.b.h $xr14, $xr23, 0xf +0x02,0x95,0x58,0x77 = xvsrani.h.w $xr2, $xr8, 5 +0x65,0x39,0x59,0x77 = xvsrani.w.d $xr5, $xr11, 0xe +0xf1,0xc4,0x5b,0x77 = xvsrani.d.q $xr17, $xr7, 0x71 diff --git a/suite/MC/LoongArch/srar.s.cs b/suite/MC/LoongArch/srar.s.cs new file mode 100644 index 0000000000..028e044d70 --- /dev/null +++ b/suite/MC/LoongArch/srar.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x49,0x2e,0xf2,0x74 = xvsrar.b $xr9, $xr18, $xr11 +0x4f,0x87,0xf2,0x74 = xvsrar.h $xr15, $xr26, $xr1 +0x71,0x3a,0xf3,0x74 = xvsrar.w $xr17, $xr19, $xr14 +0xf3,0x99,0xf3,0x74 = xvsrar.d $xr19, $xr15, $xr6 +0x8a,0x2f,0xa8,0x76 = xvsrari.b $xr10, $xr28, 3 +0x3c,0x78,0xa8,0x76 = xvsrari.h $xr28, $xr1, 0xe +0xed,0xb0,0xa8,0x76 = xvsrari.w $xr13, $xr7, 0xc +0x3d,0x21,0xa9,0x76 = xvsrari.d $xr29, $xr9, 8 diff --git a/suite/MC/LoongArch/srarn.s.cs b/suite/MC/LoongArch/srarn.s.cs new file mode 100644 index 0000000000..8b11841c86 --- /dev/null +++ b/suite/MC/LoongArch/srarn.s.cs @@ -0,0 +1,4 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x92,0xbe,0xfa,0x74 = xvsrarn.b.h $xr18, $xr20, $xr15 +0x2c,0x10,0xfb,0x74 = xvsrarn.h.w $xr12, $xr1, $xr4 +0x49,0xea,0xfb,0x74 = xvsrarn.w.d $xr9, $xr18, $xr26 diff --git a/suite/MC/LoongArch/srarni.s.cs b/suite/MC/LoongArch/srarni.s.cs new file mode 100644 index 0000000000..34fcfbed7c --- /dev/null +++ b/suite/MC/LoongArch/srarni.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xf5,0x7f,0x5c,0x77 = xvsrarni.b.h $xr21, $xr31, 0xf +0xc4,0xe6,0x5c,0x77 = xvsrarni.h.w $xr4, $xr22, 0x19 +0x18,0xa5,0x5d,0x77 = xvsrarni.w.d $xr24, $xr8, 0x29 +0xa7,0x1c,0x5e,0x77 = xvsrarni.d.q $xr7, $xr5, 7 diff --git a/suite/MC/LoongArch/srl.s.cs b/suite/MC/LoongArch/srl.s.cs new file mode 100644 index 0000000000..158c07f277 --- /dev/null +++ b/suite/MC/LoongArch/srl.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x14,0x77,0xea,0x74 = xvsrl.b $xr20, $xr24, $xr29 +0x2b,0xfe,0xea,0x74 = xvsrl.h $xr11, $xr17, $xr31 +0x42,0x21,0xeb,0x74 = xvsrl.w $xr2, $xr10, $xr8 +0xcd,0xeb,0xeb,0x74 = xvsrl.d $xr13, $xr30, $xr26 +0x9d,0x2c,0x30,0x77 = xvsrli.b $xr29, $xr4, 3 +0xdc,0x71,0x30,0x77 = xvsrli.h $xr28, $xr14, 0xc +0x4c,0x9e,0x30,0x77 = xvsrli.w $xr12, $xr18, 7 +0x80,0xb8,0x31,0x77 = xvsrli.d $xr0, $xr4, 0x2e diff --git a/suite/MC/LoongArch/srln.s.cs b/suite/MC/LoongArch/srln.s.cs new file mode 100644 index 0000000000..e1bbb22561 --- /dev/null +++ b/suite/MC/LoongArch/srln.s.cs @@ -0,0 +1,4 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa7,0x95,0xf4,0x74 = xvsrln.b.h $xr7, $xr13, $xr5 +0x46,0x16,0xf5,0x74 = xvsrln.h.w $xr6, $xr18, $xr5 +0x8c,0xf1,0xf5,0x74 = xvsrln.w.d $xr12, $xr12, $xr28 diff --git a/suite/MC/LoongArch/srlni.s.cs b/suite/MC/LoongArch/srlni.s.cs new file mode 100644 index 0000000000..8383ce7367 --- /dev/null +++ b/suite/MC/LoongArch/srlni.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x05,0x49,0x40,0x77 = xvsrlni.b.h $xr5, $xr8, 2 +0x87,0xd0,0x40,0x77 = xvsrlni.h.w $xr7, $xr4, 0x14 +0xfe,0x45,0x41,0x77 = xvsrlni.w.d $xr30, $xr15, 0x11 +0x8f,0x7f,0x43,0x77 = xvsrlni.d.q $xr15, $xr28, 0x5f diff --git a/suite/MC/LoongArch/srlr.s.cs b/suite/MC/LoongArch/srlr.s.cs new file mode 100644 index 0000000000..366e0392a2 --- /dev/null +++ b/suite/MC/LoongArch/srlr.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x72,0x15,0xf0,0x74 = xvsrlr.b $xr18, $xr11, $xr5 +0xbf,0xd4,0xf0,0x74 = xvsrlr.h $xr31, $xr5, $xr21 +0xa7,0x04,0xf1,0x74 = xvsrlr.w $xr7, $xr5, $xr1 +0x64,0x9f,0xf1,0x74 = xvsrlr.d $xr4, $xr27, $xr7 +0xdd,0x33,0xa4,0x76 = xvsrlri.b $xr29, $xr30, 4 +0xd0,0x78,0xa4,0x76 = xvsrlri.h $xr16, $xr6, 0xe +0x58,0xf1,0xa4,0x76 = xvsrlri.w $xr24, $xr10, 0x1c +0x94,0xd2,0xa5,0x76 = xvsrlri.d $xr20, $xr20, 0x34 diff --git a/suite/MC/LoongArch/srlrn.s.cs b/suite/MC/LoongArch/srlrn.s.cs new file mode 100644 index 0000000000..a6d3fce0e6 --- /dev/null +++ b/suite/MC/LoongArch/srlrn.s.cs @@ -0,0 +1,4 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x24,0xeb,0xf8,0x74 = xvsrlrn.b.h $xr4, $xr25, $xr26 +0xb1,0x04,0xf9,0x74 = xvsrlrn.h.w $xr17, $xr5, $xr1 +0x3d,0xc4,0xf9,0x74 = xvsrlrn.w.d $xr29, $xr1, $xr17 diff --git a/suite/MC/LoongArch/srlrni.s.cs b/suite/MC/LoongArch/srlrni.s.cs new file mode 100644 index 0000000000..5280b0e26f --- /dev/null +++ b/suite/MC/LoongArch/srlrni.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x2a,0x72,0x44,0x77 = xvsrlrni.b.h $xr10, $xr17, 0xc +0xf6,0xb6,0x44,0x77 = xvsrlrni.h.w $xr22, $xr23, 0xd +0xd2,0xea,0x45,0x77 = xvsrlrni.w.d $xr18, $xr22, 0x3a +0x19,0xa9,0x46,0x77 = xvsrlrni.d.q $xr25, $xr8, 0x2a diff --git a/suite/MC/LoongArch/ssran.s.cs b/suite/MC/LoongArch/ssran.s.cs new file mode 100644 index 0000000000..604a4fbbb6 --- /dev/null +++ b/suite/MC/LoongArch/ssran.s.cs @@ -0,0 +1,7 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x91,0x84,0xfe,0x74 = xvssran.b.h $xr17, $xr4, $xr1 +0x9c,0x37,0xff,0x74 = xvssran.h.w $xr28, $xr28, $xr13 +0x35,0xfc,0xff,0x74 = xvssran.w.d $xr21, $xr1, $xr31 +0x83,0xe1,0x06,0x75 = xvssran.bu.h $xr3, $xr12, $xr24 +0x19,0x07,0x07,0x75 = xvssran.hu.w $xr25, $xr24, $xr1 +0xde,0xa9,0x07,0x75 = xvssran.wu.d $xr30, $xr14, $xr10 diff --git a/suite/MC/LoongArch/ssrani.s.cs b/suite/MC/LoongArch/ssrani.s.cs new file mode 100644 index 0000000000..360c8c6154 --- /dev/null +++ b/suite/MC/LoongArch/ssrani.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xda,0x7a,0x60,0x77 = xvssrani.b.h $xr26, $xr22, 0xe +0xd3,0xe9,0x60,0x77 = xvssrani.h.w $xr19, $xr14, 0x1a +0x61,0x6f,0x61,0x77 = xvssrani.w.d $xr1, $xr27, 0x1b +0x49,0xed,0x62,0x77 = xvssrani.d.q $xr9, $xr10, 0x3b +0x66,0x68,0x64,0x77 = xvssrani.bu.h $xr6, $xr3, 0xa +0x34,0x99,0x64,0x77 = xvssrani.hu.w $xr20, $xr9, 6 +0x78,0x21,0x65,0x77 = xvssrani.wu.d $xr24, $xr11, 8 +0x50,0x3c,0x66,0x77 = xvssrani.du.q $xr16, $xr2, 0xf diff --git a/suite/MC/LoongArch/ssrarn.s.cs b/suite/MC/LoongArch/ssrarn.s.cs new file mode 100644 index 0000000000..4a58761b31 --- /dev/null +++ b/suite/MC/LoongArch/ssrarn.s.cs @@ -0,0 +1,7 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0xa7,0x81,0x02,0x75 = xvssrarn.b.h $xr7, $xr13, $xr0 +0x56,0x38,0x03,0x75 = xvssrarn.h.w $xr22, $xr2, $xr14 +0xed,0xc0,0x03,0x75 = xvssrarn.w.d $xr13, $xr7, $xr16 +0x84,0x89,0x0a,0x75 = xvssrarn.bu.h $xr4, $xr12, $xr2 +0x0f,0x0f,0x0b,0x75 = xvssrarn.hu.w $xr15, $xr24, $xr3 +0x3e,0xa1,0x0b,0x75 = xvssrarn.wu.d $xr30, $xr9, $xr8 diff --git a/suite/MC/LoongArch/ssrarni.s.cs b/suite/MC/LoongArch/ssrarni.s.cs new file mode 100644 index 0000000000..32a8b4d18d --- /dev/null +++ b/suite/MC/LoongArch/ssrarni.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x80,0x74,0x68,0x77 = xvssrarni.b.h $xr0, $xr4, 0xd +0x08,0xa4,0x68,0x77 = xvssrarni.h.w $xr8, $xr0, 0x9 +0xa5,0xa8,0x69,0x77 = xvssrarni.w.d $xr5, $xr5, 0x2a +0xe8,0x4f,0x6b,0x77 = xvssrarni.d.q $xr8, $xr31, 0x53 +0x75,0x42,0x6c,0x77 = xvssrarni.bu.h $xr21, $xr19, 0 +0xb6,0x85,0x6c,0x77 = xvssrarni.hu.w $xr22, $xr13, 1 +0xb5,0x68,0x6d,0x77 = xvssrarni.wu.d $xr21, $xr5, 0x1a +0xcf,0x79,0x6f,0x77 = xvssrarni.du.q $xr15, $xr14, 0x5e diff --git a/suite/MC/LoongArch/ssrln.s.cs b/suite/MC/LoongArch/ssrln.s.cs new file mode 100644 index 0000000000..a27b9438d3 --- /dev/null +++ b/suite/MC/LoongArch/ssrln.s.cs @@ -0,0 +1,7 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x98,0x90,0xfc,0x74 = xvssrln.b.h $xr24, $xr4, $xr4 +0xe5,0x01,0xfd,0x74 = xvssrln.h.w $xr5, $xr15, $xr0 +0x20,0xfb,0xfd,0x74 = xvssrln.w.d $xr0, $xr25, $xr30 +0x3a,0xe9,0x04,0x75 = xvssrln.bu.h $xr26, $xr9, $xr26 +0x87,0x06,0x05,0x75 = xvssrln.hu.w $xr7, $xr20, $xr1 +0xaf,0xd1,0x05,0x75 = xvssrln.wu.d $xr15, $xr13, $xr20 diff --git a/suite/MC/LoongArch/ssrlni.s.cs b/suite/MC/LoongArch/ssrlni.s.cs new file mode 100644 index 0000000000..9f7f73f870 --- /dev/null +++ b/suite/MC/LoongArch/ssrlni.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x53,0x66,0x48,0x77 = xvssrlni.b.h $xr19, $xr18, 0x9 +0xbd,0x8f,0x48,0x77 = xvssrlni.h.w $xr29, $xr29, 3 +0xe9,0xad,0x49,0x77 = xvssrlni.w.d $xr9, $xr15, 0x2b +0x68,0xe5,0x4b,0x77 = xvssrlni.d.q $xr8, $xr11, 0x79 +0x59,0x55,0x4c,0x77 = xvssrlni.bu.h $xr25, $xr10, 5 +0x49,0xea,0x4c,0x77 = xvssrlni.hu.w $xr9, $xr18, 0x1a +0xd4,0x36,0x4d,0x77 = xvssrlni.wu.d $xr20, $xr22, 0xd +0x88,0xac,0x4e,0x77 = xvssrlni.du.q $xr8, $xr4, 0x2b diff --git a/suite/MC/LoongArch/ssrlrn.s.cs b/suite/MC/LoongArch/ssrlrn.s.cs new file mode 100644 index 0000000000..62d3404490 --- /dev/null +++ b/suite/MC/LoongArch/ssrlrn.s.cs @@ -0,0 +1,7 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x88,0xca,0x00,0x75 = xvssrlrn.b.h $xr8, $xr20, $xr18 +0xa2,0x4d,0x01,0x75 = xvssrlrn.h.w $xr2, $xr13, $xr19 +0xf8,0x94,0x01,0x75 = xvssrlrn.w.d $xr24, $xr7, $xr5 +0xef,0xca,0x08,0x75 = xvssrlrn.bu.h $xr15, $xr23, $xr18 +0xd6,0x41,0x09,0x75 = xvssrlrn.hu.w $xr22, $xr14, $xr16 +0x94,0x97,0x09,0x75 = xvssrlrn.wu.d $xr20, $xr28, $xr5 diff --git a/suite/MC/LoongArch/ssrlrni.s.cs b/suite/MC/LoongArch/ssrlrni.s.cs new file mode 100644 index 0000000000..baed3a06ce --- /dev/null +++ b/suite/MC/LoongArch/ssrlrni.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x5a,0x63,0x50,0x77 = xvssrlrni.b.h $xr26, $xr26, 8 +0x06,0xcc,0x50,0x77 = xvssrlrni.h.w $xr6, $xr0, 0x13 +0xfc,0xdd,0x51,0x77 = xvssrlrni.w.d $xr28, $xr15, 0x37 +0x08,0x02,0x53,0x77 = xvssrlrni.d.q $xr8, $xr16, 0x40 +0x97,0x4f,0x54,0x77 = xvssrlrni.bu.h $xr23, $xr28, 3 +0x59,0xc9,0x54,0x77 = xvssrlrni.hu.w $xr25, $xr10, 0x12 +0x90,0x3f,0x55,0x77 = xvssrlrni.wu.d $xr16, $xr28, 0xf +0x32,0xb1,0x56,0x77 = xvssrlrni.du.q $xr18, $xr9, 0x2c diff --git a/suite/MC/LoongArch/ssub.s.cs b/suite/MC/LoongArch/ssub.s.cs new file mode 100644 index 0000000000..e43303ca2b --- /dev/null +++ b/suite/MC/LoongArch/ssub.s.cs @@ -0,0 +1,9 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x6e,0x62,0x48,0x74 = xvssub.b $xr14, $xr19, $xr24 +0x0d,0xcd,0x48,0x74 = xvssub.h $xr13, $xr8, $xr19 +0x7c,0x73,0x49,0x74 = xvssub.w $xr28, $xr27, $xr28 +0x1c,0x8a,0x49,0x74 = xvssub.d $xr28, $xr16, $xr2 +0xab,0x45,0x4c,0x74 = xvssub.bu $xr11, $xr13, $xr17 +0x50,0xf1,0x4c,0x74 = xvssub.hu $xr16, $xr10, $xr28 +0x15,0x34,0x4d,0x74 = xvssub.wu $xr21, $xr0, $xr13 +0x52,0xef,0x4d,0x74 = xvssub.du $xr18, $xr26, $xr27 diff --git a/suite/MC/LoongArch/st.s.cs b/suite/MC/LoongArch/st.s.cs new file mode 100644 index 0000000000..e1e7f7fb6b --- /dev/null +++ b/suite/MC/LoongArch/st.s.cs @@ -0,0 +1,3 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x8e,0xbd,0xce,0x2c = xvst $xr14, $t0, 0x3af +0x27,0x55,0x4c,0x38 = xvstx $xr7, $a5, $r21 diff --git a/suite/MC/LoongArch/stelm.s.cs b/suite/MC/LoongArch/stelm.s.cs new file mode 100644 index 0000000000..c62621e645 --- /dev/null +++ b/suite/MC/LoongArch/stelm.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x54,0x5c,0xaa,0x33 = xvstelm.b $xr20, $tp, -0x69, 0xa +0x28,0x40,0x51,0x33 = xvstelm.h $xr8, $ra, 0xa0, 4 +0x53,0x9e,0x21,0x33 = xvstelm.w $xr19, $t6, 0x19c, 0 +0xd6,0xe3,0x1d,0x33 = xvstelm.d $xr22, $s7, 0x3c0, 3 diff --git a/suite/MC/LoongArch/sub.s.cs b/suite/MC/LoongArch/sub.s.cs new file mode 100644 index 0000000000..8a22bf213f --- /dev/null +++ b/suite/MC/LoongArch/sub.s.cs @@ -0,0 +1,6 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x8b,0x43,0x0c,0x74 = xvsub.b $xr11, $xr28, $xr16 +0x6b,0xe0,0x0c,0x74 = xvsub.h $xr11, $xr3, $xr24 +0xee,0x1a,0x0d,0x74 = xvsub.w $xr14, $xr23, $xr6 +0xa5,0x9d,0x0d,0x74 = xvsub.d $xr5, $xr13, $xr7 +0x4d,0xff,0x2d,0x75 = xvsub.q $xr13, $xr26, $xr31 diff --git a/suite/MC/LoongArch/subi.s.cs b/suite/MC/LoongArch/subi.s.cs new file mode 100644 index 0000000000..eeec74b52c --- /dev/null +++ b/suite/MC/LoongArch/subi.s.cs @@ -0,0 +1,5 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x72,0x07,0x8c,0x76 = xvsubi.bu $xr18, $xr27, 1 +0xe6,0xce,0x8c,0x76 = xvsubi.hu $xr6, $xr23, 0x13 +0x6d,0x14,0x8d,0x76 = xvsubi.wu $xr13, $xr3, 5 +0x9a,0xbb,0x8d,0x76 = xvsubi.du $xr26, $xr28, 0xe diff --git a/suite/MC/LoongArch/subw.s.cs b/suite/MC/LoongArch/subw.s.cs new file mode 100644 index 0000000000..92ebaa307f --- /dev/null +++ b/suite/MC/LoongArch/subw.s.cs @@ -0,0 +1,17 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x3d,0x70,0x20,0x74 = xvsubwev.h.b $xr29, $xr1, $xr28 +0x98,0xfe,0x20,0x74 = xvsubwev.w.h $xr24, $xr20, $xr31 +0x86,0x2c,0x21,0x74 = xvsubwev.d.w $xr6, $xr4, $xr11 +0xfb,0xb7,0x21,0x74 = xvsubwev.q.d $xr27, $xr31, $xr13 +0x81,0x0a,0x30,0x74 = xvsubwev.h.bu $xr1, $xr20, $xr2 +0xd3,0xb0,0x30,0x74 = xvsubwev.w.hu $xr19, $xr6, $xr12 +0x3f,0x5c,0x31,0x74 = xvsubwev.d.wu $xr31, $xr1, $xr23 +0x9f,0xc7,0x31,0x74 = xvsubwev.q.du $xr31, $xr28, $xr17 +0x23,0x45,0x24,0x74 = xvsubwod.h.b $xr3, $xr9, $xr17 +0xae,0xd4,0x24,0x74 = xvsubwod.w.h $xr14, $xr5, $xr21 +0xc8,0x0d,0x25,0x74 = xvsubwod.d.w $xr8, $xr14, $xr3 +0xf8,0xc9,0x25,0x74 = xvsubwod.q.d $xr24, $xr15, $xr18 +0x5b,0x04,0x34,0x74 = xvsubwod.h.bu $xr27, $xr2, $xr1 +0xf3,0xd8,0x34,0x74 = xvsubwod.w.hu $xr19, $xr7, $xr22 +0x01,0x6b,0x35,0x74 = xvsubwod.d.wu $xr1, $xr24, $xr26 +0x5d,0x9f,0x35,0x74 = xvsubwod.q.du $xr29, $xr26, $xr7 diff --git a/suite/MC/LoongArch/valid.s.cs b/suite/MC/LoongArch/valid.s.cs new file mode 100644 index 0000000000..e8d28ac78f --- /dev/null +++ b/suite/MC/LoongArch/valid.s.cs @@ -0,0 +1,23 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x1a,0x78,0x00,0x04 = csrrd $s3, 0x1e +0x38,0x08,0x03,0x04 = csrwr $s1, 0xc2 +0x66,0x5b,0x03,0x04 = csrxchg $a2, $s4, 0xd6 +0x1a,0x03,0x48,0x06 = iocsrrd.b $s3, $s1 +0x65,0x07,0x48,0x06 = iocsrrd.h $a1, $s4 +0x8a,0x0a,0x48,0x06 = iocsrrd.w $a6, $t8 +0xe4,0x12,0x48,0x06 = iocsrwr.b $a0, $s0 +0x0b,0x14,0x48,0x06 = iocsrwr.h $a7, $zero +0x54,0x1b,0x48,0x06 = iocsrwr.w $t8, $s3 +0x40,0x6d,0x00,0x06 = cacop 0, $a6, 0x1b +0x00,0x20,0x48,0x06 = tlbclr +0x00,0x24,0x48,0x06 = tlbflush +0x00,0x28,0x48,0x06 = tlbsrch +0x00,0x2c,0x48,0x06 = tlbrd +0x00,0x30,0x48,0x06 = tlbwr +0x00,0x34,0x48,0x06 = tlbfill +0xb0,0xe7,0x49,0x06 = invtlb 0x10, $s6, $s2 +0xcc,0x73,0x41,0x06 = lddir $t0, $s7, 0x5c +0x40,0x22,0x47,0x06 = ldpte $t6, 0xc8 +0x00,0x38,0x48,0x06 = ertn +0xc9,0x80,0x2a,0x00 = dbcl 0xc9 +0xcc,0x80,0x48,0x06 = idle 0xcc diff --git a/suite/MC/LoongArch/x86-alu.s.cs b/suite/MC/LoongArch/x86-alu.s.cs new file mode 100644 index 0000000000..63684e3422 --- /dev/null +++ b/suite/MC/LoongArch/x86-alu.s.cs @@ -0,0 +1,49 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x8c,0x14,0x3f,0x00 = x86adc.b $a0, $a1 +0x8d,0x14,0x3f,0x00 = x86adc.h $a0, $a1 +0x8e,0x14,0x3f,0x00 = x86adc.w $a0, $a1 +0x8f,0x14,0x3f,0x00 = x86adc.d $a0, $a1 +0x84,0x14,0x3f,0x00 = x86add.b $a0, $a1 +0x85,0x14,0x3f,0x00 = x86add.h $a0, $a1 +0x86,0x14,0x3f,0x00 = x86add.w $a0, $a1 +0x87,0x14,0x3f,0x00 = x86add.d $a0, $a1 +0x80,0x14,0x3f,0x00 = x86add.wu $a0, $a1 +0x81,0x14,0x3f,0x00 = x86add.du $a0, $a1 +0x80,0x80,0x00,0x00 = x86inc.b $a0 +0x81,0x80,0x00,0x00 = x86inc.h $a0 +0x82,0x80,0x00,0x00 = x86inc.w $a0 +0x83,0x80,0x00,0x00 = x86inc.d $a0 +0x90,0x14,0x3f,0x00 = x86sbc.b $a0, $a1 +0x91,0x14,0x3f,0x00 = x86sbc.h $a0, $a1 +0x92,0x14,0x3f,0x00 = x86sbc.w $a0, $a1 +0x93,0x14,0x3f,0x00 = x86sbc.d $a0, $a1 +0x88,0x14,0x3f,0x00 = x86sub.b $a0, $a1 +0x89,0x14,0x3f,0x00 = x86sub.h $a0, $a1 +0x8a,0x14,0x3f,0x00 = x86sub.w $a0, $a1 +0x8b,0x14,0x3f,0x00 = x86sub.d $a0, $a1 +0x82,0x14,0x3f,0x00 = x86sub.wu $a0, $a1 +0x83,0x14,0x3f,0x00 = x86sub.du $a0, $a1 +0x84,0x80,0x00,0x00 = x86dec.b $a0 +0x85,0x80,0x00,0x00 = x86dec.h $a0 +0x86,0x80,0x00,0x00 = x86dec.w $a0 +0x87,0x80,0x00,0x00 = x86dec.d $a0 +0x90,0x94,0x3f,0x00 = x86and.b $a0, $a1 +0x91,0x94,0x3f,0x00 = x86and.h $a0, $a1 +0x92,0x94,0x3f,0x00 = x86and.w $a0, $a1 +0x93,0x94,0x3f,0x00 = x86and.d $a0, $a1 +0x94,0x94,0x3f,0x00 = x86or.b $a0, $a1 +0x95,0x94,0x3f,0x00 = x86or.h $a0, $a1 +0x96,0x94,0x3f,0x00 = x86or.w $a0, $a1 +0x97,0x94,0x3f,0x00 = x86or.d $a0, $a1 +0x98,0x94,0x3f,0x00 = x86xor.b $a0, $a1 +0x99,0x94,0x3f,0x00 = x86xor.h $a0, $a1 +0x9a,0x94,0x3f,0x00 = x86xor.w $a0, $a1 +0x9b,0x94,0x3f,0x00 = x86xor.d $a0, $a1 +0x80,0x94,0x3e,0x00 = x86mul.b $a0, $a1 +0x81,0x94,0x3e,0x00 = x86mul.h $a0, $a1 +0x82,0x94,0x3e,0x00 = x86mul.w $a0, $a1 +0x83,0x94,0x3e,0x00 = x86mul.d $a0, $a1 +0x84,0x94,0x3e,0x00 = x86mul.bu $a0, $a1 +0x85,0x94,0x3e,0x00 = x86mul.hu $a0, $a1 +0x86,0x94,0x3e,0x00 = x86mul.wu $a0, $a1 +0x87,0x94,0x3e,0x00 = x86mul.du $a0, $a1 diff --git a/suite/MC/LoongArch/x86-jump.s.cs b/suite/MC/LoongArch/x86-jump.s.cs new file mode 100644 index 0000000000..7e789779e8 --- /dev/null +++ b/suite/MC/LoongArch/x86-jump.s.cs @@ -0,0 +1,4 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x04,0x84,0x36,0x00 = setx86j $a0, 1 +0xa4,0x78,0x00,0x00 = setx86loope $a0, $a1 +0xa4,0x7c,0x00,0x00 = setx86loopne $a0, $a1 diff --git a/suite/MC/LoongArch/x86-misc.s.cs b/suite/MC/LoongArch/x86-misc.s.cs new file mode 100644 index 0000000000..b1799a4d36 --- /dev/null +++ b/suite/MC/LoongArch/x86-misc.s.cs @@ -0,0 +1,10 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x04,0x04,0x5c,0x00 = x86mfflag $a0, 1 +0x24,0x04,0x5c,0x00 = x86mtflag $a0, 1 +0x04,0x74,0x00,0x00 = x86mftop $a0 +0x20,0x70,0x00,0x00 = x86mttop 1 +0x09,0x80,0x00,0x00 = x86inctop +0x29,0x80,0x00,0x00 = x86dectop +0x08,0x80,0x00,0x00 = x86settm +0x28,0x80,0x00,0x00 = x86clrtm +0x24,0x04,0x58,0x00 = x86settag $a0, 1, 1 diff --git a/suite/MC/LoongArch/x86-shift.s.cs b/suite/MC/LoongArch/x86-shift.s.cs new file mode 100644 index 0000000000..a8606cbea6 --- /dev/null +++ b/suite/MC/LoongArch/x86-shift.s.cs @@ -0,0 +1,57 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x8c,0x94,0x3f,0x00 = x86rcl.b $a0, $a1 +0x8d,0x94,0x3f,0x00 = x86rcl.h $a0, $a1 +0x8e,0x94,0x3f,0x00 = x86rcl.w $a0, $a1 +0x8f,0x94,0x3f,0x00 = x86rcl.d $a0, $a1 +0x98,0x24,0x54,0x00 = x86rcli.b $a0, 1 +0x99,0x44,0x54,0x00 = x86rcli.h $a0, 1 +0x9a,0x84,0x54,0x00 = x86rcli.w $a0, 1 +0x9b,0x04,0x55,0x00 = x86rcli.d $a0, 1 +0x88,0x94,0x3f,0x00 = x86rcr.b $a0, $a1 +0x89,0x94,0x3f,0x00 = x86rcr.h $a0, $a1 +0x8a,0x94,0x3f,0x00 = x86rcr.w $a0, $a1 +0x8b,0x94,0x3f,0x00 = x86rcr.d $a0, $a1 +0x90,0x24,0x54,0x00 = x86rcri.b $a0, 1 +0x91,0x44,0x54,0x00 = x86rcri.h $a0, 1 +0x92,0x84,0x54,0x00 = x86rcri.w $a0, 1 +0x93,0x04,0x55,0x00 = x86rcri.d $a0, 1 +0x84,0x94,0x3f,0x00 = x86rotl.b $a0, $a1 +0x85,0x94,0x3f,0x00 = x86rotl.h $a0, $a1 +0x86,0x94,0x3f,0x00 = x86rotl.w $a0, $a1 +0x87,0x94,0x3f,0x00 = x86rotl.d $a0, $a1 +0x94,0x24,0x54,0x00 = x86rotli.b $a0, 1 +0x95,0x44,0x54,0x00 = x86rotli.h $a0, 1 +0x96,0x84,0x54,0x00 = x86rotli.w $a0, 1 +0x97,0x04,0x55,0x00 = x86rotli.d $a0, 1 +0x80,0x94,0x3f,0x00 = x86rotr.b $a0, $a1 +0x81,0x94,0x3f,0x00 = x86rotr.h $a0, $a1 +0x82,0x94,0x3f,0x00 = x86rotr.d $a0, $a1 +0x83,0x94,0x3f,0x00 = x86rotr.w $a0, $a1 +0x8c,0x24,0x54,0x00 = x86rotri.b $a0, 1 +0x8d,0x44,0x54,0x00 = x86rotri.h $a0, 1 +0x8e,0x84,0x54,0x00 = x86rotri.w $a0, 1 +0x8f,0x04,0x55,0x00 = x86rotri.d $a0, 1 +0x94,0x14,0x3f,0x00 = x86sll.b $a0, $a1 +0x95,0x14,0x3f,0x00 = x86sll.h $a0, $a1 +0x96,0x14,0x3f,0x00 = x86sll.w $a0, $a1 +0x97,0x14,0x3f,0x00 = x86sll.d $a0, $a1 +0x80,0x24,0x54,0x00 = x86slli.b $a0, 1 +0x81,0x44,0x54,0x00 = x86slli.h $a0, 1 +0x82,0x84,0x54,0x00 = x86slli.w $a0, 1 +0x83,0x04,0x55,0x00 = x86slli.d $a0, 1 +0x98,0x14,0x3f,0x00 = x86srl.b $a0, $a1 +0x99,0x14,0x3f,0x00 = x86srl.h $a0, $a1 +0x9a,0x14,0x3f,0x00 = x86srl.w $a0, $a1 +0x9b,0x14,0x3f,0x00 = x86srl.d $a0, $a1 +0x84,0x24,0x54,0x00 = x86srli.b $a0, 1 +0x85,0x44,0x54,0x00 = x86srli.h $a0, 1 +0x86,0x84,0x54,0x00 = x86srli.w $a0, 1 +0x87,0x04,0x55,0x00 = x86srli.d $a0, 1 +0x9c,0x14,0x3f,0x00 = x86sra.b $a0, $a1 +0x9d,0x14,0x3f,0x00 = x86sra.h $a0, $a1 +0x9e,0x14,0x3f,0x00 = x86sra.w $a0, $a1 +0x9f,0x14,0x3f,0x00 = x86sra.d $a0, $a1 +0x88,0x24,0x54,0x00 = x86srai.b $a0, 1 +0x89,0x44,0x54,0x00 = x86srai.h $a0, 1 +0x8a,0x84,0x54,0x00 = x86srai.w $a0, 1 +0x8b,0x04,0x55,0x00 = x86srai.d $a0, 1 diff --git a/suite/MC/LoongArch/xor.s.cs b/suite/MC/LoongArch/xor.s.cs new file mode 100644 index 0000000000..f332423b04 --- /dev/null +++ b/suite/MC/LoongArch/xor.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x4e,0x2b,0x27,0x75 = xvxor.v $xr14, $xr26, $xr10 diff --git a/suite/MC/LoongArch/xori.s.cs b/suite/MC/LoongArch/xori.s.cs new file mode 100644 index 0000000000..4028078964 --- /dev/null +++ b/suite/MC/LoongArch/xori.s.cs @@ -0,0 +1,2 @@ +# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, None +0x1a,0x55,0xda,0x77 = xvxori.b $xr26, $xr8, 0x95 diff --git a/suite/MC/Update.py b/suite/MC/Update.py index 1e4851254a..12b96a9eb8 100755 --- a/suite/MC/Update.py +++ b/suite/MC/Update.py @@ -92,13 +92,19 @@ def get_all_files( architecture are added. """ files = list() + folders = [arch_dir] file: Path - for file in arch_dir.iterdir(): - stem = file.stem - if excluded_files and stem in excluded_files: - continue - - files.append((file, out_path.joinpath(file.name + ".cs"))) + while len(folders) > 0: + cur_dir = folders.pop() + for file in cur_dir.iterdir(): + stem = file.stem + if excluded_files and stem in excluded_files: + continue + if file.is_dir(): + folders.append(file) + continue + + files.append((file, out_path.joinpath(file.name + ".cs"))) return files @@ -199,7 +205,21 @@ def extract_tests(llvm_file: Path) -> str: asm_regex = r"(.*)" test_case_patterns = [ + # Match against: + # @ CHECK: xxx @ encoding: [xx, xx] rf"#?\s*@?\s*CHECK:\s+{asm_regex}\s+@\s+encoding:\s+\[({hex_encoding})\]", + # Match against: + # # CHECK-INST: xxx + # # CHECK-ENCODING: encoding: [xx, xx] + rf"#\s+CHECK-INST:\s+{asm_regex}\n#\s+CHECK-ENCODING:\s+encoding:\s+\[({hex_encoding})\]", + # Match against: + # # CHECK-ASM-AND-OBJ: xxx + # # CHECK-ASM: encoding: [xx, xx] + rf"#\s+CHECK-ASM-AND-OBJ:\s+{asm_regex}\n#\s+CHECK-ASM:\s+encoding:\s+\[({hex_encoding})\]", + # Match against: + # # ASM-AND-OBJ: xxx + # # ASM: encoding: [xx, xx] + rf"#\s+ASM-AND-OBJ:\s+{asm_regex}\n#\s+ASM:\s+encoding:\s+\[({hex_encoding})\]", ] result = "" @@ -208,20 +228,19 @@ def extract_tests(llvm_file: Path) -> str: return result f = open(llvm_file) - for line in f.readlines(): - match = list() - for regex in test_case_patterns: - match: list = re.findall(regex, line) - if match: - break - if not match: - continue - match = match[0] - asm = re.sub(r"\s+", " ", match[0]) - asm = asm.strip(" ") - asm = decimal_to_hex_fix(asm) - hexbytes = re.sub(r"\s", "", match[1]) - result += f"{hexbytes} = {asm}\n" + content = f.read() + matches = list() + for regex in test_case_patterns: + matches: list = re.findall(regex, content) + if matches: + break + if matches: + for match in matches: + asm = re.sub(r"\s+", " ", match[0]) + asm = asm.strip(" ") + asm = decimal_to_hex_fix(asm) + hexbytes = re.sub(r"\s", "", match[1]) + result += f"{hexbytes} = {asm}\n" f.close() return result @@ -277,7 +296,7 @@ def parse_args() -> argparse.Namespace: "-a", dest="arch", help="Name of architecture to update.", - choices=["ARM"], + choices=["ARM", "LoongArch"], required=True, ) parser.add_argument( diff --git a/suite/auto-sync/src/autosync/ASUpdater.py b/suite/auto-sync/src/autosync/ASUpdater.py index 3a15c9d66b..445ac46383 100755 --- a/suite/auto-sync/src/autosync/ASUpdater.py +++ b/suite/auto-sync/src/autosync/ASUpdater.py @@ -162,7 +162,7 @@ def parse_args() -> argparse.Namespace: "-a", dest="arch", help="Name of target architecture.", - choices=["ARM", "PPC", "AArch64", "Alpha"], + choices=["ARM", "PPC", "AArch64", "Alpha", "LoongArch"], required=True, ) parser.add_argument( diff --git a/suite/auto-sync/src/autosync/cpptranslator/CppTranslator.py b/suite/auto-sync/src/autosync/cpptranslator/CppTranslator.py index c328295b7e..6e4992e7c2 100755 --- a/suite/auto-sync/src/autosync/cpptranslator/CppTranslator.py +++ b/suite/auto-sync/src/autosync/cpptranslator/CppTranslator.py @@ -24,6 +24,7 @@ from autosync.cpptranslator.patches.CppInitCast import CppInitCast from autosync.cpptranslator.patches.CreateOperand0 import CreateOperand0 from autosync.cpptranslator.patches.CreateOperand1 import CreateOperand1 +from autosync.cpptranslator.patches.Data import Data from autosync.cpptranslator.patches.DeclarationInConditionClause import ( DeclarationInConditionalClause, ) @@ -54,6 +55,7 @@ from autosync.cpptranslator.patches.NamespaceArch import NamespaceArch from autosync.cpptranslator.patches.NamespaceLLVM import NamespaceLLVM from autosync.cpptranslator.patches.OutStreamParam import OutStreamParam +from autosync.cpptranslator.patches.Override import Override from autosync.cpptranslator.patches.Patch import Patch from autosync.cpptranslator.patches.PredicateBlockFunctions import ( PredicateBlockFunctions, @@ -65,6 +67,7 @@ from autosync.cpptranslator.patches.RegClassContains import RegClassContains from autosync.cpptranslator.patches.SetOpcode import SetOpcode from autosync.cpptranslator.patches.SignExtend import SignExtend +from autosync.cpptranslator.patches.Size import Size from autosync.cpptranslator.patches.SizeAssignments import SizeAssignment from autosync.cpptranslator.patches.STIArgument import STIArgument from autosync.cpptranslator.patches.STIFeatureBits import STIFeatureBits @@ -157,6 +160,9 @@ class Translator: NamespaceLLVM.__name__: 0, # ◁─────┤ so they don't match in NamespaceArch. NamespaceArch.__name__: 1, # ──────┘ PredicateBlockFunctions.__name__: 0, + Override.__name__: 0, + Size.__name__: 0, + Data.__name__: 0, ClassesDef.__name__: 0, # ◁────────┐ Declarations must be extracted first from the classes. MethodTypeQualifier.__name__: 1, # ┘ # All previous patches can contain qualified identifiers (Ids with the "::" operator) in their search patterns. @@ -328,6 +334,12 @@ def init_patches(self): patch = PrintRegImmShift(p) case IsOperandRegImm.__name__: patch = IsOperandRegImm(p) + case Override.__name__: + patch = Override(p) + case Size.__name__: + patch = Size(p) + case Data.__name__: + patch = Data(p) case _: log.fatal(f"Patch type {ptype} not in Patch init routine.") exit(1) diff --git a/suite/auto-sync/src/autosync/cpptranslator/Tests/test_patches.py b/suite/auto-sync/src/autosync/cpptranslator/Tests/test_patches.py index 4696df7956..f84b38e5f4 100644 --- a/suite/auto-sync/src/autosync/cpptranslator/Tests/test_patches.py +++ b/suite/auto-sync/src/autosync/cpptranslator/Tests/test_patches.py @@ -22,6 +22,7 @@ from autosync.cpptranslator.patches.CppInitCast import CppInitCast from autosync.cpptranslator.patches.CreateOperand0 import CreateOperand0 from autosync.cpptranslator.patches.CreateOperand1 import CreateOperand1 +from autosync.cpptranslator.patches.Data import Data from autosync.cpptranslator.patches.DeclarationInConditionClause import ( DeclarationInConditionalClause, ) @@ -46,6 +47,7 @@ from autosync.cpptranslator.patches.IsRegImm import IsOperandRegImm from autosync.cpptranslator.patches.LLVMFallThrough import LLVMFallThrough from autosync.cpptranslator.patches.LLVMunreachable import LLVMUnreachable +from autosync.cpptranslator.patches.Override import Override from autosync.cpptranslator.patches.MethodToFunctions import MethodToFunction from autosync.cpptranslator.patches.MethodTypeQualifier import MethodTypeQualifier from autosync.cpptranslator.patches.NamespaceAnon import NamespaceAnon @@ -62,6 +64,7 @@ from autosync.cpptranslator.patches.RegClassContains import RegClassContains from autosync.cpptranslator.patches.SetOpcode import SetOpcode from autosync.cpptranslator.patches.SignExtend import SignExtend +from autosync.cpptranslator.patches.Size import Size from autosync.cpptranslator.patches.SizeAssignments import SizeAssignment from autosync.cpptranslator.patches.STIArgument import STIArgument from autosync.cpptranslator.patches.STIFeatureBits import STIFeatureBits @@ -215,6 +218,11 @@ def test_createoperand1(self): b"MCInst_insert0(MI, I, MCOperand_CreateReg1(MI, (REGISTER)))", ) + def test_data(self): + patch = Data(0) + syntax = b"Bytes.data()" + self.check_patching_result(patch, syntax, b"Bytes") + def test_declarationinconditionclause(self): patch = DeclarationInConditionalClause(0) syntax = b"if (int i = 0) {}" @@ -228,14 +236,14 @@ def test_decodeinstruction(self): self.check_patching_result( patch, syntax, - b"decodeInstruction_2(DecoderTableThumb16, MI, Insn16, Address)", + b"decodeInstruction_2(DecoderTableThumb16, MI, Insn16, Address, NULL)", ) syntax = b"decodeInstruction(Table[i], MI, Insn16, Address, this, STI);" self.check_patching_result( patch, syntax, - b"decodeInstruction_2(Table[i], MI, Insn16, Address)", + b"decodeInstruction_2(Table[i], MI, Insn16, Address, NULL)", ) def test_decodercast(self): @@ -421,6 +429,11 @@ def test_outstreamparam(self): syntax = b"void function(int a, raw_ostream &OS);" self.check_patching_result(patch, syntax, b"(int a, SStream *OS)") + def test_override(self): + patch = Override(0) + syntax = b"class a { void function(int a) override; };" + self.check_patching_result(patch, syntax, b"function(int a)") + def test_predicateblockfunctions(self): patch = PredicateBlockFunctions(0) syntax = b"void function(MCInst *MI) { VPTBlock.instrInVPTBlock(); }" @@ -469,6 +482,11 @@ def test_signextend(self): syntax = b"SignExtend32(0)" self.check_patching_result(patch, syntax, b"SignExtend32((0), A)") + def test_size(self): + patch = Size(0) + syntax = b"Bytes.size()" + self.check_patching_result(patch, syntax, b"BytesLen") + def test_sizeassignments(self): patch = SizeAssignment(0) syntax = b"void function(int &Size) { Size = 0; }" @@ -541,7 +559,7 @@ def test_templatedefinition(self): def test_templateparamdecl(self): patch = TemplateParamDecl(0) syntax = b"void function(ArrayRef x);" - self.check_patching_result(patch, syntax, b"const uint8_t *x") + self.check_patching_result(patch, syntax, b"const uint8_t *x, size_t xLen") def test_templaterefs(self): patch = TemplateRefs(0) diff --git a/suite/auto-sync/src/autosync/cpptranslator/arch_config.json b/suite/auto-sync/src/autosync/cpptranslator/arch_config.json index 7b8680bd10..03e89797d9 100644 --- a/suite/auto-sync/src/autosync/cpptranslator/arch_config.json +++ b/suite/auto-sync/src/autosync/cpptranslator/arch_config.json @@ -127,5 +127,28 @@ ], "templates_with_arg_deduction": [], "manually_edited_files": [] + }, + "LoongArch": { + "files_to_translate": [ + { + "in": "{LLVM_ROOT}/llvm/lib/Target/LoongArch/Disassembler/LoongArchDisassembler.cpp", + "out": "LoongArchDisassembler.c" + },{ + "in": "{LLVM_ROOT}/llvm/lib/Target/LoongArch/MCTargetDesc/LoongArchInstPrinter.cpp", + "out": "LoongArchInstPrinter.c" + },{ + "in": "{LLVM_ROOT}/llvm/lib/Target/LoongArch/MCTargetDesc/LoongArchInstPrinter.h", + "out": "LoongArchInstPrinter.h" + } + ], + "files_for_template_search": [ + "{CPP_INC_OUT_DIR}/LoongArchGenDisassemblerTables.inc", + "{CPP_INC_OUT_DIR}/LoongArchGenAsmWriter.inc", + "{LLVM_ROOT}/llvm/lib/Target/LoongArch/Disassembler/LoongArchDisassembler.cpp", + "{LLVM_ROOT}/llvm/lib/Target/LoongArch/MCTargetDesc/LoongArchInstPrinter.cpp" + ], + "templates_with_arg_deduction": [ + ], + "manually_edited_files": [] } } diff --git a/suite/auto-sync/src/autosync/cpptranslator/patches/AddCSDetail.py b/suite/auto-sync/src/autosync/cpptranslator/patches/AddCSDetail.py index 9b3fef2f30..fcb5f912bf 100644 --- a/suite/auto-sync/src/autosync/cpptranslator/patches/AddCSDetail.py +++ b/suite/auto-sync/src/autosync/cpptranslator/patches/AddCSDetail.py @@ -44,6 +44,7 @@ def __init__(self, priority: int, arch: str): "ARMInstPrinter.cpp", "PPCInstPrinter.cpp", "AArch64InstPrinter.cpp", + "LoongArchInstPrinter.cpp", ], "archs": list(), } diff --git a/suite/auto-sync/src/autosync/cpptranslator/patches/Data.py b/suite/auto-sync/src/autosync/cpptranslator/patches/Data.py new file mode 100644 index 0000000000..797f7580aa --- /dev/null +++ b/suite/auto-sync/src/autosync/cpptranslator/patches/Data.py @@ -0,0 +1,35 @@ +from tree_sitter import Node + +from autosync.cpptranslator.patches.Helper import get_text +from autosync.cpptranslator.patches.Patch import Patch + + +class Data(Patch): + """ + Patch Bytes.data() + to Bytes + """ + + def __init__(self, priority: int): + super().__init__(priority) + + def get_search_pattern(self) -> str: + q = ( + "(call_expression " + " (field_expression" + " ((identifier) @data_var)" + ' ((field_identifier) @field_id_op (#eq? @field_id_op "data"))' + " )" + " ((argument_list) @arg_list)" + ") @data" + ) + return q + + def get_main_capture_name(self) -> str: + return "data" + + def get_patch(self, captures: [(Node, str)], src: bytes, **kwargs) -> bytes: + # Get operand variable name (Bytes, ArrayRef) + op_var: Node = captures[1][0] + op = get_text(src, op_var.start_byte, op_var.end_byte) + return op diff --git a/suite/auto-sync/src/autosync/cpptranslator/patches/DecodeInstruction.py b/suite/auto-sync/src/autosync/cpptranslator/patches/DecodeInstruction.py index 2ac5637f0e..a2d1cbedcb 100644 --- a/suite/auto-sync/src/autosync/cpptranslator/patches/DecodeInstruction.py +++ b/suite/auto-sync/src/autosync/cpptranslator/patches/DecodeInstruction.py @@ -10,7 +10,7 @@ class DecodeInstruction(Patch): """ Patch decodeInstruction(..., this, STI) - to decodeInstruction_(...) + to decodeInstruction_(..., NULL) It also removes the arguments `this, STI`. """ @@ -40,7 +40,9 @@ def get_patch(self, captures: [(Node, str)], src: bytes, **kwargs) -> bytes: is_16bit = ( table[-2:].decode("utf8") == "16" or opcode_var[-2:].decode("utf8") == "16" ) - args = table + b", " + mi_inst + b", " + opcode_var + b", " + address + args = ( + table + b", " + mi_inst + b", " + opcode_var + b", " + address + b", NULL" + ) if is_16bit and not is_32bit: return b"decodeInstruction_2(" + args + b")" diff --git a/suite/auto-sync/src/autosync/cpptranslator/patches/Includes.py b/suite/auto-sync/src/autosync/cpptranslator/patches/Includes.py index 7d8da36f8b..c3eb0caf4c 100644 --- a/suite/auto-sync/src/autosync/cpptranslator/patches/Includes.py +++ b/suite/auto-sync/src/autosync/cpptranslator/patches/Includes.py @@ -62,6 +62,8 @@ def get_patch(self, captures: [(Node, str)], src: bytes, **kwargs) -> bytes: return res + get_PPC_includes(filename) + get_general_macros() case "AArch64": return res + get_AArch64_includes(filename) + get_general_macros() + case "LoongArch": + return res + get_LoongArch_includes(filename) + get_general_macros() case "TEST_ARCH": return res + b"test_output" case _: @@ -255,6 +257,44 @@ def get_AArch64_includes(filename: str) -> bytes: exit(1) +def get_LoongArch_includes(filename: str) -> bytes: + match filename: + case "LoongArchDisassembler.cpp": + return ( + b'#include "../../MCInst.h"\n' + + b'#include "../../MathExtras.h"\n' + + b'#include "../../MCInstPrinter.h"\n' + + b'#include "../../MCDisassembler.h"\n' + + b'#include "../../MCFixedLenDisassembler.h"\n' + + b'#include "../../cs_priv.h"\n' + + b'#include "../../utils.h"\n' + + b'#include "LoongArchDisassemblerExtension.h"\n' + + b"#define GET_SUBTARGETINFO_ENUM\n" + + b'#include "LoongArchGenSubtargetInfo.inc"\n\n' + + b"#define GET_INSTRINFO_ENUM\n" + + b'#include "LoongArchGenInstrInfo.inc"\n\n' + + b"#define GET_REGINFO_ENUM\n" + + b'#include "LoongArchGenRegisterInfo.inc"\n\n' + ) + case "LoongArchInstPrinter.cpp": + return ( + b'#include "LoongArchMapping.h"\n' + + b'#include "LoongArchInstPrinter.h"\n\n' + + b"#define GET_SUBTARGETINFO_ENUM\n" + + b'#include "LoongArchGenSubtargetInfo.inc"\n\n' + + b"#define GET_INSTRINFO_ENUM\n" + + b'#include "LoongArchGenInstrInfo.inc"\n\n' + + b"#define GET_REGINFO_ENUM\n" + + b'#include "LoongArchGenRegisterInfo.inc"\n\n' + ) + case "LoongArchInstPrinter.h": + return ( + b'#include "../../MCInstPrinter.h"\n' + b'#include "../../cs_priv.h"\n' + ) + log.fatal(f"No includes given for LoongArch source file: {filename}") + exit(1) + + def get_general_macros(): return ( b"#define CONCAT(a, b) CONCAT_(a, b)\n" b"#define CONCAT_(a, b) a ## _ ## b\n" diff --git a/suite/auto-sync/src/autosync/cpptranslator/patches/Override.py b/suite/auto-sync/src/autosync/cpptranslator/patches/Override.py new file mode 100644 index 0000000000..0f0da5e3d4 --- /dev/null +++ b/suite/auto-sync/src/autosync/cpptranslator/patches/Override.py @@ -0,0 +1,36 @@ +from tree_sitter import Node + +from autosync.cpptranslator.patches.Helper import get_text +from autosync.cpptranslator.patches.Patch import Patch + + +class Override(Patch): + """ + Patch function(args) override + to function(args) + """ + + def __init__(self, priority: int): + super().__init__(priority) + + def get_search_pattern(self) -> str: + q = ( + "(function_declarator " + " ((field_identifier) @declarator)" + " ((parameter_list) @parameter_list)" + ' ((virtual_specifier) @specifier (#eq? @specifier "override"))' + ") @override" + ) + return q + + def get_main_capture_name(self) -> str: + return "override" + + def get_patch(self, captures: [(Node, str)], src: bytes, **kwargs) -> bytes: + # Get function name + declarator: Node = captures[1][0] + # Get parameter list + parameter_list: Node = captures[2][0] + decl = get_text(src, declarator.start_byte, declarator.end_byte) + params = get_text(src, parameter_list.start_byte, parameter_list.end_byte) + return decl + params diff --git a/suite/auto-sync/src/autosync/cpptranslator/patches/Size.py b/suite/auto-sync/src/autosync/cpptranslator/patches/Size.py new file mode 100644 index 0000000000..efd37c748f --- /dev/null +++ b/suite/auto-sync/src/autosync/cpptranslator/patches/Size.py @@ -0,0 +1,35 @@ +from tree_sitter import Node + +from autosync.cpptranslator.patches.Helper import get_text +from autosync.cpptranslator.patches.Patch import Patch + + +class Size(Patch): + """ + Patch Bytes.size() + to BytesLen + """ + + def __init__(self, priority: int): + super().__init__(priority) + + def get_search_pattern(self) -> str: + q = ( + "(call_expression " + " (field_expression" + " ((identifier) @inst_var)" + ' ((field_identifier) @field_id_op (#eq? @field_id_op "size"))' + " )" + " ((argument_list) @arg_list)" + ") @size" + ) + return q + + def get_main_capture_name(self) -> str: + return "size" + + def get_patch(self, captures: [(Node, str)], src: bytes, **kwargs) -> bytes: + # Get operand variable name (Bytes, ArrayRef) + op_var: Node = captures[1][0] + op = get_text(src, op_var.start_byte, op_var.end_byte) + return op + b"Len" diff --git a/suite/auto-sync/src/autosync/cpptranslator/patches/TemplateParamDecl.py b/suite/auto-sync/src/autosync/cpptranslator/patches/TemplateParamDecl.py index 2b2eb9b8ff..c14e0e0084 100644 --- a/suite/auto-sync/src/autosync/cpptranslator/patches/TemplateParamDecl.py +++ b/suite/auto-sync/src/autosync/cpptranslator/patches/TemplateParamDecl.py @@ -14,7 +14,7 @@ class TemplateParamDecl(Patch): Example: Patch ArrayRef x - to const uint8_t *x + to const uint8_t *x, size_t xLen """ def __init__(self, priority: int): @@ -43,7 +43,15 @@ def get_patch(self, captures: [(Node, str)], src: bytes, **kwargs) -> bytes: p_id = get_text(src, param_id.start_byte, param_id.end_byte) if templ_type == b"ArrayRef": - res = b"const " + args.strip(b"<>") + b" *" + p_id + res = ( + b"const " + + args.strip(b"<>") + + b" *" + + p_id + + b", size_t " + + p_id + + b"Len" + ) return res log.fatal(f"Template type {templ_type} not handled as parameter") exit(1) diff --git a/suite/capstone_get_setup.c b/suite/capstone_get_setup.c index d3154ad288..2298db00ab 100644 --- a/suite/capstone_get_setup.c +++ b/suite/capstone_get_setup.c @@ -79,6 +79,9 @@ int main() if (cs_support(CS_ARCH_HPPA)) { printf("hppa=1 "); } + if (cs_support(CS_ARCH_LOONGARCH)) { + printf("loongarch=1 "); + } printf("\n"); return 0; diff --git a/suite/cstest/include/factory.h b/suite/cstest/include/factory.h index 0752ff0774..d3c36dba76 100644 --- a/suite/cstest/include/factory.h +++ b/suite/cstest/include/factory.h @@ -26,5 +26,6 @@ char *get_detail_bpf(csh *handle, cs_mode mode, cs_insn *ins); char *get_detail_tricore(csh *handle, cs_mode mode, cs_insn *ins); char *get_detail_alpha(csh *handle, cs_mode mode, cs_insn *ins); char *get_detail_hppa(csh *handle, cs_mode mode, cs_insn *ins); +char *get_detail_loongarch(csh *handle, cs_mode mode, cs_insn *ins); #endif /* FACTORY_H */ diff --git a/suite/cstest/issues.cs b/suite/cstest/issues.cs index d5da72c21e..11d846097c 100644 --- a/suite/cstest/issues.cs +++ b/suite/cstest/issues.cs @@ -1078,3 +1078,35 @@ !# issue 2244 !# CS_ARCH_X86, CS_MODE_64, CS_OPT_DETAIL 0x0: 0xc5,0xfb,0xc2,0xda,0x06 == vcmpnlesd xmm3, xmm0, xmm2 ; ID: 797 + +!# issue 2349 +!# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, CS_OPT_DETAIL +0x0: 0xcf, 0x41, 0xd0, 0x28 == ld.d $t3, $t2, 0x410 ; operands[1].type: MEM ; operands[1].mem.base: REG = t2 ; operands[1].mem.disp: 0x410 + +!# issue 2349 +!# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, CS_OPT_DETAIL +0x0: 0x8d, 0x59, 0x10, 0x27 == stptr.d $t1, $t0, 0x1058 ; operands[1].type: MEM ; operands[1].mem.base: REG = t0 ; operands[1].mem.disp: 0x1058 + +!# issue 2349 +!# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, CS_OPT_DETAIL +0x0: 0xa4, 0x15, 0x20, 0x30 == vldrepl.w $vr4, $t1, 0x14 ; operands[1].type: MEM ; operands[1].mem.base: REG = t1 ; operands[1].mem.disp: 0x14 + +!# issue 2349 +!# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, CS_OPT_DETAIL +0x0: 0x68, 0x22, 0xc2, 0x2a == preld 8, $t7, 0x88 ; operands[1].type: MEM ; operands[1].mem.base: REG = t7 ; operands[1].mem.disp: 0x88 + +!# issue 2349 +!# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, CS_OPT_DETAIL +0x0: 0xe1, 0x2c, 0x30, 0x38 == fldx.s $fa1, $a3, $a7 ; operands[1].type: MEM ; operands[1].mem.base: REG = a3 ; operands[1].mem.index: REG = a7 + +!# issue 2349 +!# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, CS_OPT_DETAIL +0x0: 0xc4, 0x14, 0x57, 0x38 == sc.q $a0, $a1, $a2 ; operands[2].type: MEM ; operands[2].mem.base: REG = a2 + +!# issue 2349 +!# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, CS_OPT_DETAIL +0x0: 0xc4, 0x14, 0x61, 0x38 == amadd.w $a0, $a1, $a2 ; operands[2].type: MEM ; operands[2].mem.base: REG = a2 + +!# issue 2349 +!# CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, CS_OPT_DETAIL +0x0: 0xa4, 0x18, 0x78, 0x38 == ldgt.b $a0, $a1, $a2 ; operands[1].type: MEM ; operands[1].mem.base: REG = a1 ; operands[2].type: REG = a2 diff --git a/suite/cstest/src/capstone_test.c b/suite/cstest/src/capstone_test.c index 1cb8ae5cf9..176afb7406 100644 --- a/suite/cstest/src/capstone_test.c +++ b/suite/cstest/src/capstone_test.c @@ -201,6 +201,9 @@ int set_function(int arch) case CS_ARCH_HPPA: function = get_detail_hppa; break; + case CS_ARCH_LOONGARCH: + function = get_detail_loongarch; + break; default: return -1; } diff --git a/suite/cstest/src/loongarch_detail.c b/suite/cstest/src/loongarch_detail.c new file mode 100644 index 0000000000..ae2ee98ace --- /dev/null +++ b/suite/cstest/src/loongarch_detail.c @@ -0,0 +1,51 @@ +/* Capstone testing regression */ +/* By Do Minh Tuan , 02-2019 */ +/* Jiajie Chen , 2024 */ + + +#include "factory.h" + +char *get_detail_loongarch(csh *handle, cs_mode mode, cs_insn *ins) +{ + cs_loongarch *loongarch; + int i; + char *result; + + result = (char *)malloc(sizeof(char)); + result[0] = '\0'; + + if (ins->detail == NULL) + return result; + + loongarch = &(ins->detail->loongarch); + if (loongarch->op_count) + add_str(&result, " ; op_count: %u", loongarch->op_count); + + for (i = 0; i < loongarch->op_count; i++) { + cs_loongarch_op *op = &(loongarch->operands[i]); + switch((int)op->type) { + default: + break; + case LoongArch_OP_REG: + add_str(&result, " ; operands[%u].type: REG = %s", i, cs_reg_name(*handle, op->reg)); + break; + case LoongArch_OP_IMM: + add_str(&result, " ; operands[%u].type: IMM = 0x%x", i, op->imm); + break; + case LoongArch_OP_MEM: + add_str(&result, " ; operands[%u].type: MEM", i); + if (op->mem.base != LoongArch_REG_INVALID) + add_str(&result, " ; operands[%u].mem.base: REG = %s", + i, cs_reg_name(*handle, op->mem.base)); + if (op->mem.index != LoongArch_REG_INVALID) + add_str(&result, " ; operands[%u].mem.index: REG = %s", + i, cs_reg_name(*handle, op->mem.index)); + if (op->mem.disp != 0) + add_str(&result, " ; operands[%u].mem.disp: 0x%x", i, op->mem.disp); + break; + } + } + + return result; +} + diff --git a/suite/cstest/src/main.c b/suite/cstest/src/main.c index 0a02449ec8..5e8041a141 100644 --- a/suite/cstest/src/main.c +++ b/suite/cstest/src/main.c @@ -23,6 +23,7 @@ static single_dict arches[] = { {"CS_ARCH_TRICORE", CS_ARCH_TRICORE}, {"CS_ARCH_ALPHA", CS_ARCH_ALPHA}, {"CS_ARCH_HPPA", CS_ARCH_HPPA}, + {"CS_ARCH_LOONGARCH", CS_ARCH_LOONGARCH}, }; static single_dict modes[] = { @@ -75,6 +76,8 @@ static single_dict arches[] = { {"CS_MODE_HPPA_20", CS_MODE_HPPA_20}, {"CS_MODE_HPPA_20W", CS_MODE_HPPA_20W}, {"CS_MODE_HPPA_11", CS_MODE_HPPA_11}, + {"CS_MODE_LOONGARCH32", CS_MODE_LOONGARCH32}, + {"CS_MODE_LOONGARCH64", CS_MODE_LOONGARCH64}, }; static double_dict options[] = { @@ -134,6 +137,8 @@ static single_dict arches[] = { {"CS_MODE_HPPA_20", CS_OPT_MODE, CS_MODE_HPPA_20}, {"CS_MODE_HPPA_20W", CS_OPT_MODE, CS_MODE_HPPA_20W}, {"CS_MODE_HPPA_11", CS_OPT_MODE, CS_MODE_HPPA_11}, + {"CS_MODE_LOONGARCH32", CS_OPT_MODE, CS_MODE_LOONGARCH32}, + {"CS_MODE_LOONGARCH64", CS_OPT_MODE, CS_MODE_LOONGARCH64}, }; static int counter; diff --git a/suite/test_corpus.py b/suite/test_corpus.py index 8d7daf40b9..1d970ac5f0 100755 --- a/suite/test_corpus.py +++ b/suite/test_corpus.py @@ -125,6 +125,8 @@ def test_file(fname): ("CS_ARCH_ALPHA", "CS_MODE_BIG_ENDIAN"): 56, ("CS_ARCH_HPPA", "CS_MODE_HPPA_11+CS_MODE_BIG_ENDIAN"): 57, ("CS_ARCH_HPPA", "CS_MODE_HPPA_20+CS_MODE_BIG_ENDIAN"): 58, + ("CS_ARCH_LOONGARCH", "CS_MODE_LOONGARCH32"): 59, + ("CS_ARCH_LOONGARCH", "CS_MODE_LOONGARCH64"): 60, } #if not option in ('', 'None'): diff --git a/tests/test_loongarch.c b/tests/test_loongarch.c new file mode 100644 index 0000000000..821ab4c239 --- /dev/null +++ b/tests/test_loongarch.c @@ -0,0 +1,145 @@ +#include +#include + +#include +#include + +struct platform { + cs_arch arch; + cs_mode mode; + unsigned char *code; + size_t size; + const char *comment; +}; + +static csh handle; + +static void print_string_hex(const char *comment, unsigned char *str, + size_t len) { + unsigned char *c; + + printf("%s", comment); + for (c = str; c < str + len; c++) { + printf("0x%02x ", *c & 0xff); + } + + printf("\n"); +} + +static void print_insn_detail(cs_insn *ins) { + int i; + int n; + cs_loongarch *loongarch; + cs_detail *detail; + + // detail can be NULL on "data" instruction if SKIPDATA option is turned ON + if (ins->detail == NULL) + return; + + loongarch = &(ins->detail->loongarch); + detail = ins->detail; + if (loongarch->op_count) + printf("\top_count: %u\n", loongarch->op_count); + + for (i = 0; i < loongarch->op_count; i++) { + cs_loongarch_op *op = &(loongarch->operands[i]); + switch ((int)op->type) { + default: + printf("\terror in opt_type: %u\n", (int)op->type); + break; + case LoongArch_OP_REG: + printf("\t\toperands[%u].type: REG = %s\n", i, + cs_reg_name(handle, op->reg)); + break; + case LoongArch_OP_IMM: + printf("\t\toperands[%u].type: IMM = 0x%" PRIx64 "\n", i, op->imm); + break; + case LoongArch_OP_MEM: + printf("\t\toperands[%u].type: MEM\n", i); + if (op->mem.base != LoongArch_REG_INVALID) + printf("\t\t\toperands[%u].mem.base: REG = %s\n", i, + cs_reg_name(handle, op->mem.base)); + if (op->mem.disp != 0) + printf("\t\t\toperands[%u].mem.disp: 0x%" PRIx64 "\n", i, op->mem.disp); + + break; + } + } + + // print the groups this instruction belongs to + if (detail->groups_count > 0) { + printf("\tgroups: "); + for (n = 0; n < detail->groups_count; n++) { + printf("%s ", cs_group_name(handle, detail->groups[n])); + } + printf("\n"); + } + + printf("\n"); +} + +static void test() { +#define LOONGARCH_CODE32 "\x0c\x00\x08\x14\x8c\xfd\xbf\x02" +#define LOONGARCH_CODE64 \ + "\x80\x80\x00\x40\x63\x80\xff\x02\x78\x20\xc0\x29\x00\x84\x00\x01\x00\xa4" \ + "\x14\x01" + struct platform platforms[] = {{CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH32, + (unsigned char *)LOONGARCH_CODE32, + sizeof(LOONGARCH_CODE32) - 1, "loongarch32"}, + {CS_ARCH_LOONGARCH, CS_MODE_LOONGARCH64, + (unsigned char *)LOONGARCH_CODE64, + sizeof(LOONGARCH_CODE64) - 1, "loongarch64"}}; + + uint64_t address = 0x1000; + cs_insn *insn; + int i; + size_t count; + + for (i = 0; i < sizeof(platforms) / sizeof(platforms[0]); i++) { + cs_err err = cs_open(platforms[i].arch, platforms[i].mode, &handle); + if (err) { + printf("Failed on cs_open() with error returned: %u\n", err); + continue; + } + + // To turn on or off the Print Details option + // cs_option(handle, CS_OPT_DETAIL, CS_OPT_OFF); + cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON); + + count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, + &insn); + if (count) { + size_t j; + + printf("****************\n"); + printf("Platform: %s\n", platforms[i].comment); + print_string_hex("Code:", platforms[i].code, platforms[i].size); + printf("Disasm:\n"); + + for (j = 0; j < count; j++) { + printf("0x%" PRIx64 ":\t%s\t%s\n", insn[j].address, insn[j].mnemonic, + insn[j].op_str); + print_insn_detail(&insn[j]); + } + printf("0x%" PRIx64 ":\n", insn[j - 1].address + insn[j - 1].size); + + // free memory allocated by cs_disasm() + cs_free(insn, count); + } else { + printf("****************\n"); + printf("Platform: %s\n", platforms[i].comment); + print_string_hex("Code:", platforms[i].code, platforms[i].size); + printf("ERROR: Failed to disasm given code!\n"); + } + + printf("\n"); + + cs_close(&handle); + } +} + +int main() { + test(); + + return 0; +}