From a4da816869216544f30f256bdc9e44a3132af904 Mon Sep 17 00:00:00 2001 From: Nathaniel Navarro Date: Wed, 28 Aug 2024 09:00:06 -0700 Subject: [PATCH] Make groups created in `compile_invoke` only drive signals that are actually used in an `invoke` (#2216) These changes make it so that only signals used by a component are turned into assignments when said component is `invoke`d. I added some comments trying to point out what changes were made as the naming and keeping track of what is held in which data structure can be a bit confusing. For example, consider this reader component, which manually drives a `manually_driven_ref_reg_out` signal ``` component reader() -> (manually_driven_ref_reg_out : 32) { cells { ref ref_reg = std_reg(32); } wires { //reads from the ref register group read_from_ref_reg { manually_driven_ref_reg_out = ref_reg.out; read_from_ref_reg[done] = ref_reg.done; } } control { read_from_ref_reg; } } ``` If we invoke this component in main and pass in a `concrete_cell`: `invoke reader[ref_reg=concrete_reg]()();` This control statement will now get compiled to a group that only assigns to the ports used in `reader`. So in this case, `concrete_reg.out`. Notably, `concrete_reg`'s `write_en` and `in` signals do not appear here. Before this PR, they would have. ``` group invoke0 { reader.manually_driven_ref_reg_out = concrete_reg.out; reader.go = 1'd1; invoke0[done] = reader.done; } ``` This partially address the issue of [passing in `ref` cells in parallel](https://calyx.zulipchat.com/#narrow/stream/423433-general/topic/parallel.20ref.20passing). In particular, correctly models behavior in verilog in cases where ports driven/accessed by components that are passed in the same concrete cell in parallel are mutually exclusive. (i.e. a write component that only drive a register's `in` and `write_en` ports.) So one could now imagine the following control block valid: ``` control { par { invoke reader[ref_reg = concrete_reg]()(); invoke writer[ref_reg = concrete_reg]()(); } } ``` --- PS: Worth noting that the `reader` component as written above would currently run into issues due to #2198 --- calyx-ir/src/structure.rs | 9 + calyx-opt/src/passes/compile_invoke.rs | 148 +- tests/passes/compile-invoke/invoke-ref.expect | 1 - tests/passes/compile-invoke/ref-invoke.expect | 2 - tests/passes/compile-invoke/ref.expect | 1 - tests/passes/compile-invoke/static-ref.expect | 2 - .../dyn-mem-vec-add-axi-wrapped.expect | 44 +- .../axi/dynamic/dyn-mem-vec-add-verilog.v | 44 +- .../seq-mem-vec-add-axi-wrapped.expect | 2202 ++++--- .../seq-mem-vec-add-verilog.v | 5339 ++++++++--------- 10 files changed, 3779 insertions(+), 4013 deletions(-) diff --git a/calyx-ir/src/structure.rs b/calyx-ir/src/structure.rs index 42d10eae29..cbf0d1d1b5 100644 --- a/calyx-ir/src/structure.rs +++ b/calyx-ir/src/structure.rs @@ -538,6 +538,15 @@ impl Assignment { } self.guard.for_each(&mut |port| f(&port).map(Guard::port)) } + + /// Iterate through all ports contained within the assignment. + pub fn iter_ports(&self) -> impl Iterator> { + self.guard + .all_ports() + .into_iter() + .chain(std::iter::once(Rc::clone(&self.dst))) + .chain(std::iter::once(Rc::clone(&self.src))) + } } impl From> for Assignment { diff --git a/calyx-opt/src/passes/compile_invoke.rs b/calyx-opt/src/passes/compile_invoke.rs index 5b1d14f05f..54b9f6dff6 100644 --- a/calyx-opt/src/passes/compile_invoke.rs +++ b/calyx-opt/src/passes/compile_invoke.rs @@ -7,7 +7,7 @@ use calyx_utils::{CalyxResult, Error}; use ir::{Assignment, RRC, WRC}; use itertools::Itertools; use linked_hash_map::LinkedHashMap; -use std::collections::HashMap; +use std::collections::{HashMap, HashSet}; use std::rc::Rc; use super::dump_ports; @@ -128,17 +128,21 @@ impl Named for CompileInvoke { impl CompileInvoke { /// Given `ref_cells` of an invoke, returns `(inputs, outputs)` where /// inputs are the corresponding inputs to the `invoke` and - /// outputs are the corresponding outputs to the `invoke`. + /// outputs are the corresponding outputs to the `invoke` that are used + /// in the component the ref_cell is. + /// (i.e. If a component only reads from a register, + /// only assignments for `reg.out` will be returned.) /// /// Since this pass eliminates all ref cells in post order, we expect that /// invoked component already had all of its ref cells removed. - fn ref_cells_to_ports( + fn ref_cells_to_ports_assignments( &mut self, inv_cell: RRC, ref_cells: impl Iterator)>, + invoked_comp: Option<&ir::Component>, //i.e. in invoke reader[]()(); this is `reader` ) -> Vec> { - let inv_comp = inv_cell.borrow().type_name().unwrap(); + let inv_comp_id = inv_cell.borrow().type_name().unwrap(); let mut assigns = Vec::new(); for (ref_cell_name, concrete_cell) in ref_cells { log::debug!( @@ -147,22 +151,65 @@ impl CompileInvoke { concrete_cell.borrow().ports.len() ); - // Mapping from canonical names of the ports of the ref cell to the - // new port defined on the signature of the component. This has name of ref cell, not arg cell - let Some(comp_ports) = self.port_names.get(&inv_comp) else { - unreachable!("component `{}` invoked but not already visited by the pass", inv_comp) + // comp_ports is mapping from canonical names of the ports of the ref cell to the + // new port defined on the signature of the higher level component. + // i.e. ref_reg.in -> ref_reg_in + // These have name of ref cell, not the cell passed in as an arugment + let Some(comp_ports) = self.port_names.get(&inv_comp_id) else { + unreachable!("component `{}` invoked but not already visited by the pass", inv_comp_id) }; + // tracks ports used in assigments of the invoked component + let mut used_ports: HashSet = HashSet::new(); + if let Some(invoked_comp) = invoked_comp { + invoked_comp.iter_assignments(|a| { + for port in a.iter_ports() { + used_ports.insert(port.borrow().name); + } + }); + invoked_comp.iter_static_assignments(|a| { + for port in a.iter_ports() { + used_ports.insert(port.borrow().name); + } + }); + // If the `invoked_comp` passed to the function is `None`, + // then the component being invoked is a primitive. + } else { + unreachable!("Primitives should not have ref cells passed into them at invocation. However ref cells were found at the invocation of {}.", + inv_comp_id + ); + } + + //contains the newly added ports that result from ref cells removal/dump_ports + let new_comp_ports = comp_ports + .values() + .map(|p| p.borrow().name) + .collect::>(); + + let to_assign: HashSet<&ir::Id> = + new_comp_ports.intersection(&used_ports).collect(); + // We expect each canonical port in `comp_ports` to exactly match with a port in //`concrete_cell` based on well-formedness subtype checks. - for canon in comp_ports.keys() { + // `canon` is `ref_reg.in`, for example. + for (ref_cell_canon, new_sig_port) in comp_ports.iter() { //only interested in ports attached to the ref cell - if canon.cell != ref_cell_name { + if ref_cell_canon.cell != ref_cell_name { + continue; + } + + // For example, if we have a reader component that only reads from a ref_reg, + // we will not have `ref_reg.in = ...` in the invoke* group because the + // reader component does not access `ref_reg.in`. + if !to_assign.contains(&new_sig_port.borrow().name) { continue; } + // The given port of the actual, concrete cell passed in - let concrete_port = - Self::get_concrete_port(concrete_cell.clone(), &canon.port); + let concrete_port = Self::get_concrete_port( + concrete_cell.clone(), + &ref_cell_canon.port, + ); if concrete_port.borrow().has_attribute(ir::BoolAttr::Clk) || concrete_port.borrow().has_attribute(ir::BoolAttr::Reset) @@ -170,16 +217,20 @@ impl CompileInvoke { continue; } - let Some(comp_port) = comp_ports.get(canon) else { + let Some(comp_port) = comp_ports.get(ref_cell_canon) else { unreachable!("port `{}` not found in the signature of {}. Known ports are: {}", - canon, - inv_comp, + ref_cell_canon, + inv_comp_id, comp_ports.keys().map(|c| c.port.as_ref()).collect_vec().join(", ") ) }; // Get the port on the new cell with the same name as ref_port let ref_port = inv_cell.borrow().get(comp_port.borrow().name); - log::debug!("Port `{}` -> `{}`", canon, ref_port.borrow().name); + log::debug!( + "Port `{}` -> `{}`", + ref_cell_canon, + ref_port.borrow().name + ); let old_port = concrete_port.borrow().canonical(); // If the port has been removed already, get the new port from the component's signature @@ -195,33 +246,28 @@ impl CompileInvoke { Rc::clone(&concrete_port) }; + //Create assignments from dst to src + let dst: RRC; + let src: RRC; match concrete_port.borrow().direction { ir::Direction::Output => { - log::debug!( - "constructing: {} = {}", - ref_port.borrow().canonical(), - arg_port.borrow().canonical() - ); - assigns.push(ir::Assignment::new( - ref_port.clone(), - arg_port, - )); + dst = ref_port.clone(); + src = arg_port; } ir::Direction::Input => { - log::debug!( - "constructing: {} = {}", - arg_port.borrow().canonical(), - ref_port.borrow().canonical(), - ); - assigns.push(ir::Assignment::new( - arg_port, - ref_port.clone(), - )); + dst = arg_port; + src = ref_port.clone(); } _ => { unreachable!("Cell should have inout ports"); } }; + log::debug!( + "constructing: {} = {}", + dst.borrow().canonical(), + src.borrow().canonical(), + ); + assigns.push(ir::Assignment::new(dst, src)); } } assigns @@ -272,12 +318,12 @@ impl Visitor for CompileInvoke { for cell in comp.cells.iter() { let mut new_ports: Vec> = Vec::new(); if let Some(name) = cell.borrow().type_name() { - if let Some(vec) = self.port_names.get_ports(&name) { + if let Some(ports) = self.port_names.get_ports(&name) { log::debug!( "Updating ports of cell `{}' (type `{name}')", cell.borrow().name() ); - for p in vec.iter() { + for p in ports.iter() { let new_port = ir::rrc(ir::Port { name: p.borrow().name, width: p.borrow().width, @@ -311,14 +357,24 @@ impl Visitor for CompileInvoke { s: &mut ir::Invoke, comp: &mut ir::Component, ctx: &LibrarySignatures, - _comps: &[ir::Component], + comps: &[ir::Component], ) -> VisResult { let mut builder = ir::Builder::new(comp, ctx); let invoke_group = builder.add_group("invoke"); + + //get iterator of comps of ref_cells used in the invoke + let invoked_comp: Option<&ir::Component> = comps + .iter() + .find(|&c| s.comp.borrow().prototype.get_name().unwrap() == c.name); + // Assigns representing the ref cell connections invoke_group.borrow_mut().assignments.extend( - self.ref_cells_to_ports(Rc::clone(&s.comp), s.ref_cells.drain(..)), - // ), //the clone here is questionable? but lets things type check? Maybe change ref_cells_to_ports to expect a reference? + self.ref_cells_to_ports_assignments( + Rc::clone(&s.comp), + s.ref_cells.drain(..), + invoked_comp, + ), + //the clone here is questionable? but lets things type check? Maybe change ref_cells_to_ports to expect a reference? ); // comp.go = 1'd1; @@ -356,7 +412,6 @@ impl Visitor for CompileInvoke { cell, ); invoke_group.borrow_mut().assignments.extend(assigns); - // Add assignments from the attached combinational group if let Some(cgr) = &s.comb_group { let cg = &*cgr.borrow(); @@ -390,13 +445,22 @@ impl Visitor for CompileInvoke { s: &mut ir::StaticInvoke, comp: &mut ir::Component, ctx: &LibrarySignatures, - _comps: &[ir::Component], + comps: &[ir::Component], ) -> VisResult { let mut builder = ir::Builder::new(comp, ctx); let invoke_group = builder.add_static_group("static_invoke", s.latency); + //If the component is not a primitive, pass along the component to `ref_cells_to_ports`` + let invoked_comp: Option<&ir::Component> = comps + .iter() + .find(|&c| s.comp.borrow().prototype.get_name().unwrap() == c.name); + invoke_group.borrow_mut().assignments.extend( - self.ref_cells_to_ports(Rc::clone(&s.comp), s.ref_cells.drain(..)), + self.ref_cells_to_ports_assignments( + Rc::clone(&s.comp), + s.ref_cells.drain(..), + invoked_comp, + ), ); // comp.go = 1'd1; diff --git a/tests/passes/compile-invoke/invoke-ref.expect b/tests/passes/compile-invoke/invoke-ref.expect index dadf225558..f106d9f156 100644 --- a/tests/passes/compile-invoke/invoke-ref.expect +++ b/tests/passes/compile-invoke/invoke-ref.expect @@ -22,7 +22,6 @@ component main(@go go: 1, @clk clk: 1, @reset reset: 1) -> (@done done: 1) { group invoke0 { r0.in = f.r_in; r0.write_en = f.r_write_en; - f.r_out = r0.out; f.r_done = r0.done; f.go = 1'd1; invoke0[done] = f.done; diff --git a/tests/passes/compile-invoke/ref-invoke.expect b/tests/passes/compile-invoke/ref-invoke.expect index fed3a9b242..089a528ca5 100644 --- a/tests/passes/compile-invoke/ref-invoke.expect +++ b/tests/passes/compile-invoke/ref-invoke.expect @@ -24,7 +24,6 @@ component main(@go go: 1, @clk clk: 1, @reset reset: 1) -> (@done done: 1) { group invoke0 { k1.in = f.m_in; k1.write_en = f.m_write_en; - f.m_out = k1.out; f.m_done = k1.done; f.go = 1'd1; invoke0[done] = f.done; @@ -32,7 +31,6 @@ component main(@go go: 1, @clk clk: 1, @reset reset: 1) -> (@done done: 1) { group invoke1 { k2.in = f.m_in; k2.write_en = f.m_write_en; - f.m_out = k2.out; f.m_done = k2.done; f.go = 1'd1; invoke1[done] = f.done; diff --git a/tests/passes/compile-invoke/ref.expect b/tests/passes/compile-invoke/ref.expect index 20274fc711..d739ac3c98 100644 --- a/tests/passes/compile-invoke/ref.expect +++ b/tests/passes/compile-invoke/ref.expect @@ -26,7 +26,6 @@ component main(@go go: 1, @clk clk: 1, @reset reset: 1) -> (@done done: 1) { group invoke0 { r0.in = f.r_in; r0.write_en = f.r_write_en; - f.r_out = r0.out; f.r_done = r0.done; f.go = 1'd1; invoke0[done] = f.done; diff --git a/tests/passes/compile-invoke/static-ref.expect b/tests/passes/compile-invoke/static-ref.expect index 3961008028..f3bbf1223c 100644 --- a/tests/passes/compile-invoke/static-ref.expect +++ b/tests/passes/compile-invoke/static-ref.expect @@ -51,8 +51,6 @@ component main(@go go: 1, @clk clk: 1, @reset reset: 1) -> (@done done: 1) { mem.addr0 = adder.out_addr0; mem.write_data = adder.out_write_data; mem.write_en = adder.out_write_en; - adder.out_read_data = mem.read_data; - adder.out_done = mem.done; adder.go = %0 ? 1'd1; } } diff --git a/yxi/tests/axi/dynamic/dyn-mem-vec-add-axi-wrapped.expect b/yxi/tests/axi/dynamic/dyn-mem-vec-add-axi-wrapped.expect index 8f8db5b9c1..ce3cbaa9b2 100644 --- a/yxi/tests/axi/dynamic/dyn-mem-vec-add-axi-wrapped.expect +++ b/yxi/tests/axi/dynamic/dyn-mem-vec-add-axi-wrapped.expect @@ -9899,8 +9899,8 @@ wire _guard4 = invoke0_go_out; wire _guard5 = invoke0_go_out; wire _guard6 = invoke0_go_out; wire _guard7 = invoke0_go_out; -wire _guard8 = invoke0_go_out; -wire _guard9 = invoke0_done_out; +wire _guard8 = invoke0_done_out; +wire _guard9 = invoke0_go_out; wire _guard10 = invoke0_go_out; wire _guard11 = invoke0_go_out; wire _guard12 = invoke0_go_out; @@ -9909,9 +9909,6 @@ wire _guard14 = invoke0_go_out; wire _guard15 = invoke0_go_out; wire _guard16 = invoke0_go_out; wire _guard17 = invoke0_go_out; -wire _guard18 = invoke0_go_out; -wire _guard19 = invoke0_go_out; -wire _guard20 = invoke0_go_out; assign axi_dyn_mem_A0_WREADY = A0_WREADY; assign axi_dyn_mem_A0_RVALID = A0_RVALID; assign axi_dyn_mem_A0_RLAST = A0_RLAST; @@ -9929,9 +9926,6 @@ assign axi_dyn_mem_A0_content_en = assign axi_dyn_mem_A0_AWREADY = A0_AWREADY; assign axi_dyn_mem_A0_reset = reset; assign axi_dyn_mem_A0_RRESP = A0_RRESP; -assign axi_dyn_mem_A0_write_data = - _guard4 ? main_compute_A0_write_data : - 32'd0; assign axi_dyn_mem_A0_ARREADY = A0_ARREADY; assign axi_dyn_mem_A0_BVALID = A0_BVALID; assign axi_dyn_mem_A0_ARESETn = A0_ARESETn; @@ -9939,26 +9933,26 @@ assign axi_dyn_mem_Sum0_WREADY = Sum0_WREADY; assign axi_dyn_mem_Sum0_RVALID = Sum0_RVALID; assign axi_dyn_mem_Sum0_RLAST = Sum0_RLAST; assign axi_dyn_mem_Sum0_write_en = - _guard5 ? main_compute_Sum0_write_en : + _guard4 ? main_compute_Sum0_write_en : 1'd0; assign axi_dyn_mem_Sum0_RDATA = Sum0_RDATA; assign axi_dyn_mem_Sum0_clk = clk; assign axi_dyn_mem_Sum0_addr0 = - _guard6 ? main_compute_Sum0_addr0 : + _guard5 ? main_compute_Sum0_addr0 : 3'd0; assign axi_dyn_mem_Sum0_content_en = - _guard7 ? main_compute_Sum0_content_en : + _guard6 ? main_compute_Sum0_content_en : 1'd0; assign axi_dyn_mem_Sum0_AWREADY = Sum0_AWREADY; assign axi_dyn_mem_Sum0_reset = reset; assign axi_dyn_mem_Sum0_RRESP = Sum0_RRESP; assign axi_dyn_mem_Sum0_write_data = - _guard8 ? main_compute_Sum0_write_data : + _guard7 ? main_compute_Sum0_write_data : 32'd0; assign axi_dyn_mem_Sum0_ARREADY = Sum0_ARREADY; assign axi_dyn_mem_Sum0_BVALID = Sum0_BVALID; assign axi_dyn_mem_Sum0_ARESETn = Sum0_ARESETn; -assign done = _guard9; +assign done = _guard8; assign B0_WLAST = axi_dyn_mem_B0_WLAST; assign Sum0_ARVALID = axi_dyn_mem_Sum0_ARVALID; assign Sum0_ARBURST = axi_dyn_mem_Sum0_ARBURST; @@ -10020,48 +10014,42 @@ assign Sum0_WID = 1'd0; assign A0_ARLEN = axi_dyn_mem_A0_ARLEN; assign A0_AWID = 1'd0; assign main_compute_A0_read_data = - _guard10 ? axi_dyn_mem_A0_read_data : + _guard9 ? axi_dyn_mem_A0_read_data : 32'd0; assign main_compute_B0_read_data = - _guard11 ? axi_dyn_mem_B0_read_data : + _guard10 ? axi_dyn_mem_B0_read_data : 32'd0; assign main_compute_Sum0_done = - _guard12 ? axi_dyn_mem_Sum0_done : + _guard11 ? axi_dyn_mem_Sum0_done : 1'd0; assign main_compute_clk = clk; assign main_compute_B0_done = - _guard13 ? axi_dyn_mem_B0_done : + _guard12 ? axi_dyn_mem_B0_done : 1'd0; -assign main_compute_go = _guard14; +assign main_compute_go = _guard13; assign main_compute_reset = reset; assign main_compute_A0_done = - _guard15 ? axi_dyn_mem_A0_done : + _guard14 ? axi_dyn_mem_A0_done : 1'd0; -assign main_compute_Sum0_read_data = - _guard16 ? axi_dyn_mem_Sum0_read_data : - 32'd0; assign invoke0_go_in = go; assign invoke0_done_in = main_compute_done; assign axi_dyn_mem_B0_WREADY = B0_WREADY; assign axi_dyn_mem_B0_RVALID = B0_RVALID; assign axi_dyn_mem_B0_RLAST = B0_RLAST; assign axi_dyn_mem_B0_write_en = - _guard17 ? main_compute_B0_write_en : + _guard15 ? main_compute_B0_write_en : 1'd0; assign axi_dyn_mem_B0_RDATA = B0_RDATA; assign axi_dyn_mem_B0_clk = clk; assign axi_dyn_mem_B0_addr0 = - _guard18 ? main_compute_B0_addr0 : + _guard16 ? main_compute_B0_addr0 : 3'd0; assign axi_dyn_mem_B0_content_en = - _guard19 ? main_compute_B0_content_en : + _guard17 ? main_compute_B0_content_en : 1'd0; assign axi_dyn_mem_B0_AWREADY = B0_AWREADY; assign axi_dyn_mem_B0_reset = reset; assign axi_dyn_mem_B0_RRESP = B0_RRESP; -assign axi_dyn_mem_B0_write_data = - _guard20 ? main_compute_B0_write_data : - 32'd0; assign axi_dyn_mem_B0_ARREADY = B0_ARREADY; assign axi_dyn_mem_B0_BVALID = B0_BVALID; assign axi_dyn_mem_B0_ARESETn = B0_ARESETn; diff --git a/yxi/tests/axi/dynamic/dyn-mem-vec-add-verilog.v b/yxi/tests/axi/dynamic/dyn-mem-vec-add-verilog.v index 8f8db5b9c1..ce3cbaa9b2 100644 --- a/yxi/tests/axi/dynamic/dyn-mem-vec-add-verilog.v +++ b/yxi/tests/axi/dynamic/dyn-mem-vec-add-verilog.v @@ -9899,8 +9899,8 @@ wire _guard4 = invoke0_go_out; wire _guard5 = invoke0_go_out; wire _guard6 = invoke0_go_out; wire _guard7 = invoke0_go_out; -wire _guard8 = invoke0_go_out; -wire _guard9 = invoke0_done_out; +wire _guard8 = invoke0_done_out; +wire _guard9 = invoke0_go_out; wire _guard10 = invoke0_go_out; wire _guard11 = invoke0_go_out; wire _guard12 = invoke0_go_out; @@ -9909,9 +9909,6 @@ wire _guard14 = invoke0_go_out; wire _guard15 = invoke0_go_out; wire _guard16 = invoke0_go_out; wire _guard17 = invoke0_go_out; -wire _guard18 = invoke0_go_out; -wire _guard19 = invoke0_go_out; -wire _guard20 = invoke0_go_out; assign axi_dyn_mem_A0_WREADY = A0_WREADY; assign axi_dyn_mem_A0_RVALID = A0_RVALID; assign axi_dyn_mem_A0_RLAST = A0_RLAST; @@ -9929,9 +9926,6 @@ assign axi_dyn_mem_A0_content_en = assign axi_dyn_mem_A0_AWREADY = A0_AWREADY; assign axi_dyn_mem_A0_reset = reset; assign axi_dyn_mem_A0_RRESP = A0_RRESP; -assign axi_dyn_mem_A0_write_data = - _guard4 ? main_compute_A0_write_data : - 32'd0; assign axi_dyn_mem_A0_ARREADY = A0_ARREADY; assign axi_dyn_mem_A0_BVALID = A0_BVALID; assign axi_dyn_mem_A0_ARESETn = A0_ARESETn; @@ -9939,26 +9933,26 @@ assign axi_dyn_mem_Sum0_WREADY = Sum0_WREADY; assign axi_dyn_mem_Sum0_RVALID = Sum0_RVALID; assign axi_dyn_mem_Sum0_RLAST = Sum0_RLAST; assign axi_dyn_mem_Sum0_write_en = - _guard5 ? main_compute_Sum0_write_en : + _guard4 ? main_compute_Sum0_write_en : 1'd0; assign axi_dyn_mem_Sum0_RDATA = Sum0_RDATA; assign axi_dyn_mem_Sum0_clk = clk; assign axi_dyn_mem_Sum0_addr0 = - _guard6 ? main_compute_Sum0_addr0 : + _guard5 ? main_compute_Sum0_addr0 : 3'd0; assign axi_dyn_mem_Sum0_content_en = - _guard7 ? main_compute_Sum0_content_en : + _guard6 ? main_compute_Sum0_content_en : 1'd0; assign axi_dyn_mem_Sum0_AWREADY = Sum0_AWREADY; assign axi_dyn_mem_Sum0_reset = reset; assign axi_dyn_mem_Sum0_RRESP = Sum0_RRESP; assign axi_dyn_mem_Sum0_write_data = - _guard8 ? main_compute_Sum0_write_data : + _guard7 ? main_compute_Sum0_write_data : 32'd0; assign axi_dyn_mem_Sum0_ARREADY = Sum0_ARREADY; assign axi_dyn_mem_Sum0_BVALID = Sum0_BVALID; assign axi_dyn_mem_Sum0_ARESETn = Sum0_ARESETn; -assign done = _guard9; +assign done = _guard8; assign B0_WLAST = axi_dyn_mem_B0_WLAST; assign Sum0_ARVALID = axi_dyn_mem_Sum0_ARVALID; assign Sum0_ARBURST = axi_dyn_mem_Sum0_ARBURST; @@ -10020,48 +10014,42 @@ assign Sum0_WID = 1'd0; assign A0_ARLEN = axi_dyn_mem_A0_ARLEN; assign A0_AWID = 1'd0; assign main_compute_A0_read_data = - _guard10 ? axi_dyn_mem_A0_read_data : + _guard9 ? axi_dyn_mem_A0_read_data : 32'd0; assign main_compute_B0_read_data = - _guard11 ? axi_dyn_mem_B0_read_data : + _guard10 ? axi_dyn_mem_B0_read_data : 32'd0; assign main_compute_Sum0_done = - _guard12 ? axi_dyn_mem_Sum0_done : + _guard11 ? axi_dyn_mem_Sum0_done : 1'd0; assign main_compute_clk = clk; assign main_compute_B0_done = - _guard13 ? axi_dyn_mem_B0_done : + _guard12 ? axi_dyn_mem_B0_done : 1'd0; -assign main_compute_go = _guard14; +assign main_compute_go = _guard13; assign main_compute_reset = reset; assign main_compute_A0_done = - _guard15 ? axi_dyn_mem_A0_done : + _guard14 ? axi_dyn_mem_A0_done : 1'd0; -assign main_compute_Sum0_read_data = - _guard16 ? axi_dyn_mem_Sum0_read_data : - 32'd0; assign invoke0_go_in = go; assign invoke0_done_in = main_compute_done; assign axi_dyn_mem_B0_WREADY = B0_WREADY; assign axi_dyn_mem_B0_RVALID = B0_RVALID; assign axi_dyn_mem_B0_RLAST = B0_RLAST; assign axi_dyn_mem_B0_write_en = - _guard17 ? main_compute_B0_write_en : + _guard15 ? main_compute_B0_write_en : 1'd0; assign axi_dyn_mem_B0_RDATA = B0_RDATA; assign axi_dyn_mem_B0_clk = clk; assign axi_dyn_mem_B0_addr0 = - _guard18 ? main_compute_B0_addr0 : + _guard16 ? main_compute_B0_addr0 : 3'd0; assign axi_dyn_mem_B0_content_en = - _guard19 ? main_compute_B0_content_en : + _guard17 ? main_compute_B0_content_en : 1'd0; assign axi_dyn_mem_B0_AWREADY = B0_AWREADY; assign axi_dyn_mem_B0_reset = reset; assign axi_dyn_mem_B0_RRESP = B0_RRESP; -assign axi_dyn_mem_B0_write_data = - _guard20 ? main_compute_B0_write_data : - 32'd0; assign axi_dyn_mem_B0_ARREADY = B0_ARREADY; assign axi_dyn_mem_B0_BVALID = B0_BVALID; assign axi_dyn_mem_B0_ARESETn = B0_ARESETn; diff --git a/yxi/tests/axi/read-compute-write/seq-mem-vec-add-axi-wrapped.expect b/yxi/tests/axi/read-compute-write/seq-mem-vec-add-axi-wrapped.expect index 996bbc1c46..8baa0b908c 100644 --- a/yxi/tests/axi/read-compute-write/seq-mem-vec-add-axi-wrapped.expect +++ b/yxi/tests/axi/read-compute-write/seq-mem-vec-add-axi-wrapped.expect @@ -6465,152 +6465,152 @@ wire _guard21 = invoke11_go_out; wire _guard22 = invoke11_go_out; wire _guard23 = invoke11_go_out; wire _guard24 = invoke11_go_out; -wire _guard25 = invoke11_go_out; -wire _guard26 = tdcc5_done_out; -wire _guard27 = invoke20_go_out; +wire _guard25 = tdcc5_done_out; +wire _guard26 = invoke20_go_out; +wire _guard27 = invoke10_go_out; wire _guard28 = invoke10_go_out; -wire _guard29 = invoke10_go_out; +wire _guard29 = invoke22_go_out; wire _guard30 = invoke22_go_out; -wire _guard31 = invoke22_go_out; -wire _guard32 = invoke6_go_out; -wire _guard33 = invoke16_go_out; -wire _guard34 = invoke19_go_out; -wire _guard35 = invoke23_go_out; -wire _guard36 = invoke18_go_out; -wire _guard37 = invoke19_go_out; -wire _guard38 = invoke11_go_out; -wire _guard39 = invoke8_go_out; -wire _guard40 = invoke19_go_out; -wire _guard41 = invoke20_go_out; -wire _guard42 = invoke22_go_out; -wire _guard43 = invoke16_go_out; -wire _guard44 = invoke8_go_out; -wire _guard45 = invoke17_go_out; -wire _guard46 = invoke8_go_out; -wire _guard47 = invoke19_go_out; -wire _guard48 = invoke22_go_out; -wire _guard49 = invoke6_go_out; -wire _guard50 = invoke16_go_out; -wire _guard51 = invoke23_go_out; -wire _guard52 = invoke24_go_out; -wire _guard53 = invoke17_go_out; -wire _guard54 = invoke6_go_out; -wire _guard55 = invoke17_go_out; -wire _guard56 = invoke10_go_out; -wire _guard57 = invoke22_go_out; -wire _guard58 = invoke23_go_out; -wire _guard59 = invoke7_go_out; -wire _guard60 = invoke9_go_out; -wire _guard61 = invoke20_go_out; -wire _guard62 = invoke21_go_out; -wire _guard63 = invoke16_go_out; -wire _guard64 = invoke8_go_out; -wire _guard65 = invoke16_go_out; -wire _guard66 = invoke8_go_out; +wire _guard31 = invoke6_go_out; +wire _guard32 = invoke16_go_out; +wire _guard33 = invoke19_go_out; +wire _guard34 = invoke23_go_out; +wire _guard35 = invoke18_go_out; +wire _guard36 = invoke19_go_out; +wire _guard37 = invoke11_go_out; +wire _guard38 = invoke8_go_out; +wire _guard39 = invoke19_go_out; +wire _guard40 = invoke20_go_out; +wire _guard41 = invoke22_go_out; +wire _guard42 = invoke16_go_out; +wire _guard43 = invoke8_go_out; +wire _guard44 = invoke17_go_out; +wire _guard45 = invoke8_go_out; +wire _guard46 = invoke19_go_out; +wire _guard47 = invoke22_go_out; +wire _guard48 = invoke6_go_out; +wire _guard49 = invoke16_go_out; +wire _guard50 = invoke23_go_out; +wire _guard51 = invoke24_go_out; +wire _guard52 = invoke17_go_out; +wire _guard53 = invoke6_go_out; +wire _guard54 = invoke17_go_out; +wire _guard55 = invoke10_go_out; +wire _guard56 = invoke22_go_out; +wire _guard57 = invoke23_go_out; +wire _guard58 = invoke7_go_out; +wire _guard59 = invoke9_go_out; +wire _guard60 = invoke20_go_out; +wire _guard61 = invoke21_go_out; +wire _guard62 = invoke16_go_out; +wire _guard63 = invoke8_go_out; +wire _guard64 = invoke16_go_out; +wire _guard65 = invoke8_go_out; +wire _guard66 = invoke10_go_out; wire _guard67 = invoke10_go_out; -wire _guard68 = invoke10_go_out; -wire _guard69 = invoke22_go_out; -wire _guard70 = invoke6_go_out; -wire _guard71 = invoke16_go_out; +wire _guard68 = invoke22_go_out; +wire _guard69 = invoke6_go_out; +wire _guard70 = invoke16_go_out; +wire _guard71 = invoke19_go_out; wire _guard72 = invoke19_go_out; -wire _guard73 = invoke19_go_out; -wire _guard74 = invoke6_go_out; -wire _guard75 = early_reset_static_par_go_out; -wire _guard76 = early_reset_static_par0_go_out; -wire _guard77 = _guard75 | _guard76; -wire _guard78 = fsm_out == 1'd0; -wire _guard79 = ~_guard78; -wire _guard80 = early_reset_static_par_go_out; -wire _guard81 = _guard79 & _guard80; -wire _guard82 = fsm_out == 1'd0; -wire _guard83 = early_reset_static_par_go_out; -wire _guard84 = _guard82 & _guard83; -wire _guard85 = fsm_out == 1'd0; -wire _guard86 = early_reset_static_par0_go_out; -wire _guard87 = _guard85 & _guard86; -wire _guard88 = _guard84 | _guard87; -wire _guard89 = fsm_out == 1'd0; -wire _guard90 = ~_guard89; -wire _guard91 = early_reset_static_par0_go_out; -wire _guard92 = _guard90 & _guard91; +wire _guard73 = invoke6_go_out; +wire _guard74 = early_reset_static_par_go_out; +wire _guard75 = early_reset_static_par0_go_out; +wire _guard76 = _guard74 | _guard75; +wire _guard77 = fsm_out == 1'd0; +wire _guard78 = ~_guard77; +wire _guard79 = early_reset_static_par_go_out; +wire _guard80 = _guard78 & _guard79; +wire _guard81 = fsm_out == 1'd0; +wire _guard82 = early_reset_static_par_go_out; +wire _guard83 = _guard81 & _guard82; +wire _guard84 = fsm_out == 1'd0; +wire _guard85 = early_reset_static_par0_go_out; +wire _guard86 = _guard84 & _guard85; +wire _guard87 = _guard83 | _guard86; +wire _guard88 = fsm_out == 1'd0; +wire _guard89 = ~_guard88; +wire _guard90 = early_reset_static_par0_go_out; +wire _guard91 = _guard89 & _guard90; +wire _guard92 = early_reset_static_par_go_out; wire _guard93 = early_reset_static_par_go_out; -wire _guard94 = early_reset_static_par_go_out; -wire _guard95 = fsm6_out == 3'd5; -wire _guard96 = fsm6_out == 3'd0; -wire _guard97 = wrapper_early_reset_static_par_done_out; -wire _guard98 = _guard96 & _guard97; -wire _guard99 = tdcc5_go_out; -wire _guard100 = _guard98 & _guard99; -wire _guard101 = _guard95 | _guard100; -wire _guard102 = fsm6_out == 3'd1; -wire _guard103 = par0_done_out; -wire _guard104 = _guard102 & _guard103; -wire _guard105 = tdcc5_go_out; -wire _guard106 = _guard104 & _guard105; -wire _guard107 = _guard101 | _guard106; -wire _guard108 = fsm6_out == 3'd2; -wire _guard109 = invoke12_done_out; -wire _guard110 = _guard108 & _guard109; -wire _guard111 = tdcc5_go_out; -wire _guard112 = _guard110 & _guard111; -wire _guard113 = _guard107 | _guard112; -wire _guard114 = fsm6_out == 3'd3; -wire _guard115 = wrapper_early_reset_static_par0_done_out; -wire _guard116 = _guard114 & _guard115; -wire _guard117 = tdcc5_go_out; -wire _guard118 = _guard116 & _guard117; -wire _guard119 = _guard113 | _guard118; -wire _guard120 = fsm6_out == 3'd4; -wire _guard121 = par1_done_out; -wire _guard122 = _guard120 & _guard121; -wire _guard123 = tdcc5_go_out; -wire _guard124 = _guard122 & _guard123; -wire _guard125 = _guard119 | _guard124; -wire _guard126 = fsm6_out == 3'd4; -wire _guard127 = par1_done_out; -wire _guard128 = _guard126 & _guard127; -wire _guard129 = tdcc5_go_out; -wire _guard130 = _guard128 & _guard129; -wire _guard131 = fsm6_out == 3'd1; -wire _guard132 = par0_done_out; -wire _guard133 = _guard131 & _guard132; -wire _guard134 = tdcc5_go_out; -wire _guard135 = _guard133 & _guard134; -wire _guard136 = fsm6_out == 3'd3; -wire _guard137 = wrapper_early_reset_static_par0_done_out; -wire _guard138 = _guard136 & _guard137; -wire _guard139 = tdcc5_go_out; -wire _guard140 = _guard138 & _guard139; -wire _guard141 = fsm6_out == 3'd0; -wire _guard142 = wrapper_early_reset_static_par_done_out; -wire _guard143 = _guard141 & _guard142; -wire _guard144 = tdcc5_go_out; -wire _guard145 = _guard143 & _guard144; -wire _guard146 = fsm6_out == 3'd5; -wire _guard147 = fsm6_out == 3'd2; -wire _guard148 = invoke12_done_out; -wire _guard149 = _guard147 & _guard148; -wire _guard150 = tdcc5_go_out; -wire _guard151 = _guard149 & _guard150; -wire _guard152 = wrapper_early_reset_static_par0_go_out; -wire _guard153 = invoke18_done_out; -wire _guard154 = ~_guard153; -wire _guard155 = fsm3_out == 2'd2; -wire _guard156 = _guard154 & _guard155; -wire _guard157 = tdcc2_go_out; -wire _guard158 = _guard156 & _guard157; -wire _guard159 = pd2_out; -wire _guard160 = tdcc2_done_out; -wire _guard161 = _guard159 | _guard160; -wire _guard162 = ~_guard161; -wire _guard163 = par1_go_out; -wire _guard164 = _guard162 & _guard163; -wire _guard165 = invoke9_go_out; -wire _guard166 = early_reset_static_par_go_out; -wire _guard167 = invoke20_go_out; -wire _guard168 = invoke9_go_out; -wire _guard169 = invoke20_go_out; -wire _guard170 = early_reset_static_par_go_out; +wire _guard94 = fsm6_out == 3'd5; +wire _guard95 = fsm6_out == 3'd0; +wire _guard96 = wrapper_early_reset_static_par_done_out; +wire _guard97 = _guard95 & _guard96; +wire _guard98 = tdcc5_go_out; +wire _guard99 = _guard97 & _guard98; +wire _guard100 = _guard94 | _guard99; +wire _guard101 = fsm6_out == 3'd1; +wire _guard102 = par0_done_out; +wire _guard103 = _guard101 & _guard102; +wire _guard104 = tdcc5_go_out; +wire _guard105 = _guard103 & _guard104; +wire _guard106 = _guard100 | _guard105; +wire _guard107 = fsm6_out == 3'd2; +wire _guard108 = invoke12_done_out; +wire _guard109 = _guard107 & _guard108; +wire _guard110 = tdcc5_go_out; +wire _guard111 = _guard109 & _guard110; +wire _guard112 = _guard106 | _guard111; +wire _guard113 = fsm6_out == 3'd3; +wire _guard114 = wrapper_early_reset_static_par0_done_out; +wire _guard115 = _guard113 & _guard114; +wire _guard116 = tdcc5_go_out; +wire _guard117 = _guard115 & _guard116; +wire _guard118 = _guard112 | _guard117; +wire _guard119 = fsm6_out == 3'd4; +wire _guard120 = par1_done_out; +wire _guard121 = _guard119 & _guard120; +wire _guard122 = tdcc5_go_out; +wire _guard123 = _guard121 & _guard122; +wire _guard124 = _guard118 | _guard123; +wire _guard125 = fsm6_out == 3'd4; +wire _guard126 = par1_done_out; +wire _guard127 = _guard125 & _guard126; +wire _guard128 = tdcc5_go_out; +wire _guard129 = _guard127 & _guard128; +wire _guard130 = fsm6_out == 3'd1; +wire _guard131 = par0_done_out; +wire _guard132 = _guard130 & _guard131; +wire _guard133 = tdcc5_go_out; +wire _guard134 = _guard132 & _guard133; +wire _guard135 = fsm6_out == 3'd3; +wire _guard136 = wrapper_early_reset_static_par0_done_out; +wire _guard137 = _guard135 & _guard136; +wire _guard138 = tdcc5_go_out; +wire _guard139 = _guard137 & _guard138; +wire _guard140 = fsm6_out == 3'd0; +wire _guard141 = wrapper_early_reset_static_par_done_out; +wire _guard142 = _guard140 & _guard141; +wire _guard143 = tdcc5_go_out; +wire _guard144 = _guard142 & _guard143; +wire _guard145 = fsm6_out == 3'd5; +wire _guard146 = fsm6_out == 3'd2; +wire _guard147 = invoke12_done_out; +wire _guard148 = _guard146 & _guard147; +wire _guard149 = tdcc5_go_out; +wire _guard150 = _guard148 & _guard149; +wire _guard151 = wrapper_early_reset_static_par0_go_out; +wire _guard152 = invoke18_done_out; +wire _guard153 = ~_guard152; +wire _guard154 = fsm3_out == 2'd2; +wire _guard155 = _guard153 & _guard154; +wire _guard156 = tdcc2_go_out; +wire _guard157 = _guard155 & _guard156; +wire _guard158 = pd2_out; +wire _guard159 = tdcc2_done_out; +wire _guard160 = _guard158 | _guard159; +wire _guard161 = ~_guard160; +wire _guard162 = par1_go_out; +wire _guard163 = _guard161 & _guard162; +wire _guard164 = invoke9_go_out; +wire _guard165 = early_reset_static_par_go_out; +wire _guard166 = invoke20_go_out; +wire _guard167 = invoke9_go_out; +wire _guard168 = invoke20_go_out; +wire _guard169 = early_reset_static_par_go_out; +wire _guard170 = invoke9_go_out; wire _guard171 = invoke9_go_out; wire _guard172 = invoke9_go_out; wire _guard173 = invoke9_go_out; @@ -6622,672 +6622,627 @@ wire _guard178 = invoke9_go_out; wire _guard179 = invoke9_go_out; wire _guard180 = invoke9_go_out; wire _guard181 = invoke9_go_out; -wire _guard182 = invoke9_go_out; -wire _guard183 = invoke9_go_out; -wire _guard184 = invoke12_go_out; -wire _guard185 = invoke20_go_out; -wire _guard186 = invoke9_go_out; -wire _guard187 = invoke12_go_out; -wire _guard188 = invoke20_go_out; -wire _guard189 = invoke9_go_out; -wire _guard190 = invoke12_go_out; -wire _guard191 = invoke20_go_out; -wire _guard192 = invoke9_go_out; -wire _guard193 = invoke12_go_out; -wire _guard194 = invoke20_go_out; -wire _guard195 = invoke24_go_out; -wire _guard196 = invoke24_go_out; -wire _guard197 = fsm3_out == 2'd3; -wire _guard198 = fsm3_out == 2'd0; -wire _guard199 = invoke16_done_out; -wire _guard200 = _guard198 & _guard199; -wire _guard201 = tdcc2_go_out; +wire _guard182 = invoke12_go_out; +wire _guard183 = invoke20_go_out; +wire _guard184 = invoke9_go_out; +wire _guard185 = invoke12_go_out; +wire _guard186 = invoke20_go_out; +wire _guard187 = invoke9_go_out; +wire _guard188 = invoke12_go_out; +wire _guard189 = invoke20_go_out; +wire _guard190 = invoke9_go_out; +wire _guard191 = invoke24_go_out; +wire _guard192 = invoke24_go_out; +wire _guard193 = fsm3_out == 2'd3; +wire _guard194 = fsm3_out == 2'd0; +wire _guard195 = invoke16_done_out; +wire _guard196 = _guard194 & _guard195; +wire _guard197 = tdcc2_go_out; +wire _guard198 = _guard196 & _guard197; +wire _guard199 = _guard193 | _guard198; +wire _guard200 = fsm3_out == 2'd1; +wire _guard201 = invoke17_done_out; wire _guard202 = _guard200 & _guard201; -wire _guard203 = _guard197 | _guard202; -wire _guard204 = fsm3_out == 2'd1; -wire _guard205 = invoke17_done_out; -wire _guard206 = _guard204 & _guard205; -wire _guard207 = tdcc2_go_out; +wire _guard203 = tdcc2_go_out; +wire _guard204 = _guard202 & _guard203; +wire _guard205 = _guard199 | _guard204; +wire _guard206 = fsm3_out == 2'd2; +wire _guard207 = invoke18_done_out; wire _guard208 = _guard206 & _guard207; -wire _guard209 = _guard203 | _guard208; -wire _guard210 = fsm3_out == 2'd2; -wire _guard211 = invoke18_done_out; -wire _guard212 = _guard210 & _guard211; -wire _guard213 = tdcc2_go_out; +wire _guard209 = tdcc2_go_out; +wire _guard210 = _guard208 & _guard209; +wire _guard211 = _guard205 | _guard210; +wire _guard212 = fsm3_out == 2'd0; +wire _guard213 = invoke16_done_out; wire _guard214 = _guard212 & _guard213; -wire _guard215 = _guard209 | _guard214; -wire _guard216 = fsm3_out == 2'd0; -wire _guard217 = invoke16_done_out; -wire _guard218 = _guard216 & _guard217; -wire _guard219 = tdcc2_go_out; +wire _guard215 = tdcc2_go_out; +wire _guard216 = _guard214 & _guard215; +wire _guard217 = fsm3_out == 2'd3; +wire _guard218 = fsm3_out == 2'd2; +wire _guard219 = invoke18_done_out; wire _guard220 = _guard218 & _guard219; -wire _guard221 = fsm3_out == 2'd3; -wire _guard222 = fsm3_out == 2'd2; -wire _guard223 = invoke18_done_out; -wire _guard224 = _guard222 & _guard223; -wire _guard225 = tdcc2_go_out; -wire _guard226 = _guard224 & _guard225; -wire _guard227 = fsm3_out == 2'd1; -wire _guard228 = invoke17_done_out; -wire _guard229 = _guard227 & _guard228; -wire _guard230 = tdcc2_go_out; +wire _guard221 = tdcc2_go_out; +wire _guard222 = _guard220 & _guard221; +wire _guard223 = fsm3_out == 2'd1; +wire _guard224 = invoke17_done_out; +wire _guard225 = _guard223 & _guard224; +wire _guard226 = tdcc2_go_out; +wire _guard227 = _guard225 & _guard226; +wire _guard228 = fsm5_out == 2'd3; +wire _guard229 = fsm5_out == 2'd0; +wire _guard230 = invoke22_done_out; wire _guard231 = _guard229 & _guard230; -wire _guard232 = fsm5_out == 2'd3; -wire _guard233 = fsm5_out == 2'd0; -wire _guard234 = invoke22_done_out; -wire _guard235 = _guard233 & _guard234; -wire _guard236 = tdcc4_go_out; +wire _guard232 = tdcc4_go_out; +wire _guard233 = _guard231 & _guard232; +wire _guard234 = _guard228 | _guard233; +wire _guard235 = fsm5_out == 2'd1; +wire _guard236 = invoke23_done_out; wire _guard237 = _guard235 & _guard236; -wire _guard238 = _guard232 | _guard237; -wire _guard239 = fsm5_out == 2'd1; -wire _guard240 = invoke23_done_out; -wire _guard241 = _guard239 & _guard240; -wire _guard242 = tdcc4_go_out; +wire _guard238 = tdcc4_go_out; +wire _guard239 = _guard237 & _guard238; +wire _guard240 = _guard234 | _guard239; +wire _guard241 = fsm5_out == 2'd2; +wire _guard242 = invoke24_done_out; wire _guard243 = _guard241 & _guard242; -wire _guard244 = _guard238 | _guard243; -wire _guard245 = fsm5_out == 2'd2; -wire _guard246 = invoke24_done_out; -wire _guard247 = _guard245 & _guard246; -wire _guard248 = tdcc4_go_out; +wire _guard244 = tdcc4_go_out; +wire _guard245 = _guard243 & _guard244; +wire _guard246 = _guard240 | _guard245; +wire _guard247 = fsm5_out == 2'd0; +wire _guard248 = invoke22_done_out; wire _guard249 = _guard247 & _guard248; -wire _guard250 = _guard244 | _guard249; -wire _guard251 = fsm5_out == 2'd0; -wire _guard252 = invoke22_done_out; -wire _guard253 = _guard251 & _guard252; -wire _guard254 = tdcc4_go_out; +wire _guard250 = tdcc4_go_out; +wire _guard251 = _guard249 & _guard250; +wire _guard252 = fsm5_out == 2'd3; +wire _guard253 = fsm5_out == 2'd2; +wire _guard254 = invoke24_done_out; wire _guard255 = _guard253 & _guard254; -wire _guard256 = fsm5_out == 2'd3; -wire _guard257 = fsm5_out == 2'd2; -wire _guard258 = invoke24_done_out; -wire _guard259 = _guard257 & _guard258; -wire _guard260 = tdcc4_go_out; -wire _guard261 = _guard259 & _guard260; -wire _guard262 = fsm5_out == 2'd1; -wire _guard263 = invoke23_done_out; -wire _guard264 = _guard262 & _guard263; -wire _guard265 = tdcc4_go_out; -wire _guard266 = _guard264 & _guard265; -wire _guard267 = fsm1_out == 2'd2; -wire _guard268 = invoke9_go_out; -wire _guard269 = early_reset_static_par_go_out; -wire _guard270 = early_reset_static_par0_go_out; -wire _guard271 = _guard269 | _guard270; -wire _guard272 = invoke20_go_out; -wire _guard273 = invoke19_go_out; -wire _guard274 = invoke8_go_out; -wire _guard275 = invoke9_go_out; -wire _guard276 = invoke20_go_out; -wire _guard277 = invoke19_go_out; -wire _guard278 = early_reset_static_par_go_out; -wire _guard279 = early_reset_static_par0_go_out; -wire _guard280 = _guard278 | _guard279; -wire _guard281 = invoke8_go_out; -wire _guard282 = invoke22_go_out; -wire _guard283 = invoke23_go_out; -wire _guard284 = invoke22_go_out; -wire _guard285 = invoke23_go_out; -wire _guard286 = invoke12_go_out; -wire _guard287 = invoke12_go_out; -wire _guard288 = invoke12_go_out; -wire _guard289 = invoke12_go_out; -wire _guard290 = invoke12_go_out; -wire _guard291 = invoke12_go_out; -wire _guard292 = invoke12_go_out; -wire _guard293 = fsm1_out == 2'd2; -wire _guard294 = fsm1_out == 2'd0; -wire _guard295 = invoke8_done_out; -wire _guard296 = _guard294 & _guard295; -wire _guard297 = tdcc0_go_out; -wire _guard298 = _guard296 & _guard297; -wire _guard299 = _guard293 | _guard298; -wire _guard300 = fsm1_out == 2'd1; -wire _guard301 = invoke9_done_out; -wire _guard302 = _guard300 & _guard301; -wire _guard303 = tdcc0_go_out; -wire _guard304 = _guard302 & _guard303; -wire _guard305 = _guard299 | _guard304; -wire _guard306 = fsm1_out == 2'd0; -wire _guard307 = invoke8_done_out; -wire _guard308 = _guard306 & _guard307; -wire _guard309 = tdcc0_go_out; -wire _guard310 = _guard308 & _guard309; -wire _guard311 = fsm1_out == 2'd2; -wire _guard312 = fsm1_out == 2'd1; -wire _guard313 = invoke9_done_out; -wire _guard314 = _guard312 & _guard313; -wire _guard315 = tdcc0_go_out; -wire _guard316 = _guard314 & _guard315; -wire _guard317 = fsm4_out == 2'd3; -wire _guard318 = fsm4_out == 2'd0; -wire _guard319 = invoke19_done_out; -wire _guard320 = _guard318 & _guard319; -wire _guard321 = tdcc3_go_out; -wire _guard322 = _guard320 & _guard321; -wire _guard323 = _guard317 | _guard322; -wire _guard324 = fsm4_out == 2'd1; -wire _guard325 = invoke20_done_out; -wire _guard326 = _guard324 & _guard325; -wire _guard327 = tdcc3_go_out; -wire _guard328 = _guard326 & _guard327; -wire _guard329 = _guard323 | _guard328; -wire _guard330 = fsm4_out == 2'd2; -wire _guard331 = invoke21_done_out; -wire _guard332 = _guard330 & _guard331; -wire _guard333 = tdcc3_go_out; -wire _guard334 = _guard332 & _guard333; -wire _guard335 = _guard329 | _guard334; -wire _guard336 = fsm4_out == 2'd0; -wire _guard337 = invoke19_done_out; +wire _guard256 = tdcc4_go_out; +wire _guard257 = _guard255 & _guard256; +wire _guard258 = fsm5_out == 2'd1; +wire _guard259 = invoke23_done_out; +wire _guard260 = _guard258 & _guard259; +wire _guard261 = tdcc4_go_out; +wire _guard262 = _guard260 & _guard261; +wire _guard263 = fsm1_out == 2'd2; +wire _guard264 = invoke9_go_out; +wire _guard265 = early_reset_static_par_go_out; +wire _guard266 = early_reset_static_par0_go_out; +wire _guard267 = _guard265 | _guard266; +wire _guard268 = invoke20_go_out; +wire _guard269 = invoke9_go_out; +wire _guard270 = invoke20_go_out; +wire _guard271 = early_reset_static_par_go_out; +wire _guard272 = early_reset_static_par0_go_out; +wire _guard273 = _guard271 | _guard272; +wire _guard274 = invoke22_go_out; +wire _guard275 = invoke22_go_out; +wire _guard276 = invoke12_go_out; +wire _guard277 = invoke12_go_out; +wire _guard278 = invoke12_go_out; +wire _guard279 = invoke12_go_out; +wire _guard280 = invoke12_go_out; +wire _guard281 = invoke12_go_out; +wire _guard282 = fsm1_out == 2'd2; +wire _guard283 = fsm1_out == 2'd0; +wire _guard284 = invoke8_done_out; +wire _guard285 = _guard283 & _guard284; +wire _guard286 = tdcc0_go_out; +wire _guard287 = _guard285 & _guard286; +wire _guard288 = _guard282 | _guard287; +wire _guard289 = fsm1_out == 2'd1; +wire _guard290 = invoke9_done_out; +wire _guard291 = _guard289 & _guard290; +wire _guard292 = tdcc0_go_out; +wire _guard293 = _guard291 & _guard292; +wire _guard294 = _guard288 | _guard293; +wire _guard295 = fsm1_out == 2'd0; +wire _guard296 = invoke8_done_out; +wire _guard297 = _guard295 & _guard296; +wire _guard298 = tdcc0_go_out; +wire _guard299 = _guard297 & _guard298; +wire _guard300 = fsm1_out == 2'd2; +wire _guard301 = fsm1_out == 2'd1; +wire _guard302 = invoke9_done_out; +wire _guard303 = _guard301 & _guard302; +wire _guard304 = tdcc0_go_out; +wire _guard305 = _guard303 & _guard304; +wire _guard306 = fsm4_out == 2'd3; +wire _guard307 = fsm4_out == 2'd0; +wire _guard308 = invoke19_done_out; +wire _guard309 = _guard307 & _guard308; +wire _guard310 = tdcc3_go_out; +wire _guard311 = _guard309 & _guard310; +wire _guard312 = _guard306 | _guard311; +wire _guard313 = fsm4_out == 2'd1; +wire _guard314 = invoke20_done_out; +wire _guard315 = _guard313 & _guard314; +wire _guard316 = tdcc3_go_out; +wire _guard317 = _guard315 & _guard316; +wire _guard318 = _guard312 | _guard317; +wire _guard319 = fsm4_out == 2'd2; +wire _guard320 = invoke21_done_out; +wire _guard321 = _guard319 & _guard320; +wire _guard322 = tdcc3_go_out; +wire _guard323 = _guard321 & _guard322; +wire _guard324 = _guard318 | _guard323; +wire _guard325 = fsm4_out == 2'd0; +wire _guard326 = invoke19_done_out; +wire _guard327 = _guard325 & _guard326; +wire _guard328 = tdcc3_go_out; +wire _guard329 = _guard327 & _guard328; +wire _guard330 = fsm4_out == 2'd3; +wire _guard331 = fsm4_out == 2'd2; +wire _guard332 = invoke21_done_out; +wire _guard333 = _guard331 & _guard332; +wire _guard334 = tdcc3_go_out; +wire _guard335 = _guard333 & _guard334; +wire _guard336 = fsm4_out == 2'd1; +wire _guard337 = invoke20_done_out; wire _guard338 = _guard336 & _guard337; wire _guard339 = tdcc3_go_out; wire _guard340 = _guard338 & _guard339; -wire _guard341 = fsm4_out == 2'd3; -wire _guard342 = fsm4_out == 2'd2; -wire _guard343 = invoke21_done_out; +wire _guard341 = wrapper_early_reset_static_par_done_out; +wire _guard342 = ~_guard341; +wire _guard343 = fsm6_out == 3'd0; wire _guard344 = _guard342 & _guard343; -wire _guard345 = tdcc3_go_out; +wire _guard345 = tdcc5_go_out; wire _guard346 = _guard344 & _guard345; -wire _guard347 = fsm4_out == 2'd1; -wire _guard348 = invoke20_done_out; -wire _guard349 = _guard347 & _guard348; -wire _guard350 = tdcc3_go_out; -wire _guard351 = _guard349 & _guard350; -wire _guard352 = wrapper_early_reset_static_par_done_out; -wire _guard353 = ~_guard352; -wire _guard354 = fsm6_out == 3'd0; -wire _guard355 = _guard353 & _guard354; -wire _guard356 = tdcc5_go_out; -wire _guard357 = _guard355 & _guard356; -wire _guard358 = invoke11_done_out; -wire _guard359 = ~_guard358; -wire _guard360 = fsm2_out == 2'd1; -wire _guard361 = _guard359 & _guard360; -wire _guard362 = tdcc1_go_out; -wire _guard363 = _guard361 & _guard362; -wire _guard364 = invoke23_done_out; -wire _guard365 = ~_guard364; -wire _guard366 = fsm5_out == 2'd1; -wire _guard367 = _guard365 & _guard366; -wire _guard368 = tdcc4_go_out; -wire _guard369 = _guard367 & _guard368; -wire _guard370 = par1_done_out; -wire _guard371 = ~_guard370; -wire _guard372 = fsm6_out == 3'd4; -wire _guard373 = _guard371 & _guard372; -wire _guard374 = tdcc5_go_out; -wire _guard375 = _guard373 & _guard374; -wire _guard376 = early_reset_static_par_go_out; -wire _guard377 = early_reset_static_par0_go_out; -wire _guard378 = _guard376 | _guard377; +wire _guard347 = invoke11_done_out; +wire _guard348 = ~_guard347; +wire _guard349 = fsm2_out == 2'd1; +wire _guard350 = _guard348 & _guard349; +wire _guard351 = tdcc1_go_out; +wire _guard352 = _guard350 & _guard351; +wire _guard353 = invoke23_done_out; +wire _guard354 = ~_guard353; +wire _guard355 = fsm5_out == 2'd1; +wire _guard356 = _guard354 & _guard355; +wire _guard357 = tdcc4_go_out; +wire _guard358 = _guard356 & _guard357; +wire _guard359 = par1_done_out; +wire _guard360 = ~_guard359; +wire _guard361 = fsm6_out == 3'd4; +wire _guard362 = _guard360 & _guard361; +wire _guard363 = tdcc5_go_out; +wire _guard364 = _guard362 & _guard363; +wire _guard365 = early_reset_static_par_go_out; +wire _guard366 = early_reset_static_par0_go_out; +wire _guard367 = _guard365 | _guard366; +wire _guard368 = invoke7_go_out; +wire _guard369 = invoke17_go_out; +wire _guard370 = invoke7_go_out; +wire _guard371 = early_reset_static_par_go_out; +wire _guard372 = early_reset_static_par0_go_out; +wire _guard373 = _guard371 | _guard372; +wire _guard374 = invoke17_go_out; +wire _guard375 = invoke7_go_out; +wire _guard376 = invoke7_go_out; +wire _guard377 = invoke7_go_out; +wire _guard378 = invoke7_go_out; wire _guard379 = invoke7_go_out; -wire _guard380 = invoke6_go_out; -wire _guard381 = invoke16_go_out; -wire _guard382 = invoke17_go_out; +wire _guard380 = invoke7_go_out; +wire _guard381 = invoke7_go_out; +wire _guard382 = invoke7_go_out; wire _guard383 = invoke7_go_out; -wire _guard384 = invoke6_go_out; -wire _guard385 = invoke16_go_out; -wire _guard386 = early_reset_static_par_go_out; -wire _guard387 = early_reset_static_par0_go_out; -wire _guard388 = _guard386 | _guard387; -wire _guard389 = invoke17_go_out; +wire _guard384 = invoke7_go_out; +wire _guard385 = invoke7_go_out; +wire _guard386 = invoke12_go_out; +wire _guard387 = invoke7_go_out; +wire _guard388 = invoke17_go_out; +wire _guard389 = invoke12_go_out; wire _guard390 = invoke7_go_out; -wire _guard391 = invoke7_go_out; -wire _guard392 = invoke7_go_out; +wire _guard391 = invoke17_go_out; +wire _guard392 = invoke12_go_out; wire _guard393 = invoke7_go_out; -wire _guard394 = invoke7_go_out; +wire _guard394 = invoke17_go_out; wire _guard395 = invoke7_go_out; -wire _guard396 = invoke7_go_out; -wire _guard397 = invoke7_go_out; -wire _guard398 = invoke7_go_out; -wire _guard399 = invoke7_go_out; -wire _guard400 = invoke7_go_out; -wire _guard401 = invoke7_go_out; -wire _guard402 = invoke12_go_out; -wire _guard403 = invoke7_go_out; -wire _guard404 = invoke17_go_out; -wire _guard405 = invoke12_go_out; -wire _guard406 = invoke7_go_out; -wire _guard407 = invoke17_go_out; -wire _guard408 = invoke12_go_out; -wire _guard409 = invoke7_go_out; -wire _guard410 = invoke17_go_out; -wire _guard411 = invoke12_go_out; -wire _guard412 = invoke7_go_out; -wire _guard413 = invoke17_go_out; -wire _guard414 = invoke20_go_out; -wire _guard415 = invoke20_go_out; -wire _guard416 = invoke20_go_out; -wire _guard417 = invoke20_go_out; -wire _guard418 = invoke20_go_out; -wire _guard419 = invoke20_go_out; -wire _guard420 = invoke20_go_out; -wire _guard421 = invoke20_go_out; -wire _guard422 = invoke20_go_out; -wire _guard423 = invoke20_go_out; -wire _guard424 = invoke20_go_out; -wire _guard425 = invoke11_go_out; -wire _guard426 = early_reset_static_par_go_out; -wire _guard427 = early_reset_static_par0_go_out; -wire _guard428 = _guard426 | _guard427; -wire _guard429 = invoke10_go_out; -wire _guard430 = invoke22_go_out; -wire _guard431 = invoke23_go_out; -wire _guard432 = invoke11_go_out; -wire _guard433 = invoke10_go_out; -wire _guard434 = early_reset_static_par_go_out; -wire _guard435 = early_reset_static_par0_go_out; -wire _guard436 = _guard434 | _guard435; -wire _guard437 = invoke22_go_out; -wire _guard438 = invoke23_go_out; -wire _guard439 = invoke10_go_out; -wire _guard440 = invoke10_go_out; -wire _guard441 = invoke10_go_out; -wire _guard442 = invoke10_go_out; -wire _guard443 = invoke10_go_out; -wire _guard444 = pd_out; -wire _guard445 = pd0_out; -wire _guard446 = _guard444 & _guard445; -wire _guard447 = pd1_out; +wire _guard396 = invoke20_go_out; +wire _guard397 = invoke20_go_out; +wire _guard398 = invoke20_go_out; +wire _guard399 = invoke20_go_out; +wire _guard400 = invoke20_go_out; +wire _guard401 = invoke20_go_out; +wire _guard402 = invoke20_go_out; +wire _guard403 = invoke20_go_out; +wire _guard404 = invoke20_go_out; +wire _guard405 = invoke11_go_out; +wire _guard406 = early_reset_static_par_go_out; +wire _guard407 = early_reset_static_par0_go_out; +wire _guard408 = _guard406 | _guard407; +wire _guard409 = invoke23_go_out; +wire _guard410 = invoke11_go_out; +wire _guard411 = early_reset_static_par_go_out; +wire _guard412 = early_reset_static_par0_go_out; +wire _guard413 = _guard411 | _guard412; +wire _guard414 = invoke23_go_out; +wire _guard415 = invoke10_go_out; +wire _guard416 = invoke10_go_out; +wire _guard417 = invoke10_go_out; +wire _guard418 = invoke10_go_out; +wire _guard419 = pd_out; +wire _guard420 = pd0_out; +wire _guard421 = _guard419 & _guard420; +wire _guard422 = pd1_out; +wire _guard423 = _guard421 & _guard422; +wire _guard424 = tdcc1_done_out; +wire _guard425 = par0_go_out; +wire _guard426 = _guard424 & _guard425; +wire _guard427 = _guard423 | _guard426; +wire _guard428 = tdcc1_done_out; +wire _guard429 = par0_go_out; +wire _guard430 = _guard428 & _guard429; +wire _guard431 = pd_out; +wire _guard432 = pd0_out; +wire _guard433 = _guard431 & _guard432; +wire _guard434 = pd1_out; +wire _guard435 = _guard433 & _guard434; +wire _guard436 = fsm_out == 1'd0; +wire _guard437 = signal_reg_out; +wire _guard438 = _guard436 & _guard437; +wire _guard439 = pd_out; +wire _guard440 = tdcc_done_out; +wire _guard441 = _guard439 | _guard440; +wire _guard442 = ~_guard441; +wire _guard443 = par0_go_out; +wire _guard444 = _guard442 & _guard443; +wire _guard445 = invoke12_done_out; +wire _guard446 = ~_guard445; +wire _guard447 = fsm6_out == 3'd2; wire _guard448 = _guard446 & _guard447; -wire _guard449 = tdcc1_done_out; -wire _guard450 = par0_go_out; -wire _guard451 = _guard449 & _guard450; -wire _guard452 = _guard448 | _guard451; -wire _guard453 = tdcc1_done_out; -wire _guard454 = par0_go_out; -wire _guard455 = _guard453 & _guard454; -wire _guard456 = pd_out; -wire _guard457 = pd0_out; -wire _guard458 = _guard456 & _guard457; -wire _guard459 = pd1_out; -wire _guard460 = _guard458 & _guard459; -wire _guard461 = fsm_out == 1'd0; -wire _guard462 = signal_reg_out; -wire _guard463 = _guard461 & _guard462; -wire _guard464 = pd_out; -wire _guard465 = tdcc_done_out; -wire _guard466 = _guard464 | _guard465; -wire _guard467 = ~_guard466; -wire _guard468 = par0_go_out; -wire _guard469 = _guard467 & _guard468; -wire _guard470 = invoke12_done_out; -wire _guard471 = ~_guard470; -wire _guard472 = fsm6_out == 3'd2; +wire _guard449 = tdcc5_go_out; +wire _guard450 = _guard448 & _guard449; +wire _guard451 = pd3_out; +wire _guard452 = tdcc3_done_out; +wire _guard453 = _guard451 | _guard452; +wire _guard454 = ~_guard453; +wire _guard455 = par1_go_out; +wire _guard456 = _guard454 & _guard455; +wire _guard457 = fsm4_out == 2'd3; +wire _guard458 = invoke19_go_out; +wire _guard459 = invoke19_go_out; +wire _guard460 = invoke19_go_out; +wire _guard461 = invoke19_go_out; +wire _guard462 = fsm0_out == 2'd2; +wire _guard463 = fsm0_out == 2'd0; +wire _guard464 = invoke6_done_out; +wire _guard465 = _guard463 & _guard464; +wire _guard466 = tdcc_go_out; +wire _guard467 = _guard465 & _guard466; +wire _guard468 = _guard462 | _guard467; +wire _guard469 = fsm0_out == 2'd1; +wire _guard470 = invoke7_done_out; +wire _guard471 = _guard469 & _guard470; +wire _guard472 = tdcc_go_out; wire _guard473 = _guard471 & _guard472; -wire _guard474 = tdcc5_go_out; -wire _guard475 = _guard473 & _guard474; -wire _guard476 = pd3_out; -wire _guard477 = tdcc3_done_out; -wire _guard478 = _guard476 | _guard477; -wire _guard479 = ~_guard478; -wire _guard480 = par1_go_out; -wire _guard481 = _guard479 & _guard480; -wire _guard482 = fsm4_out == 2'd3; -wire _guard483 = invoke19_go_out; -wire _guard484 = invoke19_go_out; -wire _guard485 = invoke19_go_out; -wire _guard486 = invoke19_go_out; -wire _guard487 = invoke19_go_out; -wire _guard488 = invoke19_go_out; -wire _guard489 = invoke19_go_out; -wire _guard490 = fsm0_out == 2'd2; -wire _guard491 = fsm0_out == 2'd0; -wire _guard492 = invoke6_done_out; -wire _guard493 = _guard491 & _guard492; -wire _guard494 = tdcc_go_out; +wire _guard474 = _guard468 | _guard473; +wire _guard475 = fsm0_out == 2'd0; +wire _guard476 = invoke6_done_out; +wire _guard477 = _guard475 & _guard476; +wire _guard478 = tdcc_go_out; +wire _guard479 = _guard477 & _guard478; +wire _guard480 = fsm0_out == 2'd2; +wire _guard481 = fsm0_out == 2'd1; +wire _guard482 = invoke7_done_out; +wire _guard483 = _guard481 & _guard482; +wire _guard484 = tdcc_go_out; +wire _guard485 = _guard483 & _guard484; +wire _guard486 = fsm2_out == 2'd2; +wire _guard487 = fsm2_out == 2'd0; +wire _guard488 = invoke10_done_out; +wire _guard489 = _guard487 & _guard488; +wire _guard490 = tdcc1_go_out; +wire _guard491 = _guard489 & _guard490; +wire _guard492 = _guard486 | _guard491; +wire _guard493 = fsm2_out == 2'd1; +wire _guard494 = invoke11_done_out; wire _guard495 = _guard493 & _guard494; -wire _guard496 = _guard490 | _guard495; -wire _guard497 = fsm0_out == 2'd1; -wire _guard498 = invoke7_done_out; -wire _guard499 = _guard497 & _guard498; -wire _guard500 = tdcc_go_out; +wire _guard496 = tdcc1_go_out; +wire _guard497 = _guard495 & _guard496; +wire _guard498 = _guard492 | _guard497; +wire _guard499 = fsm2_out == 2'd0; +wire _guard500 = invoke10_done_out; wire _guard501 = _guard499 & _guard500; -wire _guard502 = _guard496 | _guard501; -wire _guard503 = fsm0_out == 2'd0; -wire _guard504 = invoke6_done_out; -wire _guard505 = _guard503 & _guard504; -wire _guard506 = tdcc_go_out; +wire _guard502 = tdcc1_go_out; +wire _guard503 = _guard501 & _guard502; +wire _guard504 = fsm2_out == 2'd2; +wire _guard505 = fsm2_out == 2'd1; +wire _guard506 = invoke11_done_out; wire _guard507 = _guard505 & _guard506; -wire _guard508 = fsm0_out == 2'd2; -wire _guard509 = fsm0_out == 2'd1; -wire _guard510 = invoke7_done_out; -wire _guard511 = _guard509 & _guard510; -wire _guard512 = tdcc_go_out; +wire _guard508 = tdcc1_go_out; +wire _guard509 = _guard507 & _guard508; +wire _guard510 = invoke8_done_out; +wire _guard511 = ~_guard510; +wire _guard512 = fsm1_out == 2'd0; wire _guard513 = _guard511 & _guard512; -wire _guard514 = fsm2_out == 2'd2; -wire _guard515 = fsm2_out == 2'd0; -wire _guard516 = invoke10_done_out; -wire _guard517 = _guard515 & _guard516; -wire _guard518 = tdcc1_go_out; -wire _guard519 = _guard517 & _guard518; -wire _guard520 = _guard514 | _guard519; -wire _guard521 = fsm2_out == 2'd1; -wire _guard522 = invoke11_done_out; -wire _guard523 = _guard521 & _guard522; -wire _guard524 = tdcc1_go_out; -wire _guard525 = _guard523 & _guard524; -wire _guard526 = _guard520 | _guard525; -wire _guard527 = fsm2_out == 2'd0; -wire _guard528 = invoke10_done_out; -wire _guard529 = _guard527 & _guard528; -wire _guard530 = tdcc1_go_out; -wire _guard531 = _guard529 & _guard530; -wire _guard532 = fsm2_out == 2'd2; -wire _guard533 = fsm2_out == 2'd1; -wire _guard534 = invoke11_done_out; -wire _guard535 = _guard533 & _guard534; -wire _guard536 = tdcc1_go_out; -wire _guard537 = _guard535 & _guard536; -wire _guard538 = invoke8_done_out; -wire _guard539 = ~_guard538; -wire _guard540 = fsm1_out == 2'd0; -wire _guard541 = _guard539 & _guard540; -wire _guard542 = tdcc0_go_out; -wire _guard543 = _guard541 & _guard542; -wire _guard544 = pd0_out; -wire _guard545 = tdcc0_done_out; -wire _guard546 = _guard544 | _guard545; -wire _guard547 = ~_guard546; -wire _guard548 = par0_go_out; -wire _guard549 = _guard547 & _guard548; -wire _guard550 = invoke6_go_out; -wire _guard551 = invoke6_go_out; -wire _guard552 = invoke6_go_out; -wire _guard553 = invoke6_go_out; -wire _guard554 = invoke6_go_out; -wire _guard555 = invoke16_go_out; -wire _guard556 = invoke16_go_out; -wire _guard557 = invoke16_go_out; -wire _guard558 = invoke16_go_out; -wire _guard559 = invoke16_go_out; -wire _guard560 = invoke16_go_out; -wire _guard561 = invoke16_go_out; -wire _guard562 = pd_out; -wire _guard563 = pd0_out; -wire _guard564 = _guard562 & _guard563; -wire _guard565 = pd1_out; -wire _guard566 = _guard564 & _guard565; -wire _guard567 = invoke17_done_out; -wire _guard568 = ~_guard567; -wire _guard569 = fsm3_out == 2'd1; -wire _guard570 = _guard568 & _guard569; -wire _guard571 = tdcc2_go_out; -wire _guard572 = _guard570 & _guard571; -wire _guard573 = invoke21_done_out; -wire _guard574 = ~_guard573; -wire _guard575 = fsm4_out == 2'd2; -wire _guard576 = _guard574 & _guard575; -wire _guard577 = tdcc3_go_out; -wire _guard578 = _guard576 & _guard577; -wire _guard579 = early_reset_static_par0_go_out; -wire _guard580 = early_reset_static_par0_go_out; -wire _guard581 = pd2_out; -wire _guard582 = pd3_out; -wire _guard583 = _guard581 & _guard582; -wire _guard584 = pd4_out; -wire _guard585 = _guard583 & _guard584; -wire _guard586 = tdcc2_done_out; -wire _guard587 = par1_go_out; -wire _guard588 = _guard586 & _guard587; -wire _guard589 = _guard585 | _guard588; -wire _guard590 = tdcc2_done_out; -wire _guard591 = par1_go_out; -wire _guard592 = _guard590 & _guard591; -wire _guard593 = pd2_out; -wire _guard594 = pd3_out; -wire _guard595 = _guard593 & _guard594; -wire _guard596 = pd4_out; -wire _guard597 = _guard595 & _guard596; -wire _guard598 = invoke16_done_out; -wire _guard599 = ~_guard598; -wire _guard600 = fsm3_out == 2'd0; -wire _guard601 = _guard599 & _guard600; -wire _guard602 = tdcc2_go_out; -wire _guard603 = _guard601 & _guard602; -wire _guard604 = invoke17_go_out; -wire _guard605 = invoke17_go_out; -wire _guard606 = invoke17_go_out; -wire _guard607 = invoke17_go_out; -wire _guard608 = invoke17_go_out; -wire _guard609 = invoke17_go_out; -wire _guard610 = invoke17_go_out; -wire _guard611 = invoke17_go_out; -wire _guard612 = invoke17_go_out; -wire _guard613 = invoke17_go_out; -wire _guard614 = invoke17_go_out; -wire _guard615 = invoke8_go_out; -wire _guard616 = invoke8_go_out; -wire _guard617 = invoke8_go_out; -wire _guard618 = invoke8_go_out; -wire _guard619 = invoke8_go_out; -wire _guard620 = fsm_out == 1'd0; -wire _guard621 = signal_reg_out; -wire _guard622 = _guard620 & _guard621; -wire _guard623 = fsm_out == 1'd0; -wire _guard624 = signal_reg_out; -wire _guard625 = ~_guard624; -wire _guard626 = _guard623 & _guard625; -wire _guard627 = wrapper_early_reset_static_par_go_out; -wire _guard628 = _guard626 & _guard627; -wire _guard629 = _guard622 | _guard628; -wire _guard630 = fsm_out == 1'd0; -wire _guard631 = signal_reg_out; -wire _guard632 = ~_guard631; -wire _guard633 = _guard630 & _guard632; -wire _guard634 = wrapper_early_reset_static_par0_go_out; -wire _guard635 = _guard633 & _guard634; -wire _guard636 = _guard629 | _guard635; -wire _guard637 = fsm_out == 1'd0; -wire _guard638 = signal_reg_out; -wire _guard639 = ~_guard638; -wire _guard640 = _guard637 & _guard639; -wire _guard641 = wrapper_early_reset_static_par_go_out; -wire _guard642 = _guard640 & _guard641; -wire _guard643 = fsm_out == 1'd0; -wire _guard644 = signal_reg_out; -wire _guard645 = ~_guard644; -wire _guard646 = _guard643 & _guard645; -wire _guard647 = wrapper_early_reset_static_par0_go_out; +wire _guard514 = tdcc0_go_out; +wire _guard515 = _guard513 & _guard514; +wire _guard516 = pd0_out; +wire _guard517 = tdcc0_done_out; +wire _guard518 = _guard516 | _guard517; +wire _guard519 = ~_guard518; +wire _guard520 = par0_go_out; +wire _guard521 = _guard519 & _guard520; +wire _guard522 = invoke6_go_out; +wire _guard523 = invoke6_go_out; +wire _guard524 = invoke6_go_out; +wire _guard525 = invoke6_go_out; +wire _guard526 = invoke16_go_out; +wire _guard527 = invoke16_go_out; +wire _guard528 = invoke16_go_out; +wire _guard529 = invoke16_go_out; +wire _guard530 = pd_out; +wire _guard531 = pd0_out; +wire _guard532 = _guard530 & _guard531; +wire _guard533 = pd1_out; +wire _guard534 = _guard532 & _guard533; +wire _guard535 = invoke17_done_out; +wire _guard536 = ~_guard535; +wire _guard537 = fsm3_out == 2'd1; +wire _guard538 = _guard536 & _guard537; +wire _guard539 = tdcc2_go_out; +wire _guard540 = _guard538 & _guard539; +wire _guard541 = invoke21_done_out; +wire _guard542 = ~_guard541; +wire _guard543 = fsm4_out == 2'd2; +wire _guard544 = _guard542 & _guard543; +wire _guard545 = tdcc3_go_out; +wire _guard546 = _guard544 & _guard545; +wire _guard547 = early_reset_static_par0_go_out; +wire _guard548 = early_reset_static_par0_go_out; +wire _guard549 = pd2_out; +wire _guard550 = pd3_out; +wire _guard551 = _guard549 & _guard550; +wire _guard552 = pd4_out; +wire _guard553 = _guard551 & _guard552; +wire _guard554 = tdcc2_done_out; +wire _guard555 = par1_go_out; +wire _guard556 = _guard554 & _guard555; +wire _guard557 = _guard553 | _guard556; +wire _guard558 = tdcc2_done_out; +wire _guard559 = par1_go_out; +wire _guard560 = _guard558 & _guard559; +wire _guard561 = pd2_out; +wire _guard562 = pd3_out; +wire _guard563 = _guard561 & _guard562; +wire _guard564 = pd4_out; +wire _guard565 = _guard563 & _guard564; +wire _guard566 = invoke16_done_out; +wire _guard567 = ~_guard566; +wire _guard568 = fsm3_out == 2'd0; +wire _guard569 = _guard567 & _guard568; +wire _guard570 = tdcc2_go_out; +wire _guard571 = _guard569 & _guard570; +wire _guard572 = invoke17_go_out; +wire _guard573 = invoke17_go_out; +wire _guard574 = invoke17_go_out; +wire _guard575 = invoke17_go_out; +wire _guard576 = invoke17_go_out; +wire _guard577 = invoke17_go_out; +wire _guard578 = invoke17_go_out; +wire _guard579 = invoke17_go_out; +wire _guard580 = invoke17_go_out; +wire _guard581 = invoke8_go_out; +wire _guard582 = invoke8_go_out; +wire _guard583 = invoke8_go_out; +wire _guard584 = invoke8_go_out; +wire _guard585 = fsm_out == 1'd0; +wire _guard586 = signal_reg_out; +wire _guard587 = _guard585 & _guard586; +wire _guard588 = fsm_out == 1'd0; +wire _guard589 = signal_reg_out; +wire _guard590 = ~_guard589; +wire _guard591 = _guard588 & _guard590; +wire _guard592 = wrapper_early_reset_static_par_go_out; +wire _guard593 = _guard591 & _guard592; +wire _guard594 = _guard587 | _guard593; +wire _guard595 = fsm_out == 1'd0; +wire _guard596 = signal_reg_out; +wire _guard597 = ~_guard596; +wire _guard598 = _guard595 & _guard597; +wire _guard599 = wrapper_early_reset_static_par0_go_out; +wire _guard600 = _guard598 & _guard599; +wire _guard601 = _guard594 | _guard600; +wire _guard602 = fsm_out == 1'd0; +wire _guard603 = signal_reg_out; +wire _guard604 = ~_guard603; +wire _guard605 = _guard602 & _guard604; +wire _guard606 = wrapper_early_reset_static_par_go_out; +wire _guard607 = _guard605 & _guard606; +wire _guard608 = fsm_out == 1'd0; +wire _guard609 = signal_reg_out; +wire _guard610 = ~_guard609; +wire _guard611 = _guard608 & _guard610; +wire _guard612 = wrapper_early_reset_static_par0_go_out; +wire _guard613 = _guard611 & _guard612; +wire _guard614 = _guard607 | _guard613; +wire _guard615 = fsm_out == 1'd0; +wire _guard616 = signal_reg_out; +wire _guard617 = _guard615 & _guard616; +wire _guard618 = fsm2_out == 2'd2; +wire _guard619 = pd2_out; +wire _guard620 = pd3_out; +wire _guard621 = _guard619 & _guard620; +wire _guard622 = pd4_out; +wire _guard623 = _guard621 & _guard622; +wire _guard624 = invoke21_go_out; +wire _guard625 = invoke21_go_out; +wire _guard626 = invoke11_go_out; +wire _guard627 = invoke12_go_out; +wire _guard628 = invoke23_go_out; +wire _guard629 = invoke11_go_out; +wire _guard630 = invoke12_go_out; +wire _guard631 = invoke23_go_out; +wire _guard632 = invoke11_go_out; +wire _guard633 = invoke12_go_out; +wire _guard634 = invoke23_go_out; +wire _guard635 = invoke11_go_out; +wire _guard636 = invoke12_go_out; +wire _guard637 = pd_out; +wire _guard638 = pd0_out; +wire _guard639 = _guard637 & _guard638; +wire _guard640 = pd1_out; +wire _guard641 = _guard639 & _guard640; +wire _guard642 = tdcc_done_out; +wire _guard643 = par0_go_out; +wire _guard644 = _guard642 & _guard643; +wire _guard645 = _guard641 | _guard644; +wire _guard646 = tdcc_done_out; +wire _guard647 = par0_go_out; wire _guard648 = _guard646 & _guard647; -wire _guard649 = _guard642 | _guard648; -wire _guard650 = fsm_out == 1'd0; -wire _guard651 = signal_reg_out; -wire _guard652 = _guard650 & _guard651; -wire _guard653 = fsm2_out == 2'd2; -wire _guard654 = pd2_out; -wire _guard655 = pd3_out; +wire _guard649 = pd_out; +wire _guard650 = pd0_out; +wire _guard651 = _guard649 & _guard650; +wire _guard652 = pd1_out; +wire _guard653 = _guard651 & _guard652; +wire _guard654 = pd_out; +wire _guard655 = pd0_out; wire _guard656 = _guard654 & _guard655; -wire _guard657 = pd4_out; +wire _guard657 = pd1_out; wire _guard658 = _guard656 & _guard657; -wire _guard659 = invoke21_go_out; -wire _guard660 = invoke21_go_out; -wire _guard661 = invoke11_go_out; -wire _guard662 = invoke12_go_out; -wire _guard663 = invoke23_go_out; -wire _guard664 = invoke11_go_out; -wire _guard665 = invoke12_go_out; -wire _guard666 = invoke23_go_out; -wire _guard667 = invoke11_go_out; -wire _guard668 = invoke12_go_out; -wire _guard669 = invoke23_go_out; -wire _guard670 = invoke11_go_out; -wire _guard671 = invoke12_go_out; -wire _guard672 = invoke23_go_out; -wire _guard673 = pd_out; -wire _guard674 = pd0_out; +wire _guard659 = tdcc0_done_out; +wire _guard660 = par0_go_out; +wire _guard661 = _guard659 & _guard660; +wire _guard662 = _guard658 | _guard661; +wire _guard663 = tdcc0_done_out; +wire _guard664 = par0_go_out; +wire _guard665 = _guard663 & _guard664; +wire _guard666 = pd_out; +wire _guard667 = pd0_out; +wire _guard668 = _guard666 & _guard667; +wire _guard669 = pd1_out; +wire _guard670 = _guard668 & _guard669; +wire _guard671 = pd2_out; +wire _guard672 = pd3_out; +wire _guard673 = _guard671 & _guard672; +wire _guard674 = pd4_out; wire _guard675 = _guard673 & _guard674; -wire _guard676 = pd1_out; -wire _guard677 = _guard675 & _guard676; -wire _guard678 = tdcc_done_out; -wire _guard679 = par0_go_out; -wire _guard680 = _guard678 & _guard679; -wire _guard681 = _guard677 | _guard680; -wire _guard682 = tdcc_done_out; -wire _guard683 = par0_go_out; -wire _guard684 = _guard682 & _guard683; -wire _guard685 = pd_out; -wire _guard686 = pd0_out; +wire _guard676 = tdcc4_done_out; +wire _guard677 = par1_go_out; +wire _guard678 = _guard676 & _guard677; +wire _guard679 = _guard675 | _guard678; +wire _guard680 = tdcc4_done_out; +wire _guard681 = par1_go_out; +wire _guard682 = _guard680 & _guard681; +wire _guard683 = pd2_out; +wire _guard684 = pd3_out; +wire _guard685 = _guard683 & _guard684; +wire _guard686 = pd4_out; wire _guard687 = _guard685 & _guard686; -wire _guard688 = pd1_out; -wire _guard689 = _guard687 & _guard688; -wire _guard690 = pd_out; -wire _guard691 = pd0_out; -wire _guard692 = _guard690 & _guard691; -wire _guard693 = pd1_out; -wire _guard694 = _guard692 & _guard693; -wire _guard695 = tdcc0_done_out; -wire _guard696 = par0_go_out; -wire _guard697 = _guard695 & _guard696; -wire _guard698 = _guard694 | _guard697; -wire _guard699 = tdcc0_done_out; -wire _guard700 = par0_go_out; +wire _guard688 = pd4_out; +wire _guard689 = tdcc4_done_out; +wire _guard690 = _guard688 | _guard689; +wire _guard691 = ~_guard690; +wire _guard692 = par1_go_out; +wire _guard693 = _guard691 & _guard692; +wire _guard694 = invoke18_go_out; +wire _guard695 = invoke18_go_out; +wire _guard696 = wrapper_early_reset_static_par0_done_out; +wire _guard697 = ~_guard696; +wire _guard698 = fsm6_out == 3'd3; +wire _guard699 = _guard697 & _guard698; +wire _guard700 = tdcc5_go_out; wire _guard701 = _guard699 & _guard700; -wire _guard702 = pd_out; -wire _guard703 = pd0_out; +wire _guard702 = fsm_out == 1'd0; +wire _guard703 = signal_reg_out; wire _guard704 = _guard702 & _guard703; -wire _guard705 = pd1_out; -wire _guard706 = _guard704 & _guard705; -wire _guard707 = pd2_out; -wire _guard708 = pd3_out; +wire _guard705 = fsm0_out == 2'd2; +wire _guard706 = invoke19_done_out; +wire _guard707 = ~_guard706; +wire _guard708 = fsm4_out == 2'd0; wire _guard709 = _guard707 & _guard708; -wire _guard710 = pd4_out; +wire _guard710 = tdcc3_go_out; wire _guard711 = _guard709 & _guard710; -wire _guard712 = tdcc4_done_out; -wire _guard713 = par1_go_out; -wire _guard714 = _guard712 & _guard713; -wire _guard715 = _guard711 | _guard714; -wire _guard716 = tdcc4_done_out; -wire _guard717 = par1_go_out; -wire _guard718 = _guard716 & _guard717; -wire _guard719 = pd2_out; -wire _guard720 = pd3_out; -wire _guard721 = _guard719 & _guard720; -wire _guard722 = pd4_out; -wire _guard723 = _guard721 & _guard722; -wire _guard724 = pd4_out; -wire _guard725 = tdcc4_done_out; -wire _guard726 = _guard724 | _guard725; -wire _guard727 = ~_guard726; -wire _guard728 = par1_go_out; -wire _guard729 = _guard727 & _guard728; -wire _guard730 = invoke18_go_out; -wire _guard731 = invoke18_go_out; -wire _guard732 = wrapper_early_reset_static_par0_done_out; -wire _guard733 = ~_guard732; -wire _guard734 = fsm6_out == 3'd3; +wire _guard712 = invoke20_done_out; +wire _guard713 = ~_guard712; +wire _guard714 = fsm4_out == 2'd1; +wire _guard715 = _guard713 & _guard714; +wire _guard716 = tdcc3_go_out; +wire _guard717 = _guard715 & _guard716; +wire _guard718 = invoke16_go_out; +wire _guard719 = invoke16_go_out; +wire _guard720 = invoke22_go_out; +wire _guard721 = invoke22_go_out; +wire _guard722 = invoke22_go_out; +wire _guard723 = invoke22_go_out; +wire _guard724 = invoke23_go_out; +wire _guard725 = invoke23_go_out; +wire _guard726 = invoke23_go_out; +wire _guard727 = invoke23_go_out; +wire _guard728 = invoke23_go_out; +wire _guard729 = invoke23_go_out; +wire _guard730 = invoke23_go_out; +wire _guard731 = invoke23_go_out; +wire _guard732 = invoke23_go_out; +wire _guard733 = pd2_out; +wire _guard734 = pd3_out; wire _guard735 = _guard733 & _guard734; -wire _guard736 = tdcc5_go_out; +wire _guard736 = pd4_out; wire _guard737 = _guard735 & _guard736; -wire _guard738 = fsm_out == 1'd0; -wire _guard739 = signal_reg_out; +wire _guard738 = tdcc3_done_out; +wire _guard739 = par1_go_out; wire _guard740 = _guard738 & _guard739; -wire _guard741 = fsm0_out == 2'd2; -wire _guard742 = invoke19_done_out; -wire _guard743 = ~_guard742; -wire _guard744 = fsm4_out == 2'd0; -wire _guard745 = _guard743 & _guard744; -wire _guard746 = tdcc3_go_out; +wire _guard741 = _guard737 | _guard740; +wire _guard742 = tdcc3_done_out; +wire _guard743 = par1_go_out; +wire _guard744 = _guard742 & _guard743; +wire _guard745 = pd2_out; +wire _guard746 = pd3_out; wire _guard747 = _guard745 & _guard746; -wire _guard748 = invoke20_done_out; -wire _guard749 = ~_guard748; -wire _guard750 = fsm4_out == 2'd1; -wire _guard751 = _guard749 & _guard750; -wire _guard752 = tdcc3_go_out; -wire _guard753 = _guard751 & _guard752; -wire _guard754 = invoke16_go_out; -wire _guard755 = invoke17_go_out; -wire _guard756 = invoke16_go_out; -wire _guard757 = invoke17_go_out; -wire _guard758 = invoke22_go_out; -wire _guard759 = invoke22_go_out; -wire _guard760 = invoke22_go_out; -wire _guard761 = invoke22_go_out; -wire _guard762 = invoke22_go_out; -wire _guard763 = invoke22_go_out; -wire _guard764 = invoke22_go_out; -wire _guard765 = invoke23_go_out; -wire _guard766 = invoke23_go_out; -wire _guard767 = invoke23_go_out; -wire _guard768 = invoke23_go_out; -wire _guard769 = invoke23_go_out; -wire _guard770 = invoke23_go_out; -wire _guard771 = invoke23_go_out; -wire _guard772 = invoke23_go_out; -wire _guard773 = invoke23_go_out; -wire _guard774 = invoke23_go_out; -wire _guard775 = invoke23_go_out; -wire _guard776 = pd2_out; -wire _guard777 = pd3_out; -wire _guard778 = _guard776 & _guard777; -wire _guard779 = pd4_out; -wire _guard780 = _guard778 & _guard779; -wire _guard781 = tdcc3_done_out; -wire _guard782 = par1_go_out; +wire _guard748 = pd4_out; +wire _guard749 = _guard747 & _guard748; +wire _guard750 = wrapper_early_reset_static_par_go_out; +wire _guard751 = fsm5_out == 2'd3; +wire _guard752 = invoke19_go_out; +wire _guard753 = invoke19_go_out; +wire _guard754 = invoke11_go_out; +wire _guard755 = early_reset_static_par_go_out; +wire _guard756 = invoke23_go_out; +wire _guard757 = invoke11_go_out; +wire _guard758 = invoke23_go_out; +wire _guard759 = early_reset_static_par_go_out; +wire _guard760 = invoke6_done_out; +wire _guard761 = ~_guard760; +wire _guard762 = fsm0_out == 2'd0; +wire _guard763 = _guard761 & _guard762; +wire _guard764 = tdcc_go_out; +wire _guard765 = _guard763 & _guard764; +wire _guard766 = invoke24_done_out; +wire _guard767 = ~_guard766; +wire _guard768 = fsm5_out == 2'd2; +wire _guard769 = _guard767 & _guard768; +wire _guard770 = tdcc4_go_out; +wire _guard771 = _guard769 & _guard770; +wire _guard772 = pd1_out; +wire _guard773 = tdcc1_done_out; +wire _guard774 = _guard772 | _guard773; +wire _guard775 = ~_guard774; +wire _guard776 = par0_go_out; +wire _guard777 = _guard775 & _guard776; +wire _guard778 = par0_done_out; +wire _guard779 = ~_guard778; +wire _guard780 = fsm6_out == 3'd1; +wire _guard781 = _guard779 & _guard780; +wire _guard782 = tdcc5_go_out; wire _guard783 = _guard781 & _guard782; -wire _guard784 = _guard780 | _guard783; -wire _guard785 = tdcc3_done_out; -wire _guard786 = par1_go_out; +wire _guard784 = invoke7_done_out; +wire _guard785 = ~_guard784; +wire _guard786 = fsm0_out == 2'd1; wire _guard787 = _guard785 & _guard786; -wire _guard788 = pd2_out; -wire _guard789 = pd3_out; -wire _guard790 = _guard788 & _guard789; -wire _guard791 = pd4_out; -wire _guard792 = _guard790 & _guard791; -wire _guard793 = wrapper_early_reset_static_par_go_out; -wire _guard794 = fsm5_out == 2'd3; -wire _guard795 = invoke20_go_out; -wire _guard796 = invoke19_go_out; -wire _guard797 = invoke20_go_out; -wire _guard798 = invoke19_go_out; -wire _guard799 = invoke11_go_out; -wire _guard800 = early_reset_static_par_go_out; -wire _guard801 = invoke23_go_out; -wire _guard802 = invoke11_go_out; -wire _guard803 = invoke23_go_out; -wire _guard804 = early_reset_static_par_go_out; -wire _guard805 = invoke6_done_out; -wire _guard806 = ~_guard805; -wire _guard807 = fsm0_out == 2'd0; -wire _guard808 = _guard806 & _guard807; -wire _guard809 = tdcc_go_out; -wire _guard810 = _guard808 & _guard809; -wire _guard811 = invoke24_done_out; -wire _guard812 = ~_guard811; -wire _guard813 = fsm5_out == 2'd2; -wire _guard814 = _guard812 & _guard813; -wire _guard815 = tdcc4_go_out; -wire _guard816 = _guard814 & _guard815; -wire _guard817 = pd1_out; -wire _guard818 = tdcc1_done_out; -wire _guard819 = _guard817 | _guard818; -wire _guard820 = ~_guard819; -wire _guard821 = par0_go_out; -wire _guard822 = _guard820 & _guard821; -wire _guard823 = par0_done_out; -wire _guard824 = ~_guard823; -wire _guard825 = fsm6_out == 3'd1; -wire _guard826 = _guard824 & _guard825; -wire _guard827 = tdcc5_go_out; -wire _guard828 = _guard826 & _guard827; -wire _guard829 = invoke7_done_out; -wire _guard830 = ~_guard829; -wire _guard831 = fsm0_out == 2'd1; -wire _guard832 = _guard830 & _guard831; -wire _guard833 = tdcc_go_out; -wire _guard834 = _guard832 & _guard833; -wire _guard835 = invoke10_done_out; -wire _guard836 = ~_guard835; -wire _guard837 = fsm2_out == 2'd0; -wire _guard838 = _guard836 & _guard837; -wire _guard839 = tdcc1_go_out; -wire _guard840 = _guard838 & _guard839; -wire _guard841 = invoke22_done_out; -wire _guard842 = ~_guard841; -wire _guard843 = fsm5_out == 2'd0; -wire _guard844 = _guard842 & _guard843; -wire _guard845 = tdcc4_go_out; -wire _guard846 = _guard844 & _guard845; -wire _guard847 = fsm6_out == 3'd5; +wire _guard788 = tdcc_go_out; +wire _guard789 = _guard787 & _guard788; +wire _guard790 = invoke10_done_out; +wire _guard791 = ~_guard790; +wire _guard792 = fsm2_out == 2'd0; +wire _guard793 = _guard791 & _guard792; +wire _guard794 = tdcc1_go_out; +wire _guard795 = _guard793 & _guard794; +wire _guard796 = invoke22_done_out; +wire _guard797 = ~_guard796; +wire _guard798 = fsm5_out == 2'd0; +wire _guard799 = _guard797 & _guard798; +wire _guard800 = tdcc4_go_out; +wire _guard801 = _guard799 & _guard800; +wire _guard802 = fsm6_out == 3'd5; assign curr_addr_internal_mem_A0_write_en = _guard1 ? 1'd1 : _guard2 ? read_channel_A0_curr_addr_internal_mem_write_en : @@ -7320,850 +7275,751 @@ assign read_channel_Sum0_RDATA = _guard18 ? Sum0_RDATA : 32'd0; assign read_channel_Sum0_clk = clk; -assign read_channel_Sum0_mem_ref_read_data = - _guard19 ? internal_mem_Sum0_read_data : - 32'd0; -assign read_channel_Sum0_go = _guard20; +assign read_channel_Sum0_go = _guard19; assign read_channel_Sum0_reset = reset; assign read_channel_Sum0_RRESP = - _guard21 ? Sum0_RRESP : + _guard20 ? Sum0_RRESP : 2'd0; assign read_channel_Sum0_mem_ref_done = - _guard22 ? internal_mem_Sum0_done : + _guard21 ? internal_mem_Sum0_done : 1'd0; assign read_channel_Sum0_ARESETn = - _guard23 ? Sum0_ARESETn : + _guard22 ? Sum0_ARESETn : 1'd0; assign read_channel_Sum0_curr_addr_internal_mem_done = - _guard24 ? curr_addr_internal_mem_Sum0_done : + _guard23 ? curr_addr_internal_mem_Sum0_done : 1'd0; assign read_channel_Sum0_curr_addr_axi_done = - _guard25 ? curr_addr_axi_Sum0_done : + _guard24 ? curr_addr_axi_Sum0_done : 1'd0; -assign done = _guard26; +assign done = _guard25; assign B0_WLAST = - _guard27 ? write_channel_B0_WLAST : + _guard26 ? write_channel_B0_WLAST : 1'd0; assign Sum0_ARVALID = - _guard28 ? ar_channel_Sum0_ARVALID : + _guard27 ? ar_channel_Sum0_ARVALID : 1'd0; assign Sum0_ARBURST = - _guard29 ? ar_channel_Sum0_ARBURST : + _guard28 ? ar_channel_Sum0_ARBURST : 2'd0; assign Sum0_AWADDR = - _guard30 ? aw_channel_Sum0_AWADDR : + _guard29 ? aw_channel_Sum0_AWADDR : 64'd0; assign Sum0_AWSIZE = - _guard31 ? aw_channel_Sum0_AWSIZE : + _guard30 ? aw_channel_Sum0_AWSIZE : 3'd0; assign Sum0_ARID = 1'd0; assign A0_ARSIZE = - _guard32 ? ar_channel_A0_ARSIZE : + _guard31 ? ar_channel_A0_ARSIZE : 3'd0; assign A0_AWBURST = - _guard33 ? aw_channel_A0_AWBURST : + _guard32 ? aw_channel_A0_AWBURST : 2'd0; assign B0_AWBURST = - _guard34 ? aw_channel_B0_AWBURST : + _guard33 ? aw_channel_B0_AWBURST : 2'd0; assign Sum0_WDATA = - _guard35 ? write_channel_Sum0_WDATA : + _guard34 ? write_channel_Sum0_WDATA : 32'd0; assign A0_BREADY = - _guard36 ? bresp_channel_A0_BREADY : + _guard35 ? bresp_channel_A0_BREADY : 1'd0; assign B0_AWLEN = - _guard37 ? aw_channel_B0_AWLEN : + _guard36 ? aw_channel_B0_AWLEN : 8'd0; assign Sum0_RREADY = - _guard38 ? read_channel_Sum0_RREADY : + _guard37 ? read_channel_Sum0_RREADY : 1'd0; assign B0_ARID = 1'd0; assign B0_ARBURST = - _guard39 ? ar_channel_B0_ARBURST : + _guard38 ? ar_channel_B0_ARBURST : 2'd0; assign B0_AWVALID = - _guard40 ? aw_channel_B0_AWVALID : + _guard39 ? aw_channel_B0_AWVALID : 1'd0; assign B0_WVALID = - _guard41 ? write_channel_B0_WVALID : + _guard40 ? write_channel_B0_WVALID : 1'd0; assign Sum0_AWLEN = - _guard42 ? aw_channel_Sum0_AWLEN : + _guard41 ? aw_channel_Sum0_AWLEN : 8'd0; assign Sum0_BID = 1'd0; assign A0_AWSIZE = - _guard43 ? aw_channel_A0_AWSIZE : + _guard42 ? aw_channel_A0_AWSIZE : 3'd0; assign B0_ARLEN = - _guard44 ? ar_channel_B0_ARLEN : + _guard43 ? ar_channel_B0_ARLEN : 8'd0; assign B0_WID = 1'd0; assign B0_BID = 1'd0; assign A0_WLAST = - _guard45 ? write_channel_A0_WLAST : + _guard44 ? write_channel_A0_WLAST : 1'd0; assign B0_ARVALID = - _guard46 ? ar_channel_B0_ARVALID : + _guard45 ? ar_channel_B0_ARVALID : 1'd0; assign B0_AWPROT = - _guard47 ? aw_channel_B0_AWPROT : + _guard46 ? aw_channel_B0_AWPROT : 3'd0; assign Sum0_AWPROT = - _guard48 ? aw_channel_Sum0_AWPROT : + _guard47 ? aw_channel_Sum0_AWPROT : 3'd0; assign A0_ARBURST = - _guard49 ? ar_channel_A0_ARBURST : + _guard48 ? ar_channel_A0_ARBURST : 2'd0; assign A0_AWPROT = - _guard50 ? aw_channel_A0_AWPROT : + _guard49 ? aw_channel_A0_AWPROT : 3'd0; assign Sum0_WLAST = - _guard51 ? write_channel_Sum0_WLAST : + _guard50 ? write_channel_Sum0_WLAST : 1'd0; assign Sum0_BREADY = - _guard52 ? bresp_channel_Sum0_BREADY : + _guard51 ? bresp_channel_Sum0_BREADY : 1'd0; assign Sum0_AWID = 1'd0; assign A0_WVALID = - _guard53 ? write_channel_A0_WVALID : + _guard52 ? write_channel_A0_WVALID : 1'd0; assign A0_WID = 1'd0; assign B0_AWID = 1'd0; assign A0_ARADDR = - _guard54 ? ar_channel_A0_ARADDR : + _guard53 ? ar_channel_A0_ARADDR : 64'd0; assign A0_WDATA = - _guard55 ? write_channel_A0_WDATA : + _guard54 ? write_channel_A0_WDATA : 32'd0; assign Sum0_ARADDR = - _guard56 ? ar_channel_Sum0_ARADDR : + _guard55 ? ar_channel_Sum0_ARADDR : 64'd0; assign Sum0_AWBURST = - _guard57 ? aw_channel_Sum0_AWBURST : + _guard56 ? aw_channel_Sum0_AWBURST : 2'd0; assign Sum0_WVALID = - _guard58 ? write_channel_Sum0_WVALID : + _guard57 ? write_channel_Sum0_WVALID : 1'd0; assign A0_RREADY = - _guard59 ? read_channel_A0_RREADY : + _guard58 ? read_channel_A0_RREADY : 1'd0; assign A0_BID = 1'd0; assign B0_RREADY = - _guard60 ? read_channel_B0_RREADY : + _guard59 ? read_channel_B0_RREADY : 1'd0; assign B0_WDATA = - _guard61 ? write_channel_B0_WDATA : + _guard60 ? write_channel_B0_WDATA : 32'd0; assign B0_BREADY = - _guard62 ? bresp_channel_B0_BREADY : + _guard61 ? bresp_channel_B0_BREADY : 1'd0; assign A0_AWLEN = - _guard63 ? aw_channel_A0_AWLEN : + _guard62 ? aw_channel_A0_AWLEN : 8'd0; assign B0_ARSIZE = - _guard64 ? ar_channel_B0_ARSIZE : + _guard63 ? ar_channel_B0_ARSIZE : 3'd0; assign A0_AWADDR = - _guard65 ? aw_channel_A0_AWADDR : + _guard64 ? aw_channel_A0_AWADDR : 64'd0; assign B0_ARADDR = - _guard66 ? ar_channel_B0_ARADDR : + _guard65 ? ar_channel_B0_ARADDR : 64'd0; assign Sum0_ARSIZE = - _guard67 ? ar_channel_Sum0_ARSIZE : + _guard66 ? ar_channel_Sum0_ARSIZE : 3'd0; assign Sum0_ARLEN = - _guard68 ? ar_channel_Sum0_ARLEN : + _guard67 ? ar_channel_Sum0_ARLEN : 8'd0; assign Sum0_AWVALID = - _guard69 ? aw_channel_Sum0_AWVALID : + _guard68 ? aw_channel_Sum0_AWVALID : 1'd0; assign A0_ARVALID = - _guard70 ? ar_channel_A0_ARVALID : + _guard69 ? ar_channel_A0_ARVALID : 1'd0; assign A0_AWVALID = - _guard71 ? aw_channel_A0_AWVALID : + _guard70 ? aw_channel_A0_AWVALID : 1'd0; assign A0_ARID = 1'd0; assign B0_AWADDR = - _guard72 ? aw_channel_B0_AWADDR : + _guard71 ? aw_channel_B0_AWADDR : 64'd0; assign B0_AWSIZE = - _guard73 ? aw_channel_B0_AWSIZE : + _guard72 ? aw_channel_B0_AWSIZE : 3'd0; assign Sum0_WID = 1'd0; assign A0_ARLEN = - _guard74 ? ar_channel_A0_ARLEN : + _guard73 ? ar_channel_A0_ARLEN : 8'd0; assign A0_AWID = 1'd0; -assign fsm_write_en = _guard77; +assign fsm_write_en = _guard76; assign fsm_clk = clk; assign fsm_reset = reset; assign fsm_in = - _guard81 ? adder_out : - _guard88 ? 1'd0 : - _guard92 ? adder0_out : + _guard80 ? adder_out : + _guard87 ? 1'd0 : + _guard91 ? adder0_out : 1'd0; assign adder_left = - _guard93 ? fsm_out : + _guard92 ? fsm_out : 1'd0; -assign adder_right = _guard94; -assign fsm6_write_en = _guard125; +assign adder_right = _guard93; +assign fsm6_write_en = _guard124; assign fsm6_clk = clk; assign fsm6_reset = reset; assign fsm6_in = - _guard130 ? 3'd5 : - _guard135 ? 3'd2 : - _guard140 ? 3'd4 : - _guard145 ? 3'd1 : - _guard146 ? 3'd0 : - _guard151 ? 3'd3 : + _guard129 ? 3'd5 : + _guard134 ? 3'd2 : + _guard139 ? 3'd4 : + _guard144 ? 3'd1 : + _guard145 ? 3'd0 : + _guard150 ? 3'd3 : 3'd0; -assign early_reset_static_par0_go_in = _guard152; +assign early_reset_static_par0_go_in = _guard151; assign invoke11_done_in = read_channel_Sum0_done; -assign invoke18_go_in = _guard158; -assign tdcc2_go_in = _guard164; +assign invoke18_go_in = _guard157; +assign tdcc2_go_in = _guard163; assign curr_addr_internal_mem_B0_write_en = - _guard165 ? read_channel_B0_curr_addr_internal_mem_write_en : - _guard166 ? 1'd1 : - _guard167 ? write_channel_B0_curr_addr_internal_mem_write_en : + _guard164 ? read_channel_B0_curr_addr_internal_mem_write_en : + _guard165 ? 1'd1 : + _guard166 ? write_channel_B0_curr_addr_internal_mem_write_en : 1'd0; assign curr_addr_internal_mem_B0_clk = clk; assign curr_addr_internal_mem_B0_reset = reset; assign curr_addr_internal_mem_B0_in = - _guard168 ? read_channel_B0_curr_addr_internal_mem_in : - _guard169 ? write_channel_B0_curr_addr_internal_mem_in : - _guard170 ? 3'd0 : + _guard167 ? read_channel_B0_curr_addr_internal_mem_in : + _guard168 ? write_channel_B0_curr_addr_internal_mem_in : + _guard169 ? 3'd0 : 'x; assign read_channel_B0_curr_addr_internal_mem_out = - _guard171 ? curr_addr_internal_mem_B0_out : + _guard170 ? curr_addr_internal_mem_B0_out : 3'd0; assign read_channel_B0_curr_addr_axi_out = - _guard172 ? curr_addr_axi_B0_out : + _guard171 ? curr_addr_axi_B0_out : 64'd0; assign read_channel_B0_RVALID = - _guard173 ? B0_RVALID : + _guard172 ? B0_RVALID : 1'd0; assign read_channel_B0_RLAST = - _guard174 ? B0_RLAST : + _guard173 ? B0_RLAST : 1'd0; assign read_channel_B0_RDATA = - _guard175 ? B0_RDATA : + _guard174 ? B0_RDATA : 32'd0; assign read_channel_B0_clk = clk; -assign read_channel_B0_mem_ref_read_data = - _guard176 ? internal_mem_B0_read_data : - 32'd0; -assign read_channel_B0_go = _guard177; +assign read_channel_B0_go = _guard175; assign read_channel_B0_reset = reset; assign read_channel_B0_RRESP = - _guard178 ? B0_RRESP : + _guard176 ? B0_RRESP : 2'd0; assign read_channel_B0_mem_ref_done = - _guard179 ? internal_mem_B0_done : + _guard177 ? internal_mem_B0_done : 1'd0; assign read_channel_B0_ARESETn = - _guard180 ? B0_ARESETn : + _guard178 ? B0_ARESETn : 1'd0; assign read_channel_B0_curr_addr_internal_mem_done = - _guard181 ? curr_addr_internal_mem_B0_done : + _guard179 ? curr_addr_internal_mem_B0_done : 1'd0; assign read_channel_B0_curr_addr_axi_done = - _guard182 ? curr_addr_axi_B0_done : + _guard180 ? curr_addr_axi_B0_done : 1'd0; assign internal_mem_B0_write_en = - _guard183 ? read_channel_B0_mem_ref_write_en : - _guard184 ? main_compute_B0_write_en : - _guard185 ? write_channel_B0_mem_ref_write_en : + _guard181 ? read_channel_B0_mem_ref_write_en : + _guard182 ? main_compute_B0_write_en : + _guard183 ? write_channel_B0_mem_ref_write_en : 1'd0; assign internal_mem_B0_clk = clk; assign internal_mem_B0_addr0 = - _guard186 ? read_channel_B0_mem_ref_addr0 : - _guard187 ? main_compute_B0_addr0 : - _guard188 ? write_channel_B0_mem_ref_addr0 : + _guard184 ? read_channel_B0_mem_ref_addr0 : + _guard185 ? main_compute_B0_addr0 : + _guard186 ? write_channel_B0_mem_ref_addr0 : 'x; assign internal_mem_B0_content_en = - _guard189 ? read_channel_B0_mem_ref_content_en : - _guard190 ? main_compute_B0_content_en : - _guard191 ? write_channel_B0_mem_ref_content_en : + _guard187 ? read_channel_B0_mem_ref_content_en : + _guard188 ? main_compute_B0_content_en : + _guard189 ? write_channel_B0_mem_ref_content_en : 1'd0; assign internal_mem_B0_reset = reset; -assign internal_mem_B0_write_data = - _guard192 ? read_channel_B0_mem_ref_write_data : - _guard193 ? main_compute_B0_write_data : - _guard194 ? write_channel_B0_mem_ref_write_data : - 'x; +assign internal_mem_B0_write_data = read_channel_B0_mem_ref_write_data; assign bresp_channel_Sum0_clk = clk; -assign bresp_channel_Sum0_go = _guard195; +assign bresp_channel_Sum0_go = _guard191; assign bresp_channel_Sum0_reset = reset; assign bresp_channel_Sum0_BVALID = - _guard196 ? Sum0_BVALID : + _guard192 ? Sum0_BVALID : 1'd0; -assign fsm3_write_en = _guard215; +assign fsm3_write_en = _guard211; assign fsm3_clk = clk; assign fsm3_reset = reset; assign fsm3_in = - _guard220 ? 2'd1 : - _guard221 ? 2'd0 : - _guard226 ? 2'd3 : - _guard231 ? 2'd2 : + _guard216 ? 2'd1 : + _guard217 ? 2'd0 : + _guard222 ? 2'd3 : + _guard227 ? 2'd2 : 2'd0; -assign fsm5_write_en = _guard250; +assign fsm5_write_en = _guard246; assign fsm5_clk = clk; assign fsm5_reset = reset; assign fsm5_in = - _guard255 ? 2'd1 : - _guard256 ? 2'd0 : - _guard261 ? 2'd3 : - _guard266 ? 2'd2 : + _guard251 ? 2'd1 : + _guard252 ? 2'd0 : + _guard257 ? 2'd3 : + _guard262 ? 2'd2 : 2'd0; -assign tdcc0_done_in = _guard267; +assign tdcc0_done_in = _guard263; assign curr_addr_axi_B0_write_en = - _guard268 ? read_channel_B0_curr_addr_axi_write_en : - _guard271 ? 1'd1 : - _guard272 ? write_channel_B0_curr_addr_axi_write_en : - _guard273 ? aw_channel_B0_curr_addr_axi_write_en : - _guard274 ? ar_channel_B0_curr_addr_axi_write_en : + _guard264 ? read_channel_B0_curr_addr_axi_write_en : + _guard267 ? 1'd1 : + _guard268 ? write_channel_B0_curr_addr_axi_write_en : 1'd0; assign curr_addr_axi_B0_clk = clk; assign curr_addr_axi_B0_reset = reset; assign curr_addr_axi_B0_in = - _guard275 ? read_channel_B0_curr_addr_axi_in : - _guard276 ? write_channel_B0_curr_addr_axi_in : - _guard277 ? aw_channel_B0_curr_addr_axi_in : - _guard280 ? 64'd4096 : - _guard281 ? ar_channel_B0_curr_addr_axi_in : + _guard269 ? read_channel_B0_curr_addr_axi_in : + _guard270 ? write_channel_B0_curr_addr_axi_in : + _guard273 ? 64'd4096 : 'x; assign max_transfers_Sum0_write_en = - _guard282 ? aw_channel_Sum0_max_transfers_write_en : - _guard283 ? write_channel_Sum0_max_transfers_write_en : + _guard274 ? aw_channel_Sum0_max_transfers_write_en : 1'd0; assign max_transfers_Sum0_clk = clk; assign max_transfers_Sum0_reset = reset; -assign max_transfers_Sum0_in = - _guard284 ? aw_channel_Sum0_max_transfers_in : - _guard285 ? write_channel_Sum0_max_transfers_in : - 'x; +assign max_transfers_Sum0_in = aw_channel_Sum0_max_transfers_in; assign main_compute_A0_read_data = - _guard286 ? internal_mem_A0_read_data : + _guard276 ? internal_mem_A0_read_data : 32'd0; assign main_compute_B0_read_data = - _guard287 ? internal_mem_B0_read_data : + _guard277 ? internal_mem_B0_read_data : 32'd0; assign main_compute_Sum0_done = - _guard288 ? internal_mem_Sum0_done : + _guard278 ? internal_mem_Sum0_done : 1'd0; assign main_compute_clk = clk; assign main_compute_B0_done = - _guard289 ? internal_mem_B0_done : + _guard279 ? internal_mem_B0_done : 1'd0; -assign main_compute_go = _guard290; +assign main_compute_go = _guard280; assign main_compute_reset = reset; assign main_compute_A0_done = - _guard291 ? internal_mem_A0_done : + _guard281 ? internal_mem_A0_done : 1'd0; -assign main_compute_Sum0_read_data = - _guard292 ? internal_mem_Sum0_read_data : - 32'd0; -assign fsm1_write_en = _guard305; +assign fsm1_write_en = _guard294; assign fsm1_clk = clk; assign fsm1_reset = reset; assign fsm1_in = - _guard310 ? 2'd1 : - _guard311 ? 2'd0 : - _guard316 ? 2'd2 : + _guard299 ? 2'd1 : + _guard300 ? 2'd0 : + _guard305 ? 2'd2 : 2'd0; -assign fsm4_write_en = _guard335; +assign fsm4_write_en = _guard324; assign fsm4_clk = clk; assign fsm4_reset = reset; assign fsm4_in = - _guard340 ? 2'd1 : - _guard341 ? 2'd0 : - _guard346 ? 2'd3 : - _guard351 ? 2'd2 : + _guard329 ? 2'd1 : + _guard330 ? 2'd0 : + _guard335 ? 2'd3 : + _guard340 ? 2'd2 : 2'd0; -assign wrapper_early_reset_static_par_go_in = _guard357; -assign invoke11_go_in = _guard363; +assign wrapper_early_reset_static_par_go_in = _guard346; +assign invoke11_go_in = _guard352; assign invoke20_done_in = write_channel_B0_done; -assign invoke23_go_in = _guard369; -assign par1_go_in = _guard375; +assign invoke23_go_in = _guard358; +assign par1_go_in = _guard364; assign curr_addr_axi_A0_write_en = - _guard378 ? 1'd1 : - _guard379 ? read_channel_A0_curr_addr_axi_write_en : - _guard380 ? ar_channel_A0_curr_addr_axi_write_en : - _guard381 ? aw_channel_A0_curr_addr_axi_write_en : - _guard382 ? write_channel_A0_curr_addr_axi_write_en : + _guard367 ? 1'd1 : + _guard368 ? read_channel_A0_curr_addr_axi_write_en : + _guard369 ? write_channel_A0_curr_addr_axi_write_en : 1'd0; assign curr_addr_axi_A0_clk = clk; assign curr_addr_axi_A0_reset = reset; assign curr_addr_axi_A0_in = - _guard383 ? read_channel_A0_curr_addr_axi_in : - _guard384 ? ar_channel_A0_curr_addr_axi_in : - _guard385 ? aw_channel_A0_curr_addr_axi_in : - _guard388 ? 64'd4096 : - _guard389 ? write_channel_A0_curr_addr_axi_in : + _guard370 ? read_channel_A0_curr_addr_axi_in : + _guard373 ? 64'd4096 : + _guard374 ? write_channel_A0_curr_addr_axi_in : 'x; assign read_channel_A0_curr_addr_internal_mem_out = - _guard390 ? curr_addr_internal_mem_A0_out : + _guard375 ? curr_addr_internal_mem_A0_out : 3'd0; assign read_channel_A0_curr_addr_axi_out = - _guard391 ? curr_addr_axi_A0_out : + _guard376 ? curr_addr_axi_A0_out : 64'd0; assign read_channel_A0_RVALID = - _guard392 ? A0_RVALID : + _guard377 ? A0_RVALID : 1'd0; assign read_channel_A0_RLAST = - _guard393 ? A0_RLAST : + _guard378 ? A0_RLAST : 1'd0; assign read_channel_A0_RDATA = - _guard394 ? A0_RDATA : + _guard379 ? A0_RDATA : 32'd0; assign read_channel_A0_clk = clk; -assign read_channel_A0_mem_ref_read_data = - _guard395 ? internal_mem_A0_read_data : - 32'd0; -assign read_channel_A0_go = _guard396; +assign read_channel_A0_go = _guard380; assign read_channel_A0_reset = reset; assign read_channel_A0_RRESP = - _guard397 ? A0_RRESP : + _guard381 ? A0_RRESP : 2'd0; assign read_channel_A0_mem_ref_done = - _guard398 ? internal_mem_A0_done : + _guard382 ? internal_mem_A0_done : 1'd0; assign read_channel_A0_ARESETn = - _guard399 ? A0_ARESETn : + _guard383 ? A0_ARESETn : 1'd0; assign read_channel_A0_curr_addr_internal_mem_done = - _guard400 ? curr_addr_internal_mem_A0_done : + _guard384 ? curr_addr_internal_mem_A0_done : 1'd0; assign read_channel_A0_curr_addr_axi_done = - _guard401 ? curr_addr_axi_A0_done : + _guard385 ? curr_addr_axi_A0_done : 1'd0; assign internal_mem_A0_write_en = - _guard402 ? main_compute_A0_write_en : - _guard403 ? read_channel_A0_mem_ref_write_en : - _guard404 ? write_channel_A0_mem_ref_write_en : + _guard386 ? main_compute_A0_write_en : + _guard387 ? read_channel_A0_mem_ref_write_en : + _guard388 ? write_channel_A0_mem_ref_write_en : 1'd0; assign internal_mem_A0_clk = clk; assign internal_mem_A0_addr0 = - _guard405 ? main_compute_A0_addr0 : - _guard406 ? read_channel_A0_mem_ref_addr0 : - _guard407 ? write_channel_A0_mem_ref_addr0 : + _guard389 ? main_compute_A0_addr0 : + _guard390 ? read_channel_A0_mem_ref_addr0 : + _guard391 ? write_channel_A0_mem_ref_addr0 : 'x; assign internal_mem_A0_content_en = - _guard408 ? main_compute_A0_content_en : - _guard409 ? read_channel_A0_mem_ref_content_en : - _guard410 ? write_channel_A0_mem_ref_content_en : + _guard392 ? main_compute_A0_content_en : + _guard393 ? read_channel_A0_mem_ref_content_en : + _guard394 ? write_channel_A0_mem_ref_content_en : 1'd0; assign internal_mem_A0_reset = reset; -assign internal_mem_A0_write_data = - _guard411 ? main_compute_A0_write_data : - _guard412 ? read_channel_A0_mem_ref_write_data : - _guard413 ? write_channel_A0_mem_ref_write_data : - 'x; +assign internal_mem_A0_write_data = read_channel_A0_mem_ref_write_data; assign write_channel_B0_WREADY = - _guard414 ? B0_WREADY : + _guard396 ? B0_WREADY : 1'd0; assign write_channel_B0_curr_addr_internal_mem_out = - _guard415 ? curr_addr_internal_mem_B0_out : + _guard397 ? curr_addr_internal_mem_B0_out : 3'd0; assign write_channel_B0_curr_addr_axi_out = - _guard416 ? curr_addr_axi_B0_out : + _guard398 ? curr_addr_axi_B0_out : 64'd0; assign write_channel_B0_max_transfers_out = - _guard417 ? max_transfers_B0_out : + _guard399 ? max_transfers_B0_out : 8'd0; -assign write_channel_B0_max_transfers_done = - _guard418 ? max_transfers_B0_done : - 1'd0; assign write_channel_B0_clk = clk; assign write_channel_B0_mem_ref_read_data = - _guard419 ? internal_mem_B0_read_data : + _guard400 ? internal_mem_B0_read_data : 32'd0; -assign write_channel_B0_go = _guard420; +assign write_channel_B0_go = _guard401; assign write_channel_B0_reset = reset; -assign write_channel_B0_mem_ref_done = - _guard421 ? internal_mem_B0_done : - 1'd0; assign write_channel_B0_ARESETn = - _guard422 ? B0_ARESETn : + _guard402 ? B0_ARESETn : 1'd0; assign write_channel_B0_curr_addr_internal_mem_done = - _guard423 ? curr_addr_internal_mem_B0_done : + _guard403 ? curr_addr_internal_mem_B0_done : 1'd0; assign write_channel_B0_curr_addr_axi_done = - _guard424 ? curr_addr_axi_B0_done : + _guard404 ? curr_addr_axi_B0_done : 1'd0; assign curr_addr_axi_Sum0_write_en = - _guard425 ? read_channel_Sum0_curr_addr_axi_write_en : - _guard428 ? 1'd1 : - _guard429 ? ar_channel_Sum0_curr_addr_axi_write_en : - _guard430 ? aw_channel_Sum0_curr_addr_axi_write_en : - _guard431 ? write_channel_Sum0_curr_addr_axi_write_en : + _guard405 ? read_channel_Sum0_curr_addr_axi_write_en : + _guard408 ? 1'd1 : + _guard409 ? write_channel_Sum0_curr_addr_axi_write_en : 1'd0; assign curr_addr_axi_Sum0_clk = clk; assign curr_addr_axi_Sum0_reset = reset; assign curr_addr_axi_Sum0_in = - _guard432 ? read_channel_Sum0_curr_addr_axi_in : - _guard433 ? ar_channel_Sum0_curr_addr_axi_in : - _guard436 ? 64'd4096 : - _guard437 ? aw_channel_Sum0_curr_addr_axi_in : - _guard438 ? write_channel_Sum0_curr_addr_axi_in : + _guard410 ? read_channel_Sum0_curr_addr_axi_in : + _guard413 ? 64'd4096 : + _guard414 ? write_channel_Sum0_curr_addr_axi_in : 'x; assign ar_channel_Sum0_curr_addr_axi_out = - _guard439 ? curr_addr_axi_Sum0_out : + _guard415 ? curr_addr_axi_Sum0_out : 64'd0; assign ar_channel_Sum0_clk = clk; -assign ar_channel_Sum0_go = _guard440; +assign ar_channel_Sum0_go = _guard416; assign ar_channel_Sum0_reset = reset; assign ar_channel_Sum0_ARREADY = - _guard441 ? Sum0_ARREADY : + _guard417 ? Sum0_ARREADY : 1'd0; assign ar_channel_Sum0_ARESETn = - _guard442 ? Sum0_ARESETn : - 1'd0; -assign ar_channel_Sum0_curr_addr_axi_done = - _guard443 ? curr_addr_axi_Sum0_done : + _guard418 ? Sum0_ARESETn : 1'd0; -assign pd1_write_en = _guard452; +assign pd1_write_en = _guard427; assign pd1_clk = clk; assign pd1_reset = reset; assign pd1_in = - _guard455 ? 1'd1 : - _guard460 ? 1'd0 : + _guard430 ? 1'd1 : + _guard435 ? 1'd0 : 1'd0; assign early_reset_static_par0_done_in = ud0_out; -assign wrapper_early_reset_static_par_done_in = _guard463; -assign tdcc_go_in = _guard469; -assign invoke12_go_in = _guard475; +assign wrapper_early_reset_static_par_done_in = _guard438; +assign tdcc_go_in = _guard444; +assign invoke12_go_in = _guard450; assign invoke16_done_in = aw_channel_A0_done; assign invoke18_done_in = bresp_channel_A0_done; assign invoke23_done_in = write_channel_Sum0_done; -assign tdcc3_go_in = _guard481; -assign tdcc3_done_in = _guard482; +assign tdcc3_go_in = _guard456; +assign tdcc3_done_in = _guard457; assign aw_channel_B0_curr_addr_axi_out = - _guard483 ? curr_addr_axi_B0_out : + _guard458 ? curr_addr_axi_B0_out : 64'd0; -assign aw_channel_B0_max_transfers_out = - _guard484 ? max_transfers_B0_out : - 8'd0; -assign aw_channel_B0_max_transfers_done = - _guard485 ? max_transfers_B0_done : - 1'd0; assign aw_channel_B0_clk = clk; assign aw_channel_B0_AWREADY = - _guard486 ? B0_AWREADY : + _guard459 ? B0_AWREADY : 1'd0; -assign aw_channel_B0_go = _guard487; +assign aw_channel_B0_go = _guard460; assign aw_channel_B0_reset = reset; assign aw_channel_B0_ARESETn = - _guard488 ? B0_ARESETn : - 1'd0; -assign aw_channel_B0_curr_addr_axi_done = - _guard489 ? curr_addr_axi_B0_done : + _guard461 ? B0_ARESETn : 1'd0; -assign fsm0_write_en = _guard502; +assign fsm0_write_en = _guard474; assign fsm0_clk = clk; assign fsm0_reset = reset; assign fsm0_in = - _guard507 ? 2'd1 : - _guard508 ? 2'd0 : - _guard513 ? 2'd2 : + _guard479 ? 2'd1 : + _guard480 ? 2'd0 : + _guard485 ? 2'd2 : 2'd0; -assign fsm2_write_en = _guard526; +assign fsm2_write_en = _guard498; assign fsm2_clk = clk; assign fsm2_reset = reset; assign fsm2_in = - _guard531 ? 2'd1 : - _guard532 ? 2'd0 : - _guard537 ? 2'd2 : + _guard503 ? 2'd1 : + _guard504 ? 2'd0 : + _guard509 ? 2'd2 : 2'd0; -assign invoke8_go_in = _guard543; +assign invoke8_go_in = _guard515; assign invoke10_done_in = ar_channel_Sum0_done; -assign tdcc0_go_in = _guard549; +assign tdcc0_go_in = _guard521; assign ar_channel_A0_curr_addr_axi_out = - _guard550 ? curr_addr_axi_A0_out : + _guard522 ? curr_addr_axi_A0_out : 64'd0; assign ar_channel_A0_clk = clk; -assign ar_channel_A0_go = _guard551; +assign ar_channel_A0_go = _guard523; assign ar_channel_A0_reset = reset; assign ar_channel_A0_ARREADY = - _guard552 ? A0_ARREADY : + _guard524 ? A0_ARREADY : 1'd0; assign ar_channel_A0_ARESETn = - _guard553 ? A0_ARESETn : - 1'd0; -assign ar_channel_A0_curr_addr_axi_done = - _guard554 ? curr_addr_axi_A0_done : + _guard525 ? A0_ARESETn : 1'd0; assign aw_channel_A0_curr_addr_axi_out = - _guard555 ? curr_addr_axi_A0_out : + _guard526 ? curr_addr_axi_A0_out : 64'd0; -assign aw_channel_A0_max_transfers_out = - _guard556 ? max_transfers_A0_out : - 8'd0; -assign aw_channel_A0_max_transfers_done = - _guard557 ? max_transfers_A0_done : - 1'd0; assign aw_channel_A0_clk = clk; assign aw_channel_A0_AWREADY = - _guard558 ? A0_AWREADY : + _guard527 ? A0_AWREADY : 1'd0; -assign aw_channel_A0_go = _guard559; +assign aw_channel_A0_go = _guard528; assign aw_channel_A0_reset = reset; assign aw_channel_A0_ARESETn = - _guard560 ? A0_ARESETn : - 1'd0; -assign aw_channel_A0_curr_addr_axi_done = - _guard561 ? curr_addr_axi_A0_done : + _guard529 ? A0_ARESETn : 1'd0; -assign par0_done_in = _guard566; +assign par0_done_in = _guard534; assign invoke8_done_in = ar_channel_B0_done; assign invoke12_done_in = main_compute_done; -assign invoke17_go_in = _guard572; -assign invoke21_go_in = _guard578; +assign invoke17_go_in = _guard540; +assign invoke21_go_in = _guard546; assign adder0_left = - _guard579 ? fsm_out : + _guard547 ? fsm_out : 1'd0; -assign adder0_right = _guard580; -assign pd2_write_en = _guard589; +assign adder0_right = _guard548; +assign pd2_write_en = _guard557; assign pd2_clk = clk; assign pd2_reset = reset; assign pd2_in = - _guard592 ? 1'd1 : - _guard597 ? 1'd0 : + _guard560 ? 1'd1 : + _guard565 ? 1'd0 : 1'd0; assign early_reset_static_par_done_in = ud_out; assign invoke6_done_in = ar_channel_A0_done; -assign invoke16_go_in = _guard603; +assign invoke16_go_in = _guard571; assign invoke19_done_in = aw_channel_B0_done; assign write_channel_A0_WREADY = - _guard604 ? A0_WREADY : + _guard572 ? A0_WREADY : 1'd0; assign write_channel_A0_curr_addr_internal_mem_out = - _guard605 ? curr_addr_internal_mem_A0_out : + _guard573 ? curr_addr_internal_mem_A0_out : 3'd0; assign write_channel_A0_curr_addr_axi_out = - _guard606 ? curr_addr_axi_A0_out : + _guard574 ? curr_addr_axi_A0_out : 64'd0; assign write_channel_A0_max_transfers_out = - _guard607 ? max_transfers_A0_out : + _guard575 ? max_transfers_A0_out : 8'd0; -assign write_channel_A0_max_transfers_done = - _guard608 ? max_transfers_A0_done : - 1'd0; assign write_channel_A0_clk = clk; assign write_channel_A0_mem_ref_read_data = - _guard609 ? internal_mem_A0_read_data : + _guard576 ? internal_mem_A0_read_data : 32'd0; -assign write_channel_A0_go = _guard610; +assign write_channel_A0_go = _guard577; assign write_channel_A0_reset = reset; -assign write_channel_A0_mem_ref_done = - _guard611 ? internal_mem_A0_done : - 1'd0; assign write_channel_A0_ARESETn = - _guard612 ? A0_ARESETn : + _guard578 ? A0_ARESETn : 1'd0; assign write_channel_A0_curr_addr_internal_mem_done = - _guard613 ? curr_addr_internal_mem_A0_done : + _guard579 ? curr_addr_internal_mem_A0_done : 1'd0; assign write_channel_A0_curr_addr_axi_done = - _guard614 ? curr_addr_axi_A0_done : + _guard580 ? curr_addr_axi_A0_done : 1'd0; assign ar_channel_B0_curr_addr_axi_out = - _guard615 ? curr_addr_axi_B0_out : + _guard581 ? curr_addr_axi_B0_out : 64'd0; assign ar_channel_B0_clk = clk; -assign ar_channel_B0_go = _guard616; +assign ar_channel_B0_go = _guard582; assign ar_channel_B0_reset = reset; assign ar_channel_B0_ARREADY = - _guard617 ? B0_ARREADY : + _guard583 ? B0_ARREADY : 1'd0; assign ar_channel_B0_ARESETn = - _guard618 ? B0_ARESETn : - 1'd0; -assign ar_channel_B0_curr_addr_axi_done = - _guard619 ? curr_addr_axi_B0_done : + _guard584 ? B0_ARESETn : 1'd0; -assign signal_reg_write_en = _guard636; +assign signal_reg_write_en = _guard601; assign signal_reg_clk = clk; assign signal_reg_reset = reset; assign signal_reg_in = - _guard649 ? 1'd1 : - _guard652 ? 1'd0 : + _guard614 ? 1'd1 : + _guard617 ? 1'd0 : 1'd0; assign invoke24_done_in = bresp_channel_Sum0_done; -assign tdcc1_done_in = _guard653; -assign par1_done_in = _guard658; +assign tdcc1_done_in = _guard618; +assign par1_done_in = _guard623; assign bresp_channel_B0_clk = clk; -assign bresp_channel_B0_go = _guard659; +assign bresp_channel_B0_go = _guard624; assign bresp_channel_B0_reset = reset; assign bresp_channel_B0_BVALID = - _guard660 ? B0_BVALID : + _guard625 ? B0_BVALID : 1'd0; assign internal_mem_Sum0_write_en = - _guard661 ? read_channel_Sum0_mem_ref_write_en : - _guard662 ? main_compute_Sum0_write_en : - _guard663 ? write_channel_Sum0_mem_ref_write_en : + _guard626 ? read_channel_Sum0_mem_ref_write_en : + _guard627 ? main_compute_Sum0_write_en : + _guard628 ? write_channel_Sum0_mem_ref_write_en : 1'd0; assign internal_mem_Sum0_clk = clk; assign internal_mem_Sum0_addr0 = - _guard664 ? read_channel_Sum0_mem_ref_addr0 : - _guard665 ? main_compute_Sum0_addr0 : - _guard666 ? write_channel_Sum0_mem_ref_addr0 : + _guard629 ? read_channel_Sum0_mem_ref_addr0 : + _guard630 ? main_compute_Sum0_addr0 : + _guard631 ? write_channel_Sum0_mem_ref_addr0 : 'x; assign internal_mem_Sum0_content_en = - _guard667 ? read_channel_Sum0_mem_ref_content_en : - _guard668 ? main_compute_Sum0_content_en : - _guard669 ? write_channel_Sum0_mem_ref_content_en : + _guard632 ? read_channel_Sum0_mem_ref_content_en : + _guard633 ? main_compute_Sum0_content_en : + _guard634 ? write_channel_Sum0_mem_ref_content_en : 1'd0; assign internal_mem_Sum0_reset = reset; assign internal_mem_Sum0_write_data = - _guard670 ? read_channel_Sum0_mem_ref_write_data : - _guard671 ? main_compute_Sum0_write_data : - _guard672 ? write_channel_Sum0_mem_ref_write_data : + _guard635 ? read_channel_Sum0_mem_ref_write_data : + _guard636 ? main_compute_Sum0_write_data : 'x; -assign pd_write_en = _guard681; +assign pd_write_en = _guard645; assign pd_clk = clk; assign pd_reset = reset; assign pd_in = - _guard684 ? 1'd1 : - _guard689 ? 1'd0 : + _guard648 ? 1'd1 : + _guard653 ? 1'd0 : 1'd0; -assign pd0_write_en = _guard698; +assign pd0_write_en = _guard662; assign pd0_clk = clk; assign pd0_reset = reset; assign pd0_in = - _guard701 ? 1'd1 : - _guard706 ? 1'd0 : + _guard665 ? 1'd1 : + _guard670 ? 1'd0 : 1'd0; -assign pd4_write_en = _guard715; +assign pd4_write_en = _guard679; assign pd4_clk = clk; assign pd4_reset = reset; assign pd4_in = - _guard718 ? 1'd1 : - _guard723 ? 1'd0 : + _guard682 ? 1'd1 : + _guard687 ? 1'd0 : 1'd0; assign invoke22_done_in = aw_channel_Sum0_done; -assign tdcc4_go_in = _guard729; +assign tdcc4_go_in = _guard693; assign bresp_channel_A0_clk = clk; -assign bresp_channel_A0_go = _guard730; +assign bresp_channel_A0_go = _guard694; assign bresp_channel_A0_reset = reset; assign bresp_channel_A0_BVALID = - _guard731 ? A0_BVALID : + _guard695 ? A0_BVALID : 1'd0; -assign wrapper_early_reset_static_par0_go_in = _guard737; -assign wrapper_early_reset_static_par0_done_in = _guard740; -assign tdcc_done_in = _guard741; +assign wrapper_early_reset_static_par0_go_in = _guard701; +assign wrapper_early_reset_static_par0_done_in = _guard704; +assign tdcc_done_in = _guard705; assign invoke17_done_in = write_channel_A0_done; -assign invoke19_go_in = _guard747; -assign invoke20_go_in = _guard753; +assign invoke19_go_in = _guard711; +assign invoke20_go_in = _guard717; assign invoke21_done_in = bresp_channel_B0_done; assign max_transfers_A0_write_en = - _guard754 ? aw_channel_A0_max_transfers_write_en : - _guard755 ? write_channel_A0_max_transfers_write_en : + _guard718 ? aw_channel_A0_max_transfers_write_en : 1'd0; assign max_transfers_A0_clk = clk; assign max_transfers_A0_reset = reset; -assign max_transfers_A0_in = - _guard756 ? aw_channel_A0_max_transfers_in : - _guard757 ? write_channel_A0_max_transfers_in : - 'x; +assign max_transfers_A0_in = aw_channel_A0_max_transfers_in; assign aw_channel_Sum0_curr_addr_axi_out = - _guard758 ? curr_addr_axi_Sum0_out : + _guard720 ? curr_addr_axi_Sum0_out : 64'd0; -assign aw_channel_Sum0_max_transfers_out = - _guard759 ? max_transfers_Sum0_out : - 8'd0; -assign aw_channel_Sum0_max_transfers_done = - _guard760 ? max_transfers_Sum0_done : - 1'd0; assign aw_channel_Sum0_clk = clk; assign aw_channel_Sum0_AWREADY = - _guard761 ? Sum0_AWREADY : + _guard721 ? Sum0_AWREADY : 1'd0; -assign aw_channel_Sum0_go = _guard762; +assign aw_channel_Sum0_go = _guard722; assign aw_channel_Sum0_reset = reset; assign aw_channel_Sum0_ARESETn = - _guard763 ? Sum0_ARESETn : - 1'd0; -assign aw_channel_Sum0_curr_addr_axi_done = - _guard764 ? curr_addr_axi_Sum0_done : + _guard723 ? Sum0_ARESETn : 1'd0; assign write_channel_Sum0_WREADY = - _guard765 ? Sum0_WREADY : + _guard724 ? Sum0_WREADY : 1'd0; assign write_channel_Sum0_curr_addr_internal_mem_out = - _guard766 ? curr_addr_internal_mem_Sum0_out : + _guard725 ? curr_addr_internal_mem_Sum0_out : 3'd0; assign write_channel_Sum0_curr_addr_axi_out = - _guard767 ? curr_addr_axi_Sum0_out : + _guard726 ? curr_addr_axi_Sum0_out : 64'd0; assign write_channel_Sum0_max_transfers_out = - _guard768 ? max_transfers_Sum0_out : + _guard727 ? max_transfers_Sum0_out : 8'd0; -assign write_channel_Sum0_max_transfers_done = - _guard769 ? max_transfers_Sum0_done : - 1'd0; assign write_channel_Sum0_clk = clk; assign write_channel_Sum0_mem_ref_read_data = - _guard770 ? internal_mem_Sum0_read_data : + _guard728 ? internal_mem_Sum0_read_data : 32'd0; -assign write_channel_Sum0_go = _guard771; +assign write_channel_Sum0_go = _guard729; assign write_channel_Sum0_reset = reset; -assign write_channel_Sum0_mem_ref_done = - _guard772 ? internal_mem_Sum0_done : - 1'd0; assign write_channel_Sum0_ARESETn = - _guard773 ? Sum0_ARESETn : + _guard730 ? Sum0_ARESETn : 1'd0; assign write_channel_Sum0_curr_addr_internal_mem_done = - _guard774 ? curr_addr_internal_mem_Sum0_done : + _guard731 ? curr_addr_internal_mem_Sum0_done : 1'd0; assign write_channel_Sum0_curr_addr_axi_done = - _guard775 ? curr_addr_axi_Sum0_done : + _guard732 ? curr_addr_axi_Sum0_done : 1'd0; -assign pd3_write_en = _guard784; +assign pd3_write_en = _guard741; assign pd3_clk = clk; assign pd3_reset = reset; assign pd3_in = - _guard787 ? 1'd1 : - _guard792 ? 1'd0 : + _guard744 ? 1'd1 : + _guard749 ? 1'd0 : 1'd0; -assign early_reset_static_par_go_in = _guard793; -assign tdcc4_done_in = _guard794; +assign early_reset_static_par_go_in = _guard750; +assign tdcc4_done_in = _guard751; assign max_transfers_B0_write_en = - _guard795 ? write_channel_B0_max_transfers_write_en : - _guard796 ? aw_channel_B0_max_transfers_write_en : + _guard752 ? aw_channel_B0_max_transfers_write_en : 1'd0; assign max_transfers_B0_clk = clk; assign max_transfers_B0_reset = reset; -assign max_transfers_B0_in = - _guard797 ? write_channel_B0_max_transfers_in : - _guard798 ? aw_channel_B0_max_transfers_in : - 'x; +assign max_transfers_B0_in = aw_channel_B0_max_transfers_in; assign curr_addr_internal_mem_Sum0_write_en = - _guard799 ? read_channel_Sum0_curr_addr_internal_mem_write_en : - _guard800 ? 1'd1 : - _guard801 ? write_channel_Sum0_curr_addr_internal_mem_write_en : + _guard754 ? read_channel_Sum0_curr_addr_internal_mem_write_en : + _guard755 ? 1'd1 : + _guard756 ? write_channel_Sum0_curr_addr_internal_mem_write_en : 1'd0; assign curr_addr_internal_mem_Sum0_clk = clk; assign curr_addr_internal_mem_Sum0_reset = reset; assign curr_addr_internal_mem_Sum0_in = - _guard802 ? read_channel_Sum0_curr_addr_internal_mem_in : - _guard803 ? write_channel_Sum0_curr_addr_internal_mem_in : - _guard804 ? 3'd0 : + _guard757 ? read_channel_Sum0_curr_addr_internal_mem_in : + _guard758 ? write_channel_Sum0_curr_addr_internal_mem_in : + _guard759 ? 3'd0 : 'x; -assign invoke6_go_in = _guard810; -assign invoke24_go_in = _guard816; -assign tdcc1_go_in = _guard822; -assign par0_go_in = _guard828; -assign invoke7_go_in = _guard834; -assign invoke10_go_in = _guard840; -assign invoke22_go_in = _guard846; +assign invoke6_go_in = _guard765; +assign invoke24_go_in = _guard771; +assign tdcc1_go_in = _guard777; +assign par0_go_in = _guard783; +assign invoke7_go_in = _guard789; +assign invoke10_go_in = _guard795; +assign invoke22_go_in = _guard801; assign tdcc5_go_in = go; -assign tdcc5_done_in = _guard847; +assign tdcc5_done_in = _guard802; // COMPONENT END: wrapper endmodule module main( diff --git a/yxi/tests/axi/read-compute-write/seq-mem-vec-add-verilog.v b/yxi/tests/axi/read-compute-write/seq-mem-vec-add-verilog.v index 2577b638fb..8baa0b908c 100644 --- a/yxi/tests/axi/read-compute-write/seq-mem-vec-add-verilog.v +++ b/yxi/tests/axi/read-compute-write/seq-mem-vec-add-verilog.v @@ -1140,16 +1140,6 @@ module std_le #( assign out = left <= right; endmodule -module std_lsh #( - parameter WIDTH = 32 -) ( - input wire logic [WIDTH-1:0] left, - input wire logic [WIDTH-1:0] right, - output logic [WIDTH-1:0] out -); - assign out = left << right; -endmodule - module std_rsh #( parameter WIDTH = 32 ) ( @@ -1234,6 +1224,16 @@ module std_add #( assign out = left + right; endmodule +module std_lsh #( + parameter WIDTH = 32 +) ( + input wire logic [WIDTH-1:0] left, + input wire logic [WIDTH-1:0] right, + output logic [WIDTH-1:0] out +); +assign out = left << right; +endmodule + module std_reg #( parameter WIDTH = 32 ) ( @@ -1255,6 +1255,27 @@ always_ff @(posedge clk) begin end endmodule +module init_one_reg #( + parameter WIDTH = 32 +) ( + input wire logic [WIDTH-1:0] in, + input wire logic write_en, + input wire logic clk, + input wire logic reset, + output logic [WIDTH-1:0] out, + output logic done +); +always_ff @(posedge clk) begin + if (reset) begin + out <= 1; + done <= 0; + end else if (write_en) begin + out <= in; + done <= 1'd1; + end else done <= 1'd0; + end +endmodule + module m_ar_channel( input logic ARESETn, input logic ARREADY, @@ -1323,22 +1344,22 @@ logic fsm_clk; logic fsm_reset; logic fsm_out; logic fsm_done; -logic ud_out; logic adder_left; logic adder_right; logic adder_out; -logic ud0_out; logic adder0_left; logic adder0_right; logic adder0_out; -logic ud1_out; logic adder1_left; logic adder1_right; logic adder1_out; -logic ud2_out; logic adder2_left; logic adder2_right; logic adder2_out; +logic ud_out; +logic ud0_out; +logic ud1_out; +logic ud2_out; logic signal_reg_in; logic signal_reg_write_en; logic signal_reg_clk; @@ -1481,11 +1502,6 @@ std_reg # ( .reset(fsm_reset), .write_en(fsm_write_en) ); -undef # ( - .WIDTH(1) -) ud ( - .out(ud_out) -); std_add # ( .WIDTH(1) ) adder ( @@ -1493,11 +1509,6 @@ std_add # ( .out(adder_out), .right(adder_right) ); -undef # ( - .WIDTH(1) -) ud0 ( - .out(ud0_out) -); std_add # ( .WIDTH(1) ) adder0 ( @@ -1505,11 +1516,6 @@ std_add # ( .out(adder0_out), .right(adder0_right) ); -undef # ( - .WIDTH(1) -) ud1 ( - .out(ud1_out) -); std_add # ( .WIDTH(1) ) adder1 ( @@ -1517,11 +1523,6 @@ std_add # ( .out(adder1_out), .right(adder1_right) ); -undef # ( - .WIDTH(1) -) ud2 ( - .out(ud2_out) -); std_add # ( .WIDTH(1) ) adder2 ( @@ -1529,6 +1530,26 @@ std_add # ( .out(adder2_out), .right(adder2_right) ); +undef # ( + .WIDTH(1) +) ud ( + .out(ud_out) +); +undef # ( + .WIDTH(1) +) ud0 ( + .out(ud0_out) +); +undef # ( + .WIDTH(1) +) ud1 ( + .out(ud1_out) +); +undef # ( + .WIDTH(1) +) ud2 ( + .out(ud2_out) +); std_reg # ( .WIDTH(1) ) signal_reg ( @@ -1691,322 +1712,326 @@ wire _guard18 = early_reset_static_par0_go_out; wire _guard19 = _guard17 | _guard18; wire _guard20 = early_reset_static_par1_go_out; wire _guard21 = _guard19 | _guard20; -wire _guard22 = fsm_out != 1'd0; -wire _guard23 = early_reset_static_par0_go_out; -wire _guard24 = _guard22 & _guard23; -wire _guard25 = fsm_out != 1'd0; -wire _guard26 = early_reset_perform_reads_group0_go_out; -wire _guard27 = _guard25 & _guard26; -wire _guard28 = fsm_out != 1'd0; -wire _guard29 = early_reset_static_par1_go_out; -wire _guard30 = _guard28 & _guard29; -wire _guard31 = fsm_out == 1'd0; -wire _guard32 = early_reset_perform_reads_group0_go_out; +wire _guard22 = fsm_out == 1'd0; +wire _guard23 = ~_guard22; +wire _guard24 = early_reset_static_par0_go_out; +wire _guard25 = _guard23 & _guard24; +wire _guard26 = fsm_out == 1'd0; +wire _guard27 = ~_guard26; +wire _guard28 = early_reset_perform_reads_group0_go_out; +wire _guard29 = _guard27 & _guard28; +wire _guard30 = fsm_out == 1'd0; +wire _guard31 = ~_guard30; +wire _guard32 = early_reset_static_par1_go_out; wire _guard33 = _guard31 & _guard32; wire _guard34 = fsm_out == 1'd0; -wire _guard35 = early_reset_static_par_go_out; +wire _guard35 = early_reset_perform_reads_group0_go_out; wire _guard36 = _guard34 & _guard35; -wire _guard37 = _guard33 | _guard36; -wire _guard38 = fsm_out == 1'd0; -wire _guard39 = early_reset_static_par0_go_out; -wire _guard40 = _guard38 & _guard39; -wire _guard41 = _guard37 | _guard40; -wire _guard42 = fsm_out == 1'd0; -wire _guard43 = early_reset_static_par1_go_out; -wire _guard44 = _guard42 & _guard43; -wire _guard45 = _guard41 | _guard44; -wire _guard46 = fsm_out != 1'd0; -wire _guard47 = early_reset_static_par_go_out; -wire _guard48 = _guard46 & _guard47; -wire _guard49 = early_reset_perform_reads_group0_go_out; -wire _guard50 = early_reset_perform_reads_group0_go_out; -wire _guard51 = wrapper_early_reset_static_par0_go_out; -wire _guard52 = fsm_out == 1'd0; -wire _guard53 = signal_reg_out; -wire _guard54 = _guard52 & _guard53; -wire _guard55 = ar_handshake_occurred_out; -wire _guard56 = ~_guard55; -wire _guard57 = do_ar_transfer_go_out; +wire _guard37 = fsm_out == 1'd0; +wire _guard38 = early_reset_static_par_go_out; +wire _guard39 = _guard37 & _guard38; +wire _guard40 = _guard36 | _guard39; +wire _guard41 = fsm_out == 1'd0; +wire _guard42 = early_reset_static_par0_go_out; +wire _guard43 = _guard41 & _guard42; +wire _guard44 = _guard40 | _guard43; +wire _guard45 = fsm_out == 1'd0; +wire _guard46 = early_reset_static_par1_go_out; +wire _guard47 = _guard45 & _guard46; +wire _guard48 = _guard44 | _guard47; +wire _guard49 = fsm_out == 1'd0; +wire _guard50 = ~_guard49; +wire _guard51 = early_reset_static_par_go_out; +wire _guard52 = _guard50 & _guard51; +wire _guard53 = early_reset_perform_reads_group0_go_out; +wire _guard54 = early_reset_perform_reads_group0_go_out; +wire _guard55 = wrapper_early_reset_static_par0_go_out; +wire _guard56 = fsm_out == 1'd0; +wire _guard57 = signal_reg_out; wire _guard58 = _guard56 & _guard57; -wire _guard59 = early_reset_static_par0_go_out; -wire _guard60 = _guard58 | _guard59; -wire _guard61 = arvalid_out; -wire _guard62 = ARREADY; -wire _guard63 = _guard61 & _guard62; -wire _guard64 = do_ar_transfer_go_out; -wire _guard65 = _guard63 & _guard64; -wire _guard66 = early_reset_static_par0_go_out; -wire _guard67 = early_reset_perform_reads_group0_go_out; -wire _guard68 = early_reset_perform_reads_group0_go_out; -wire _guard69 = early_reset_perform_reads_group0_go_out; -wire _guard70 = early_reset_perform_reads_group0_go_out; -wire _guard71 = early_reset_static_par_go_out; -wire _guard72 = early_reset_static_par_go_out; -wire _guard73 = wrapper_early_reset_static_par1_go_out; -wire _guard74 = wrapper_early_reset_static_par_done_out; -wire _guard75 = ~_guard74; -wire _guard76 = fsm0_out == 3'd0; -wire _guard77 = _guard75 & _guard76; -wire _guard78 = tdcc_go_out; -wire _guard79 = _guard77 & _guard78; -wire _guard80 = fsm_out == 1'd0; -wire _guard81 = signal_reg_out; -wire _guard82 = _guard80 & _guard81; -wire _guard83 = early_reset_static_par_go_out; -wire _guard84 = early_reset_static_par1_go_out; -wire _guard85 = _guard83 | _guard84; -wire _guard86 = early_reset_static_par_go_out; -wire _guard87 = early_reset_static_par1_go_out; -wire _guard88 = fsm_out == 1'd0; -wire _guard89 = signal_reg_out; -wire _guard90 = _guard88 & _guard89; +wire _guard59 = ar_handshake_occurred_out; +wire _guard60 = ~_guard59; +wire _guard61 = do_ar_transfer_go_out; +wire _guard62 = _guard60 & _guard61; +wire _guard63 = early_reset_static_par0_go_out; +wire _guard64 = _guard62 | _guard63; +wire _guard65 = arvalid_out; +wire _guard66 = ARREADY; +wire _guard67 = _guard65 & _guard66; +wire _guard68 = do_ar_transfer_go_out; +wire _guard69 = _guard67 & _guard68; +wire _guard70 = early_reset_static_par0_go_out; +wire _guard71 = early_reset_perform_reads_group0_go_out; +wire _guard72 = early_reset_perform_reads_group0_go_out; +wire _guard73 = early_reset_perform_reads_group0_go_out; +wire _guard74 = early_reset_perform_reads_group0_go_out; +wire _guard75 = early_reset_static_par_go_out; +wire _guard76 = early_reset_static_par_go_out; +wire _guard77 = wrapper_early_reset_static_par1_go_out; +wire _guard78 = wrapper_early_reset_static_par_done_out; +wire _guard79 = ~_guard78; +wire _guard80 = fsm0_out == 3'd0; +wire _guard81 = _guard79 & _guard80; +wire _guard82 = tdcc_go_out; +wire _guard83 = _guard81 & _guard82; +wire _guard84 = fsm_out == 1'd0; +wire _guard85 = signal_reg_out; +wire _guard86 = _guard84 & _guard85; +wire _guard87 = early_reset_static_par_go_out; +wire _guard88 = early_reset_static_par1_go_out; +wire _guard89 = _guard87 | _guard88; +wire _guard90 = early_reset_static_par_go_out; wire _guard91 = early_reset_static_par1_go_out; -wire _guard92 = early_reset_static_par1_go_out; -wire _guard93 = fsm0_out == 3'd6; -wire _guard94 = fsm0_out == 3'd0; -wire _guard95 = wrapper_early_reset_static_par_done_out; -wire _guard96 = _guard94 & _guard95; -wire _guard97 = tdcc_go_out; -wire _guard98 = _guard96 & _guard97; -wire _guard99 = _guard93 | _guard98; -wire _guard100 = fsm0_out == 3'd1; -wire _guard101 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard102 = comb_reg_out; -wire _guard103 = _guard101 & _guard102; -wire _guard104 = _guard100 & _guard103; -wire _guard105 = tdcc_go_out; -wire _guard106 = _guard104 & _guard105; -wire _guard107 = _guard99 | _guard106; -wire _guard108 = fsm0_out == 3'd5; -wire _guard109 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard110 = comb_reg_out; -wire _guard111 = _guard109 & _guard110; -wire _guard112 = _guard108 & _guard111; -wire _guard113 = tdcc_go_out; -wire _guard114 = _guard112 & _guard113; -wire _guard115 = _guard107 | _guard114; -wire _guard116 = fsm0_out == 3'd2; -wire _guard117 = wrapper_early_reset_static_par0_done_out; +wire _guard92 = fsm_out == 1'd0; +wire _guard93 = signal_reg_out; +wire _guard94 = _guard92 & _guard93; +wire _guard95 = early_reset_static_par1_go_out; +wire _guard96 = early_reset_static_par1_go_out; +wire _guard97 = fsm0_out == 3'd6; +wire _guard98 = fsm0_out == 3'd0; +wire _guard99 = wrapper_early_reset_static_par_done_out; +wire _guard100 = _guard98 & _guard99; +wire _guard101 = tdcc_go_out; +wire _guard102 = _guard100 & _guard101; +wire _guard103 = _guard97 | _guard102; +wire _guard104 = fsm0_out == 3'd1; +wire _guard105 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard106 = comb_reg_out; +wire _guard107 = _guard105 & _guard106; +wire _guard108 = _guard104 & _guard107; +wire _guard109 = tdcc_go_out; +wire _guard110 = _guard108 & _guard109; +wire _guard111 = _guard103 | _guard110; +wire _guard112 = fsm0_out == 3'd5; +wire _guard113 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard114 = comb_reg_out; +wire _guard115 = _guard113 & _guard114; +wire _guard116 = _guard112 & _guard115; +wire _guard117 = tdcc_go_out; wire _guard118 = _guard116 & _guard117; -wire _guard119 = tdcc_go_out; -wire _guard120 = _guard118 & _guard119; -wire _guard121 = _guard115 | _guard120; -wire _guard122 = fsm0_out == 3'd3; -wire _guard123 = do_ar_transfer_done_out; +wire _guard119 = _guard111 | _guard118; +wire _guard120 = fsm0_out == 3'd2; +wire _guard121 = wrapper_early_reset_static_par0_done_out; +wire _guard122 = _guard120 & _guard121; +wire _guard123 = tdcc_go_out; wire _guard124 = _guard122 & _guard123; -wire _guard125 = tdcc_go_out; -wire _guard126 = _guard124 & _guard125; -wire _guard127 = _guard121 | _guard126; -wire _guard128 = fsm0_out == 3'd4; -wire _guard129 = wrapper_early_reset_static_par1_done_out; +wire _guard125 = _guard119 | _guard124; +wire _guard126 = fsm0_out == 3'd3; +wire _guard127 = do_ar_transfer_done_out; +wire _guard128 = _guard126 & _guard127; +wire _guard129 = tdcc_go_out; wire _guard130 = _guard128 & _guard129; -wire _guard131 = tdcc_go_out; -wire _guard132 = _guard130 & _guard131; -wire _guard133 = _guard127 | _guard132; -wire _guard134 = fsm0_out == 3'd1; -wire _guard135 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard136 = comb_reg_out; -wire _guard137 = ~_guard136; -wire _guard138 = _guard135 & _guard137; -wire _guard139 = _guard134 & _guard138; -wire _guard140 = tdcc_go_out; -wire _guard141 = _guard139 & _guard140; -wire _guard142 = _guard133 | _guard141; -wire _guard143 = fsm0_out == 3'd5; -wire _guard144 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard145 = comb_reg_out; -wire _guard146 = ~_guard145; -wire _guard147 = _guard144 & _guard146; -wire _guard148 = _guard143 & _guard147; -wire _guard149 = tdcc_go_out; -wire _guard150 = _guard148 & _guard149; -wire _guard151 = _guard142 | _guard150; -wire _guard152 = fsm0_out == 3'd1; -wire _guard153 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard154 = comb_reg_out; -wire _guard155 = ~_guard154; -wire _guard156 = _guard153 & _guard155; -wire _guard157 = _guard152 & _guard156; -wire _guard158 = tdcc_go_out; -wire _guard159 = _guard157 & _guard158; -wire _guard160 = fsm0_out == 3'd5; -wire _guard161 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard162 = comb_reg_out; -wire _guard163 = ~_guard162; -wire _guard164 = _guard161 & _guard163; -wire _guard165 = _guard160 & _guard164; -wire _guard166 = tdcc_go_out; -wire _guard167 = _guard165 & _guard166; -wire _guard168 = _guard159 | _guard167; -wire _guard169 = fsm0_out == 3'd4; -wire _guard170 = wrapper_early_reset_static_par1_done_out; +wire _guard131 = _guard125 | _guard130; +wire _guard132 = fsm0_out == 3'd4; +wire _guard133 = wrapper_early_reset_static_par1_done_out; +wire _guard134 = _guard132 & _guard133; +wire _guard135 = tdcc_go_out; +wire _guard136 = _guard134 & _guard135; +wire _guard137 = _guard131 | _guard136; +wire _guard138 = fsm0_out == 3'd1; +wire _guard139 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard140 = comb_reg_out; +wire _guard141 = ~_guard140; +wire _guard142 = _guard139 & _guard141; +wire _guard143 = _guard138 & _guard142; +wire _guard144 = tdcc_go_out; +wire _guard145 = _guard143 & _guard144; +wire _guard146 = _guard137 | _guard145; +wire _guard147 = fsm0_out == 3'd5; +wire _guard148 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard149 = comb_reg_out; +wire _guard150 = ~_guard149; +wire _guard151 = _guard148 & _guard150; +wire _guard152 = _guard147 & _guard151; +wire _guard153 = tdcc_go_out; +wire _guard154 = _guard152 & _guard153; +wire _guard155 = _guard146 | _guard154; +wire _guard156 = fsm0_out == 3'd1; +wire _guard157 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard158 = comb_reg_out; +wire _guard159 = ~_guard158; +wire _guard160 = _guard157 & _guard159; +wire _guard161 = _guard156 & _guard160; +wire _guard162 = tdcc_go_out; +wire _guard163 = _guard161 & _guard162; +wire _guard164 = fsm0_out == 3'd5; +wire _guard165 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard166 = comb_reg_out; +wire _guard167 = ~_guard166; +wire _guard168 = _guard165 & _guard167; +wire _guard169 = _guard164 & _guard168; +wire _guard170 = tdcc_go_out; wire _guard171 = _guard169 & _guard170; -wire _guard172 = tdcc_go_out; -wire _guard173 = _guard171 & _guard172; -wire _guard174 = fsm0_out == 3'd1; -wire _guard175 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard176 = comb_reg_out; +wire _guard172 = _guard163 | _guard171; +wire _guard173 = fsm0_out == 3'd4; +wire _guard174 = wrapper_early_reset_static_par1_done_out; +wire _guard175 = _guard173 & _guard174; +wire _guard176 = tdcc_go_out; wire _guard177 = _guard175 & _guard176; -wire _guard178 = _guard174 & _guard177; -wire _guard179 = tdcc_go_out; -wire _guard180 = _guard178 & _guard179; -wire _guard181 = fsm0_out == 3'd5; -wire _guard182 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard183 = comb_reg_out; +wire _guard178 = fsm0_out == 3'd1; +wire _guard179 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard180 = comb_reg_out; +wire _guard181 = _guard179 & _guard180; +wire _guard182 = _guard178 & _guard181; +wire _guard183 = tdcc_go_out; wire _guard184 = _guard182 & _guard183; -wire _guard185 = _guard181 & _guard184; -wire _guard186 = tdcc_go_out; -wire _guard187 = _guard185 & _guard186; -wire _guard188 = _guard180 | _guard187; -wire _guard189 = fsm0_out == 3'd3; -wire _guard190 = do_ar_transfer_done_out; +wire _guard185 = fsm0_out == 3'd5; +wire _guard186 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard187 = comb_reg_out; +wire _guard188 = _guard186 & _guard187; +wire _guard189 = _guard185 & _guard188; +wire _guard190 = tdcc_go_out; wire _guard191 = _guard189 & _guard190; -wire _guard192 = tdcc_go_out; -wire _guard193 = _guard191 & _guard192; -wire _guard194 = fsm0_out == 3'd0; -wire _guard195 = wrapper_early_reset_static_par_done_out; -wire _guard196 = _guard194 & _guard195; -wire _guard197 = tdcc_go_out; -wire _guard198 = _guard196 & _guard197; -wire _guard199 = fsm0_out == 3'd6; -wire _guard200 = fsm0_out == 3'd2; -wire _guard201 = wrapper_early_reset_static_par0_done_out; +wire _guard192 = _guard184 | _guard191; +wire _guard193 = fsm0_out == 3'd3; +wire _guard194 = do_ar_transfer_done_out; +wire _guard195 = _guard193 & _guard194; +wire _guard196 = tdcc_go_out; +wire _guard197 = _guard195 & _guard196; +wire _guard198 = fsm0_out == 3'd0; +wire _guard199 = wrapper_early_reset_static_par_done_out; +wire _guard200 = _guard198 & _guard199; +wire _guard201 = tdcc_go_out; wire _guard202 = _guard200 & _guard201; -wire _guard203 = tdcc_go_out; -wire _guard204 = _guard202 & _guard203; -wire _guard205 = early_reset_static_par_go_out; -wire _guard206 = early_reset_static_par_go_out; -wire _guard207 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard208 = ~_guard207; -wire _guard209 = fsm0_out == 3'd1; -wire _guard210 = _guard208 & _guard209; -wire _guard211 = tdcc_go_out; -wire _guard212 = _guard210 & _guard211; -wire _guard213 = wrapper_early_reset_perform_reads_group0_done_out; -wire _guard214 = ~_guard213; -wire _guard215 = fsm0_out == 3'd5; +wire _guard203 = fsm0_out == 3'd6; +wire _guard204 = fsm0_out == 3'd2; +wire _guard205 = wrapper_early_reset_static_par0_done_out; +wire _guard206 = _guard204 & _guard205; +wire _guard207 = tdcc_go_out; +wire _guard208 = _guard206 & _guard207; +wire _guard209 = early_reset_static_par_go_out; +wire _guard210 = early_reset_static_par_go_out; +wire _guard211 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard212 = ~_guard211; +wire _guard213 = fsm0_out == 3'd1; +wire _guard214 = _guard212 & _guard213; +wire _guard215 = tdcc_go_out; wire _guard216 = _guard214 & _guard215; -wire _guard217 = tdcc_go_out; -wire _guard218 = _guard216 & _guard217; -wire _guard219 = _guard212 | _guard218; -wire _guard220 = do_ar_transfer_go_out; -wire _guard221 = early_reset_static_par0_go_out; -wire _guard222 = _guard220 | _guard221; -wire _guard223 = ARREADY; -wire _guard224 = arvalid_out; -wire _guard225 = _guard223 & _guard224; -wire _guard226 = do_ar_transfer_go_out; -wire _guard227 = _guard225 & _guard226; -wire _guard228 = ARREADY; -wire _guard229 = arvalid_out; -wire _guard230 = _guard228 & _guard229; -wire _guard231 = ~_guard230; -wire _guard232 = do_ar_transfer_go_out; -wire _guard233 = _guard231 & _guard232; -wire _guard234 = early_reset_static_par0_go_out; -wire _guard235 = _guard233 | _guard234; -wire _guard236 = fsm_out == 1'd0; -wire _guard237 = signal_reg_out; -wire _guard238 = _guard236 & _guard237; -wire _guard239 = fsm_out == 1'd0; -wire _guard240 = signal_reg_out; -wire _guard241 = ~_guard240; -wire _guard242 = _guard239 & _guard241; -wire _guard243 = wrapper_early_reset_static_par_go_out; -wire _guard244 = _guard242 & _guard243; -wire _guard245 = _guard238 | _guard244; -wire _guard246 = fsm_out == 1'd0; -wire _guard247 = signal_reg_out; -wire _guard248 = ~_guard247; -wire _guard249 = _guard246 & _guard248; -wire _guard250 = wrapper_early_reset_perform_reads_group0_go_out; -wire _guard251 = _guard249 & _guard250; -wire _guard252 = _guard245 | _guard251; -wire _guard253 = fsm_out == 1'd0; -wire _guard254 = signal_reg_out; -wire _guard255 = ~_guard254; -wire _guard256 = _guard253 & _guard255; -wire _guard257 = wrapper_early_reset_static_par0_go_out; -wire _guard258 = _guard256 & _guard257; -wire _guard259 = _guard252 | _guard258; -wire _guard260 = fsm_out == 1'd0; -wire _guard261 = signal_reg_out; -wire _guard262 = ~_guard261; -wire _guard263 = _guard260 & _guard262; -wire _guard264 = wrapper_early_reset_static_par1_go_out; -wire _guard265 = _guard263 & _guard264; -wire _guard266 = _guard259 | _guard265; -wire _guard267 = fsm_out == 1'd0; -wire _guard268 = signal_reg_out; -wire _guard269 = ~_guard268; -wire _guard270 = _guard267 & _guard269; -wire _guard271 = wrapper_early_reset_static_par_go_out; -wire _guard272 = _guard270 & _guard271; -wire _guard273 = fsm_out == 1'd0; -wire _guard274 = signal_reg_out; -wire _guard275 = ~_guard274; -wire _guard276 = _guard273 & _guard275; -wire _guard277 = wrapper_early_reset_perform_reads_group0_go_out; -wire _guard278 = _guard276 & _guard277; -wire _guard279 = _guard272 | _guard278; -wire _guard280 = fsm_out == 1'd0; -wire _guard281 = signal_reg_out; -wire _guard282 = ~_guard281; -wire _guard283 = _guard280 & _guard282; -wire _guard284 = wrapper_early_reset_static_par0_go_out; -wire _guard285 = _guard283 & _guard284; -wire _guard286 = _guard279 | _guard285; -wire _guard287 = fsm_out == 1'd0; -wire _guard288 = signal_reg_out; -wire _guard289 = ~_guard288; -wire _guard290 = _guard287 & _guard289; -wire _guard291 = wrapper_early_reset_static_par1_go_out; -wire _guard292 = _guard290 & _guard291; -wire _guard293 = _guard286 | _guard292; -wire _guard294 = fsm_out == 1'd0; -wire _guard295 = signal_reg_out; +wire _guard217 = wrapper_early_reset_perform_reads_group0_done_out; +wire _guard218 = ~_guard217; +wire _guard219 = fsm0_out == 3'd5; +wire _guard220 = _guard218 & _guard219; +wire _guard221 = tdcc_go_out; +wire _guard222 = _guard220 & _guard221; +wire _guard223 = _guard216 | _guard222; +wire _guard224 = do_ar_transfer_go_out; +wire _guard225 = early_reset_static_par0_go_out; +wire _guard226 = _guard224 | _guard225; +wire _guard227 = ARREADY; +wire _guard228 = arvalid_out; +wire _guard229 = _guard227 & _guard228; +wire _guard230 = do_ar_transfer_go_out; +wire _guard231 = _guard229 & _guard230; +wire _guard232 = ARREADY; +wire _guard233 = arvalid_out; +wire _guard234 = _guard232 & _guard233; +wire _guard235 = ~_guard234; +wire _guard236 = do_ar_transfer_go_out; +wire _guard237 = _guard235 & _guard236; +wire _guard238 = early_reset_static_par0_go_out; +wire _guard239 = _guard237 | _guard238; +wire _guard240 = fsm_out == 1'd0; +wire _guard241 = signal_reg_out; +wire _guard242 = _guard240 & _guard241; +wire _guard243 = fsm_out == 1'd0; +wire _guard244 = signal_reg_out; +wire _guard245 = ~_guard244; +wire _guard246 = _guard243 & _guard245; +wire _guard247 = wrapper_early_reset_static_par_go_out; +wire _guard248 = _guard246 & _guard247; +wire _guard249 = _guard242 | _guard248; +wire _guard250 = fsm_out == 1'd0; +wire _guard251 = signal_reg_out; +wire _guard252 = ~_guard251; +wire _guard253 = _guard250 & _guard252; +wire _guard254 = wrapper_early_reset_perform_reads_group0_go_out; +wire _guard255 = _guard253 & _guard254; +wire _guard256 = _guard249 | _guard255; +wire _guard257 = fsm_out == 1'd0; +wire _guard258 = signal_reg_out; +wire _guard259 = ~_guard258; +wire _guard260 = _guard257 & _guard259; +wire _guard261 = wrapper_early_reset_static_par0_go_out; +wire _guard262 = _guard260 & _guard261; +wire _guard263 = _guard256 | _guard262; +wire _guard264 = fsm_out == 1'd0; +wire _guard265 = signal_reg_out; +wire _guard266 = ~_guard265; +wire _guard267 = _guard264 & _guard266; +wire _guard268 = wrapper_early_reset_static_par1_go_out; +wire _guard269 = _guard267 & _guard268; +wire _guard270 = _guard263 | _guard269; +wire _guard271 = fsm_out == 1'd0; +wire _guard272 = signal_reg_out; +wire _guard273 = ~_guard272; +wire _guard274 = _guard271 & _guard273; +wire _guard275 = wrapper_early_reset_static_par_go_out; +wire _guard276 = _guard274 & _guard275; +wire _guard277 = fsm_out == 1'd0; +wire _guard278 = signal_reg_out; +wire _guard279 = ~_guard278; +wire _guard280 = _guard277 & _guard279; +wire _guard281 = wrapper_early_reset_perform_reads_group0_go_out; +wire _guard282 = _guard280 & _guard281; +wire _guard283 = _guard276 | _guard282; +wire _guard284 = fsm_out == 1'd0; +wire _guard285 = signal_reg_out; +wire _guard286 = ~_guard285; +wire _guard287 = _guard284 & _guard286; +wire _guard288 = wrapper_early_reset_static_par0_go_out; +wire _guard289 = _guard287 & _guard288; +wire _guard290 = _guard283 | _guard289; +wire _guard291 = fsm_out == 1'd0; +wire _guard292 = signal_reg_out; +wire _guard293 = ~_guard292; +wire _guard294 = _guard291 & _guard293; +wire _guard295 = wrapper_early_reset_static_par1_go_out; wire _guard296 = _guard294 & _guard295; -wire _guard297 = wrapper_early_reset_perform_reads_group0_go_out; -wire _guard298 = do_ar_transfer_go_out; -wire _guard299 = early_reset_static_par1_go_out; -wire _guard300 = _guard298 | _guard299; -wire _guard301 = arvalid_out; -wire _guard302 = ARREADY; -wire _guard303 = _guard301 & _guard302; -wire _guard304 = ~_guard303; -wire _guard305 = ar_handshake_occurred_out; -wire _guard306 = ~_guard305; -wire _guard307 = _guard304 & _guard306; -wire _guard308 = do_ar_transfer_go_out; -wire _guard309 = _guard307 & _guard308; -wire _guard310 = arvalid_out; -wire _guard311 = ARREADY; -wire _guard312 = _guard310 & _guard311; -wire _guard313 = ar_handshake_occurred_out; -wire _guard314 = _guard312 | _guard313; -wire _guard315 = do_ar_transfer_go_out; +wire _guard297 = _guard290 | _guard296; +wire _guard298 = fsm_out == 1'd0; +wire _guard299 = signal_reg_out; +wire _guard300 = _guard298 & _guard299; +wire _guard301 = wrapper_early_reset_perform_reads_group0_go_out; +wire _guard302 = do_ar_transfer_go_out; +wire _guard303 = early_reset_static_par1_go_out; +wire _guard304 = _guard302 | _guard303; +wire _guard305 = arvalid_out; +wire _guard306 = ARREADY; +wire _guard307 = _guard305 & _guard306; +wire _guard308 = ~_guard307; +wire _guard309 = ar_handshake_occurred_out; +wire _guard310 = ~_guard309; +wire _guard311 = _guard308 & _guard310; +wire _guard312 = do_ar_transfer_go_out; +wire _guard313 = _guard311 & _guard312; +wire _guard314 = arvalid_out; +wire _guard315 = ARREADY; wire _guard316 = _guard314 & _guard315; -wire _guard317 = early_reset_static_par1_go_out; +wire _guard317 = ar_handshake_occurred_out; wire _guard318 = _guard316 | _guard317; -wire _guard319 = early_reset_static_par1_go_out; -wire _guard320 = early_reset_static_par1_go_out; -wire _guard321 = wrapper_early_reset_static_par0_done_out; -wire _guard322 = ~_guard321; -wire _guard323 = fsm0_out == 3'd2; -wire _guard324 = _guard322 & _guard323; -wire _guard325 = tdcc_go_out; -wire _guard326 = _guard324 & _guard325; -wire _guard327 = fsm_out == 1'd0; -wire _guard328 = signal_reg_out; -wire _guard329 = _guard327 & _guard328; -wire _guard330 = wrapper_early_reset_static_par1_done_out; -wire _guard331 = ~_guard330; -wire _guard332 = fsm0_out == 3'd4; +wire _guard319 = do_ar_transfer_go_out; +wire _guard320 = _guard318 & _guard319; +wire _guard321 = early_reset_static_par1_go_out; +wire _guard322 = _guard320 | _guard321; +wire _guard323 = early_reset_static_par1_go_out; +wire _guard324 = early_reset_static_par1_go_out; +wire _guard325 = wrapper_early_reset_static_par0_done_out; +wire _guard326 = ~_guard325; +wire _guard327 = fsm0_out == 3'd2; +wire _guard328 = _guard326 & _guard327; +wire _guard329 = tdcc_go_out; +wire _guard330 = _guard328 & _guard329; +wire _guard331 = fsm_out == 1'd0; +wire _guard332 = signal_reg_out; wire _guard333 = _guard331 & _guard332; -wire _guard334 = tdcc_go_out; -wire _guard335 = _guard333 & _guard334; -wire _guard336 = fsm0_out == 3'd6; -wire _guard337 = wrapper_early_reset_static_par_go_out; +wire _guard334 = wrapper_early_reset_static_par1_done_out; +wire _guard335 = ~_guard334; +wire _guard336 = fsm0_out == 3'd4; +wire _guard337 = _guard335 & _guard336; +wire _guard338 = tdcc_go_out; +wire _guard339 = _guard337 & _guard338; +wire _guard340 = fsm0_out == 3'd6; +wire _guard341 = wrapper_early_reset_static_par_go_out; assign adder1_left = _guard1 ? fsm_out : 1'd0; @@ -2033,106 +2058,106 @@ assign fsm_write_en = _guard21; assign fsm_clk = clk; assign fsm_reset = reset; assign fsm_in = - _guard24 ? adder1_out : - _guard27 ? adder_out : - _guard30 ? adder2_out : - _guard45 ? 1'd0 : - _guard48 ? adder0_out : + _guard25 ? adder1_out : + _guard29 ? adder_out : + _guard33 ? adder2_out : + _guard48 ? 1'd0 : + _guard52 ? adder0_out : 1'd0; assign adder_left = - _guard49 ? fsm_out : + _guard53 ? fsm_out : 1'd0; -assign adder_right = _guard50; -assign early_reset_static_par0_go_in = _guard51; -assign wrapper_early_reset_static_par1_done_in = _guard54; -assign ar_handshake_occurred_write_en = _guard60; +assign adder_right = _guard54; +assign early_reset_static_par0_go_in = _guard55; +assign wrapper_early_reset_static_par1_done_in = _guard58; +assign ar_handshake_occurred_write_en = _guard64; assign ar_handshake_occurred_clk = clk; assign ar_handshake_occurred_reset = reset; assign ar_handshake_occurred_in = - _guard65 ? 1'd1 : - _guard66 ? 1'd0 : + _guard69 ? 1'd1 : + _guard70 ? 1'd0 : 'x; -assign comb_reg_write_en = _guard67; +assign comb_reg_write_en = _guard71; assign comb_reg_clk = clk; assign comb_reg_reset = reset; assign comb_reg_in = - _guard68 ? perform_reads_out : + _guard72 ? perform_reads_out : 1'd0; assign early_reset_perform_reads_group0_done_in = ud_out; assign perform_reads_left = - _guard69 ? txn_count_out : + _guard73 ? txn_count_out : 32'd0; assign perform_reads_right = - _guard70 ? txn_n_out : + _guard74 ? txn_n_out : 32'd0; -assign arlen_write_en = _guard71; +assign arlen_write_en = _guard75; assign arlen_clk = clk; assign arlen_reset = reset; assign arlen_in = 8'd7; -assign early_reset_static_par1_go_in = _guard73; -assign wrapper_early_reset_static_par_go_in = _guard79; -assign wrapper_early_reset_perform_reads_group0_done_in = _guard82; -assign txn_count_write_en = _guard85; +assign early_reset_static_par1_go_in = _guard77; +assign wrapper_early_reset_static_par_go_in = _guard83; +assign wrapper_early_reset_perform_reads_group0_done_in = _guard86; +assign txn_count_write_en = _guard89; assign txn_count_clk = clk; assign txn_count_reset = reset; assign txn_count_in = - _guard86 ? 32'd0 : - _guard87 ? txn_adder_out : + _guard90 ? 32'd0 : + _guard91 ? txn_adder_out : 'x; assign early_reset_static_par0_done_in = ud1_out; -assign wrapper_early_reset_static_par_done_in = _guard90; +assign wrapper_early_reset_static_par_done_in = _guard94; assign tdcc_go_in = go; assign adder2_left = - _guard91 ? fsm_out : + _guard95 ? fsm_out : 1'd0; -assign adder2_right = _guard92; -assign fsm0_write_en = _guard151; +assign adder2_right = _guard96; +assign fsm0_write_en = _guard155; assign fsm0_clk = clk; assign fsm0_reset = reset; assign fsm0_in = - _guard168 ? 3'd6 : - _guard173 ? 3'd5 : - _guard188 ? 3'd2 : - _guard193 ? 3'd4 : - _guard198 ? 3'd1 : - _guard199 ? 3'd0 : - _guard204 ? 3'd3 : + _guard172 ? 3'd6 : + _guard177 ? 3'd5 : + _guard192 ? 3'd2 : + _guard197 ? 3'd4 : + _guard202 ? 3'd1 : + _guard203 ? 3'd0 : + _guard208 ? 3'd3 : 3'd0; assign adder0_left = - _guard205 ? fsm_out : + _guard209 ? fsm_out : 1'd0; -assign adder0_right = _guard206; +assign adder0_right = _guard210; assign early_reset_static_par_done_in = ud0_out; -assign wrapper_early_reset_perform_reads_group0_go_in = _guard219; -assign bt_reg_write_en = _guard222; +assign wrapper_early_reset_perform_reads_group0_go_in = _guard223; +assign bt_reg_write_en = _guard226; assign bt_reg_clk = clk; assign bt_reg_reset = reset; assign bt_reg_in = - _guard227 ? 1'd1 : - _guard235 ? 1'd0 : + _guard231 ? 1'd1 : + _guard239 ? 1'd0 : 'x; -assign signal_reg_write_en = _guard266; +assign signal_reg_write_en = _guard270; assign signal_reg_clk = clk; assign signal_reg_reset = reset; assign signal_reg_in = - _guard293 ? 1'd1 : - _guard296 ? 1'd0 : + _guard297 ? 1'd1 : + _guard300 ? 1'd0 : 1'd0; -assign early_reset_perform_reads_group0_go_in = _guard297; -assign arvalid_write_en = _guard300; +assign early_reset_perform_reads_group0_go_in = _guard301; +assign arvalid_write_en = _guard304; assign arvalid_clk = clk; assign arvalid_reset = reset; assign arvalid_in = - _guard309 ? 1'd1 : - _guard318 ? 1'd0 : + _guard313 ? 1'd1 : + _guard322 ? 1'd0 : 'x; assign txn_adder_left = txn_count_out; assign txn_adder_right = 32'd1; -assign wrapper_early_reset_static_par0_go_in = _guard326; -assign wrapper_early_reset_static_par0_done_in = _guard329; -assign wrapper_early_reset_static_par1_go_in = _guard335; -assign tdcc_done_in = _guard336; -assign early_reset_static_par_go_in = _guard337; +assign wrapper_early_reset_static_par0_go_in = _guard330; +assign wrapper_early_reset_static_par0_done_in = _guard333; +assign wrapper_early_reset_static_par1_go_in = _guard339; +assign tdcc_done_in = _guard340; +assign early_reset_static_par_go_in = _guard341; assign do_ar_transfer_done_in = bt_reg_out; assign early_reset_static_par1_done_in = ud2_out; // COMPONENT END: m_ar_channel @@ -2209,22 +2234,22 @@ logic fsm_clk; logic fsm_reset; logic fsm_out; logic fsm_done; -logic ud_out; logic adder_left; logic adder_right; logic adder_out; -logic ud0_out; logic adder0_left; logic adder0_right; logic adder0_out; -logic ud1_out; logic adder1_left; logic adder1_right; logic adder1_out; -logic ud2_out; logic adder2_left; logic adder2_right; logic adder2_out; +logic ud_out; +logic ud0_out; +logic ud1_out; +logic ud2_out; logic signal_reg_in; logic signal_reg_write_en; logic signal_reg_clk; @@ -2367,11 +2392,6 @@ std_reg # ( .reset(fsm_reset), .write_en(fsm_write_en) ); -undef # ( - .WIDTH(1) -) ud ( - .out(ud_out) -); std_add # ( .WIDTH(1) ) adder ( @@ -2379,11 +2399,6 @@ std_add # ( .out(adder_out), .right(adder_right) ); -undef # ( - .WIDTH(1) -) ud0 ( - .out(ud0_out) -); std_add # ( .WIDTH(1) ) adder0 ( @@ -2391,11 +2406,6 @@ std_add # ( .out(adder0_out), .right(adder0_right) ); -undef # ( - .WIDTH(1) -) ud1 ( - .out(ud1_out) -); std_add # ( .WIDTH(1) ) adder1 ( @@ -2403,11 +2413,6 @@ std_add # ( .out(adder1_out), .right(adder1_right) ); -undef # ( - .WIDTH(1) -) ud2 ( - .out(ud2_out) -); std_add # ( .WIDTH(1) ) adder2 ( @@ -2415,6 +2420,26 @@ std_add # ( .out(adder2_out), .right(adder2_right) ); +undef # ( + .WIDTH(1) +) ud ( + .out(ud_out) +); +undef # ( + .WIDTH(1) +) ud0 ( + .out(ud0_out) +); +undef # ( + .WIDTH(1) +) ud1 ( + .out(ud1_out) +); +undef # ( + .WIDTH(1) +) ud2 ( + .out(ud2_out) +); std_reg # ( .WIDTH(1) ) signal_reg ( @@ -2573,328 +2598,332 @@ wire _guard14 = early_reset_static_par0_go_out; wire _guard15 = _guard13 | _guard14; wire _guard16 = early_reset_static_par1_go_out; wire _guard17 = _guard15 | _guard16; -wire _guard18 = fsm_out != 1'd0; -wire _guard19 = early_reset_static_par0_go_out; -wire _guard20 = _guard18 & _guard19; -wire _guard21 = fsm_out != 1'd0; -wire _guard22 = early_reset_perform_writes_group0_go_out; -wire _guard23 = _guard21 & _guard22; -wire _guard24 = fsm_out != 1'd0; -wire _guard25 = early_reset_static_par1_go_out; -wire _guard26 = _guard24 & _guard25; -wire _guard27 = fsm_out == 1'd0; -wire _guard28 = early_reset_perform_writes_group0_go_out; +wire _guard18 = fsm_out == 1'd0; +wire _guard19 = ~_guard18; +wire _guard20 = early_reset_static_par0_go_out; +wire _guard21 = _guard19 & _guard20; +wire _guard22 = fsm_out == 1'd0; +wire _guard23 = ~_guard22; +wire _guard24 = early_reset_perform_writes_group0_go_out; +wire _guard25 = _guard23 & _guard24; +wire _guard26 = fsm_out == 1'd0; +wire _guard27 = ~_guard26; +wire _guard28 = early_reset_static_par1_go_out; wire _guard29 = _guard27 & _guard28; wire _guard30 = fsm_out == 1'd0; -wire _guard31 = early_reset_static_par_go_out; +wire _guard31 = early_reset_perform_writes_group0_go_out; wire _guard32 = _guard30 & _guard31; -wire _guard33 = _guard29 | _guard32; -wire _guard34 = fsm_out == 1'd0; -wire _guard35 = early_reset_static_par0_go_out; -wire _guard36 = _guard34 & _guard35; -wire _guard37 = _guard33 | _guard36; -wire _guard38 = fsm_out == 1'd0; -wire _guard39 = early_reset_static_par1_go_out; -wire _guard40 = _guard38 & _guard39; -wire _guard41 = _guard37 | _guard40; -wire _guard42 = fsm_out != 1'd0; -wire _guard43 = early_reset_static_par_go_out; -wire _guard44 = _guard42 & _guard43; -wire _guard45 = early_reset_perform_writes_group0_go_out; -wire _guard46 = early_reset_perform_writes_group0_go_out; -wire _guard47 = wrapper_early_reset_static_par0_go_out; -wire _guard48 = fsm_out == 1'd0; -wire _guard49 = signal_reg_out; -wire _guard50 = _guard48 & _guard49; -wire _guard51 = early_reset_perform_writes_group0_go_out; -wire _guard52 = early_reset_perform_writes_group0_go_out; -wire _guard53 = wrapper_early_reset_static_par1_go_out; -wire _guard54 = wrapper_early_reset_static_par_done_out; -wire _guard55 = ~_guard54; -wire _guard56 = fsm0_out == 3'd0; -wire _guard57 = _guard55 & _guard56; -wire _guard58 = tdcc_go_out; -wire _guard59 = _guard57 & _guard58; -wire _guard60 = early_reset_static_par_go_out; -wire _guard61 = early_reset_static_par1_go_out; -wire _guard62 = _guard60 | _guard61; -wire _guard63 = early_reset_static_par_go_out; -wire _guard64 = early_reset_static_par1_go_out; -wire _guard65 = fsm_out == 1'd0; -wire _guard66 = signal_reg_out; -wire _guard67 = _guard65 & _guard66; +wire _guard33 = fsm_out == 1'd0; +wire _guard34 = early_reset_static_par_go_out; +wire _guard35 = _guard33 & _guard34; +wire _guard36 = _guard32 | _guard35; +wire _guard37 = fsm_out == 1'd0; +wire _guard38 = early_reset_static_par0_go_out; +wire _guard39 = _guard37 & _guard38; +wire _guard40 = _guard36 | _guard39; +wire _guard41 = fsm_out == 1'd0; +wire _guard42 = early_reset_static_par1_go_out; +wire _guard43 = _guard41 & _guard42; +wire _guard44 = _guard40 | _guard43; +wire _guard45 = fsm_out == 1'd0; +wire _guard46 = ~_guard45; +wire _guard47 = early_reset_static_par_go_out; +wire _guard48 = _guard46 & _guard47; +wire _guard49 = early_reset_perform_writes_group0_go_out; +wire _guard50 = early_reset_perform_writes_group0_go_out; +wire _guard51 = wrapper_early_reset_static_par0_go_out; +wire _guard52 = fsm_out == 1'd0; +wire _guard53 = signal_reg_out; +wire _guard54 = _guard52 & _guard53; +wire _guard55 = early_reset_perform_writes_group0_go_out; +wire _guard56 = early_reset_perform_writes_group0_go_out; +wire _guard57 = wrapper_early_reset_static_par1_go_out; +wire _guard58 = wrapper_early_reset_static_par_done_out; +wire _guard59 = ~_guard58; +wire _guard60 = fsm0_out == 3'd0; +wire _guard61 = _guard59 & _guard60; +wire _guard62 = tdcc_go_out; +wire _guard63 = _guard61 & _guard62; +wire _guard64 = early_reset_static_par_go_out; +wire _guard65 = early_reset_static_par1_go_out; +wire _guard66 = _guard64 | _guard65; +wire _guard67 = early_reset_static_par_go_out; wire _guard68 = early_reset_static_par1_go_out; -wire _guard69 = early_reset_static_par1_go_out; -wire _guard70 = fsm0_out == 3'd6; -wire _guard71 = fsm0_out == 3'd0; -wire _guard72 = wrapper_early_reset_static_par_done_out; -wire _guard73 = _guard71 & _guard72; -wire _guard74 = tdcc_go_out; -wire _guard75 = _guard73 & _guard74; -wire _guard76 = _guard70 | _guard75; -wire _guard77 = fsm0_out == 3'd1; -wire _guard78 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard79 = comb_reg_out; -wire _guard80 = _guard78 & _guard79; -wire _guard81 = _guard77 & _guard80; -wire _guard82 = tdcc_go_out; -wire _guard83 = _guard81 & _guard82; -wire _guard84 = _guard76 | _guard83; -wire _guard85 = fsm0_out == 3'd5; -wire _guard86 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard87 = comb_reg_out; -wire _guard88 = _guard86 & _guard87; -wire _guard89 = _guard85 & _guard88; -wire _guard90 = tdcc_go_out; -wire _guard91 = _guard89 & _guard90; -wire _guard92 = _guard84 | _guard91; -wire _guard93 = fsm0_out == 3'd2; -wire _guard94 = wrapper_early_reset_static_par0_done_out; +wire _guard69 = fsm_out == 1'd0; +wire _guard70 = signal_reg_out; +wire _guard71 = _guard69 & _guard70; +wire _guard72 = early_reset_static_par1_go_out; +wire _guard73 = early_reset_static_par1_go_out; +wire _guard74 = fsm0_out == 3'd6; +wire _guard75 = fsm0_out == 3'd0; +wire _guard76 = wrapper_early_reset_static_par_done_out; +wire _guard77 = _guard75 & _guard76; +wire _guard78 = tdcc_go_out; +wire _guard79 = _guard77 & _guard78; +wire _guard80 = _guard74 | _guard79; +wire _guard81 = fsm0_out == 3'd1; +wire _guard82 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard83 = comb_reg_out; +wire _guard84 = _guard82 & _guard83; +wire _guard85 = _guard81 & _guard84; +wire _guard86 = tdcc_go_out; +wire _guard87 = _guard85 & _guard86; +wire _guard88 = _guard80 | _guard87; +wire _guard89 = fsm0_out == 3'd5; +wire _guard90 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard91 = comb_reg_out; +wire _guard92 = _guard90 & _guard91; +wire _guard93 = _guard89 & _guard92; +wire _guard94 = tdcc_go_out; wire _guard95 = _guard93 & _guard94; -wire _guard96 = tdcc_go_out; -wire _guard97 = _guard95 & _guard96; -wire _guard98 = _guard92 | _guard97; -wire _guard99 = fsm0_out == 3'd3; -wire _guard100 = do_aw_transfer_done_out; +wire _guard96 = _guard88 | _guard95; +wire _guard97 = fsm0_out == 3'd2; +wire _guard98 = wrapper_early_reset_static_par0_done_out; +wire _guard99 = _guard97 & _guard98; +wire _guard100 = tdcc_go_out; wire _guard101 = _guard99 & _guard100; -wire _guard102 = tdcc_go_out; -wire _guard103 = _guard101 & _guard102; -wire _guard104 = _guard98 | _guard103; -wire _guard105 = fsm0_out == 3'd4; -wire _guard106 = wrapper_early_reset_static_par1_done_out; +wire _guard102 = _guard96 | _guard101; +wire _guard103 = fsm0_out == 3'd3; +wire _guard104 = do_aw_transfer_done_out; +wire _guard105 = _guard103 & _guard104; +wire _guard106 = tdcc_go_out; wire _guard107 = _guard105 & _guard106; -wire _guard108 = tdcc_go_out; -wire _guard109 = _guard107 & _guard108; -wire _guard110 = _guard104 | _guard109; -wire _guard111 = fsm0_out == 3'd1; -wire _guard112 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard113 = comb_reg_out; -wire _guard114 = ~_guard113; -wire _guard115 = _guard112 & _guard114; -wire _guard116 = _guard111 & _guard115; -wire _guard117 = tdcc_go_out; -wire _guard118 = _guard116 & _guard117; -wire _guard119 = _guard110 | _guard118; -wire _guard120 = fsm0_out == 3'd5; -wire _guard121 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard122 = comb_reg_out; -wire _guard123 = ~_guard122; -wire _guard124 = _guard121 & _guard123; -wire _guard125 = _guard120 & _guard124; -wire _guard126 = tdcc_go_out; -wire _guard127 = _guard125 & _guard126; -wire _guard128 = _guard119 | _guard127; -wire _guard129 = fsm0_out == 3'd1; -wire _guard130 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard131 = comb_reg_out; -wire _guard132 = ~_guard131; -wire _guard133 = _guard130 & _guard132; -wire _guard134 = _guard129 & _guard133; -wire _guard135 = tdcc_go_out; -wire _guard136 = _guard134 & _guard135; -wire _guard137 = fsm0_out == 3'd5; -wire _guard138 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard139 = comb_reg_out; -wire _guard140 = ~_guard139; -wire _guard141 = _guard138 & _guard140; -wire _guard142 = _guard137 & _guard141; -wire _guard143 = tdcc_go_out; -wire _guard144 = _guard142 & _guard143; -wire _guard145 = _guard136 | _guard144; -wire _guard146 = fsm0_out == 3'd4; -wire _guard147 = wrapper_early_reset_static_par1_done_out; +wire _guard108 = _guard102 | _guard107; +wire _guard109 = fsm0_out == 3'd4; +wire _guard110 = wrapper_early_reset_static_par1_done_out; +wire _guard111 = _guard109 & _guard110; +wire _guard112 = tdcc_go_out; +wire _guard113 = _guard111 & _guard112; +wire _guard114 = _guard108 | _guard113; +wire _guard115 = fsm0_out == 3'd1; +wire _guard116 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard117 = comb_reg_out; +wire _guard118 = ~_guard117; +wire _guard119 = _guard116 & _guard118; +wire _guard120 = _guard115 & _guard119; +wire _guard121 = tdcc_go_out; +wire _guard122 = _guard120 & _guard121; +wire _guard123 = _guard114 | _guard122; +wire _guard124 = fsm0_out == 3'd5; +wire _guard125 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard126 = comb_reg_out; +wire _guard127 = ~_guard126; +wire _guard128 = _guard125 & _guard127; +wire _guard129 = _guard124 & _guard128; +wire _guard130 = tdcc_go_out; +wire _guard131 = _guard129 & _guard130; +wire _guard132 = _guard123 | _guard131; +wire _guard133 = fsm0_out == 3'd1; +wire _guard134 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard135 = comb_reg_out; +wire _guard136 = ~_guard135; +wire _guard137 = _guard134 & _guard136; +wire _guard138 = _guard133 & _guard137; +wire _guard139 = tdcc_go_out; +wire _guard140 = _guard138 & _guard139; +wire _guard141 = fsm0_out == 3'd5; +wire _guard142 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard143 = comb_reg_out; +wire _guard144 = ~_guard143; +wire _guard145 = _guard142 & _guard144; +wire _guard146 = _guard141 & _guard145; +wire _guard147 = tdcc_go_out; wire _guard148 = _guard146 & _guard147; -wire _guard149 = tdcc_go_out; -wire _guard150 = _guard148 & _guard149; -wire _guard151 = fsm0_out == 3'd1; -wire _guard152 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard153 = comb_reg_out; +wire _guard149 = _guard140 | _guard148; +wire _guard150 = fsm0_out == 3'd4; +wire _guard151 = wrapper_early_reset_static_par1_done_out; +wire _guard152 = _guard150 & _guard151; +wire _guard153 = tdcc_go_out; wire _guard154 = _guard152 & _guard153; -wire _guard155 = _guard151 & _guard154; -wire _guard156 = tdcc_go_out; -wire _guard157 = _guard155 & _guard156; -wire _guard158 = fsm0_out == 3'd5; -wire _guard159 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard160 = comb_reg_out; +wire _guard155 = fsm0_out == 3'd1; +wire _guard156 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard157 = comb_reg_out; +wire _guard158 = _guard156 & _guard157; +wire _guard159 = _guard155 & _guard158; +wire _guard160 = tdcc_go_out; wire _guard161 = _guard159 & _guard160; -wire _guard162 = _guard158 & _guard161; -wire _guard163 = tdcc_go_out; -wire _guard164 = _guard162 & _guard163; -wire _guard165 = _guard157 | _guard164; -wire _guard166 = fsm0_out == 3'd3; -wire _guard167 = do_aw_transfer_done_out; +wire _guard162 = fsm0_out == 3'd5; +wire _guard163 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard164 = comb_reg_out; +wire _guard165 = _guard163 & _guard164; +wire _guard166 = _guard162 & _guard165; +wire _guard167 = tdcc_go_out; wire _guard168 = _guard166 & _guard167; -wire _guard169 = tdcc_go_out; -wire _guard170 = _guard168 & _guard169; -wire _guard171 = fsm0_out == 3'd0; -wire _guard172 = wrapper_early_reset_static_par_done_out; -wire _guard173 = _guard171 & _guard172; -wire _guard174 = tdcc_go_out; -wire _guard175 = _guard173 & _guard174; -wire _guard176 = fsm0_out == 3'd6; -wire _guard177 = fsm0_out == 3'd2; -wire _guard178 = wrapper_early_reset_static_par0_done_out; +wire _guard169 = _guard161 | _guard168; +wire _guard170 = fsm0_out == 3'd3; +wire _guard171 = do_aw_transfer_done_out; +wire _guard172 = _guard170 & _guard171; +wire _guard173 = tdcc_go_out; +wire _guard174 = _guard172 & _guard173; +wire _guard175 = fsm0_out == 3'd0; +wire _guard176 = wrapper_early_reset_static_par_done_out; +wire _guard177 = _guard175 & _guard176; +wire _guard178 = tdcc_go_out; wire _guard179 = _guard177 & _guard178; -wire _guard180 = tdcc_go_out; -wire _guard181 = _guard179 & _guard180; -wire _guard182 = do_aw_transfer_done_out; -wire _guard183 = ~_guard182; -wire _guard184 = fsm0_out == 3'd3; +wire _guard180 = fsm0_out == 3'd6; +wire _guard181 = fsm0_out == 3'd2; +wire _guard182 = wrapper_early_reset_static_par0_done_out; +wire _guard183 = _guard181 & _guard182; +wire _guard184 = tdcc_go_out; wire _guard185 = _guard183 & _guard184; -wire _guard186 = tdcc_go_out; -wire _guard187 = _guard185 & _guard186; -wire _guard188 = early_reset_perform_writes_group0_go_out; -wire _guard189 = early_reset_perform_writes_group0_go_out; -wire _guard190 = early_reset_static_par_go_out; -wire _guard191 = early_reset_static_par_go_out; -wire _guard192 = fsm_out == 1'd0; -wire _guard193 = signal_reg_out; -wire _guard194 = _guard192 & _guard193; -wire _guard195 = do_aw_transfer_go_out; -wire _guard196 = early_reset_static_par0_go_out; -wire _guard197 = _guard195 | _guard196; -wire _guard198 = AWREADY; -wire _guard199 = awvalid_out; -wire _guard200 = _guard198 & _guard199; -wire _guard201 = do_aw_transfer_go_out; -wire _guard202 = _guard200 & _guard201; -wire _guard203 = AWREADY; -wire _guard204 = awvalid_out; -wire _guard205 = _guard203 & _guard204; -wire _guard206 = ~_guard205; -wire _guard207 = do_aw_transfer_go_out; -wire _guard208 = _guard206 & _guard207; -wire _guard209 = early_reset_static_par0_go_out; -wire _guard210 = _guard208 | _guard209; -wire _guard211 = early_reset_static_par_go_out; -wire _guard212 = early_reset_static_par_go_out; -wire _guard213 = fsm_out == 1'd0; -wire _guard214 = signal_reg_out; -wire _guard215 = _guard213 & _guard214; -wire _guard216 = fsm_out == 1'd0; -wire _guard217 = signal_reg_out; -wire _guard218 = ~_guard217; -wire _guard219 = _guard216 & _guard218; -wire _guard220 = wrapper_early_reset_static_par_go_out; -wire _guard221 = _guard219 & _guard220; -wire _guard222 = _guard215 | _guard221; -wire _guard223 = fsm_out == 1'd0; -wire _guard224 = signal_reg_out; -wire _guard225 = ~_guard224; -wire _guard226 = _guard223 & _guard225; -wire _guard227 = wrapper_early_reset_perform_writes_group0_go_out; -wire _guard228 = _guard226 & _guard227; -wire _guard229 = _guard222 | _guard228; -wire _guard230 = fsm_out == 1'd0; -wire _guard231 = signal_reg_out; -wire _guard232 = ~_guard231; -wire _guard233 = _guard230 & _guard232; -wire _guard234 = wrapper_early_reset_static_par0_go_out; -wire _guard235 = _guard233 & _guard234; -wire _guard236 = _guard229 | _guard235; -wire _guard237 = fsm_out == 1'd0; -wire _guard238 = signal_reg_out; -wire _guard239 = ~_guard238; -wire _guard240 = _guard237 & _guard239; -wire _guard241 = wrapper_early_reset_static_par1_go_out; -wire _guard242 = _guard240 & _guard241; -wire _guard243 = _guard236 | _guard242; -wire _guard244 = fsm_out == 1'd0; -wire _guard245 = signal_reg_out; -wire _guard246 = ~_guard245; -wire _guard247 = _guard244 & _guard246; -wire _guard248 = wrapper_early_reset_static_par_go_out; -wire _guard249 = _guard247 & _guard248; -wire _guard250 = fsm_out == 1'd0; -wire _guard251 = signal_reg_out; -wire _guard252 = ~_guard251; -wire _guard253 = _guard250 & _guard252; -wire _guard254 = wrapper_early_reset_perform_writes_group0_go_out; -wire _guard255 = _guard253 & _guard254; -wire _guard256 = _guard249 | _guard255; -wire _guard257 = fsm_out == 1'd0; -wire _guard258 = signal_reg_out; -wire _guard259 = ~_guard258; -wire _guard260 = _guard257 & _guard259; -wire _guard261 = wrapper_early_reset_static_par0_go_out; -wire _guard262 = _guard260 & _guard261; -wire _guard263 = _guard256 | _guard262; -wire _guard264 = fsm_out == 1'd0; -wire _guard265 = signal_reg_out; -wire _guard266 = ~_guard265; -wire _guard267 = _guard264 & _guard266; -wire _guard268 = wrapper_early_reset_static_par1_go_out; -wire _guard269 = _guard267 & _guard268; -wire _guard270 = _guard263 | _guard269; -wire _guard271 = fsm_out == 1'd0; -wire _guard272 = signal_reg_out; +wire _guard186 = do_aw_transfer_done_out; +wire _guard187 = ~_guard186; +wire _guard188 = fsm0_out == 3'd3; +wire _guard189 = _guard187 & _guard188; +wire _guard190 = tdcc_go_out; +wire _guard191 = _guard189 & _guard190; +wire _guard192 = early_reset_perform_writes_group0_go_out; +wire _guard193 = early_reset_perform_writes_group0_go_out; +wire _guard194 = early_reset_static_par_go_out; +wire _guard195 = early_reset_static_par_go_out; +wire _guard196 = fsm_out == 1'd0; +wire _guard197 = signal_reg_out; +wire _guard198 = _guard196 & _guard197; +wire _guard199 = do_aw_transfer_go_out; +wire _guard200 = early_reset_static_par0_go_out; +wire _guard201 = _guard199 | _guard200; +wire _guard202 = AWREADY; +wire _guard203 = awvalid_out; +wire _guard204 = _guard202 & _guard203; +wire _guard205 = do_aw_transfer_go_out; +wire _guard206 = _guard204 & _guard205; +wire _guard207 = AWREADY; +wire _guard208 = awvalid_out; +wire _guard209 = _guard207 & _guard208; +wire _guard210 = ~_guard209; +wire _guard211 = do_aw_transfer_go_out; +wire _guard212 = _guard210 & _guard211; +wire _guard213 = early_reset_static_par0_go_out; +wire _guard214 = _guard212 | _guard213; +wire _guard215 = early_reset_static_par_go_out; +wire _guard216 = early_reset_static_par_go_out; +wire _guard217 = fsm_out == 1'd0; +wire _guard218 = signal_reg_out; +wire _guard219 = _guard217 & _guard218; +wire _guard220 = fsm_out == 1'd0; +wire _guard221 = signal_reg_out; +wire _guard222 = ~_guard221; +wire _guard223 = _guard220 & _guard222; +wire _guard224 = wrapper_early_reset_static_par_go_out; +wire _guard225 = _guard223 & _guard224; +wire _guard226 = _guard219 | _guard225; +wire _guard227 = fsm_out == 1'd0; +wire _guard228 = signal_reg_out; +wire _guard229 = ~_guard228; +wire _guard230 = _guard227 & _guard229; +wire _guard231 = wrapper_early_reset_perform_writes_group0_go_out; +wire _guard232 = _guard230 & _guard231; +wire _guard233 = _guard226 | _guard232; +wire _guard234 = fsm_out == 1'd0; +wire _guard235 = signal_reg_out; +wire _guard236 = ~_guard235; +wire _guard237 = _guard234 & _guard236; +wire _guard238 = wrapper_early_reset_static_par0_go_out; +wire _guard239 = _guard237 & _guard238; +wire _guard240 = _guard233 | _guard239; +wire _guard241 = fsm_out == 1'd0; +wire _guard242 = signal_reg_out; +wire _guard243 = ~_guard242; +wire _guard244 = _guard241 & _guard243; +wire _guard245 = wrapper_early_reset_static_par1_go_out; +wire _guard246 = _guard244 & _guard245; +wire _guard247 = _guard240 | _guard246; +wire _guard248 = fsm_out == 1'd0; +wire _guard249 = signal_reg_out; +wire _guard250 = ~_guard249; +wire _guard251 = _guard248 & _guard250; +wire _guard252 = wrapper_early_reset_static_par_go_out; +wire _guard253 = _guard251 & _guard252; +wire _guard254 = fsm_out == 1'd0; +wire _guard255 = signal_reg_out; +wire _guard256 = ~_guard255; +wire _guard257 = _guard254 & _guard256; +wire _guard258 = wrapper_early_reset_perform_writes_group0_go_out; +wire _guard259 = _guard257 & _guard258; +wire _guard260 = _guard253 | _guard259; +wire _guard261 = fsm_out == 1'd0; +wire _guard262 = signal_reg_out; +wire _guard263 = ~_guard262; +wire _guard264 = _guard261 & _guard263; +wire _guard265 = wrapper_early_reset_static_par0_go_out; +wire _guard266 = _guard264 & _guard265; +wire _guard267 = _guard260 | _guard266; +wire _guard268 = fsm_out == 1'd0; +wire _guard269 = signal_reg_out; +wire _guard270 = ~_guard269; +wire _guard271 = _guard268 & _guard270; +wire _guard272 = wrapper_early_reset_static_par1_go_out; wire _guard273 = _guard271 & _guard272; -wire _guard274 = wrapper_early_reset_perform_writes_group0_go_out; -wire _guard275 = early_reset_static_par1_go_out; -wire _guard276 = early_reset_static_par1_go_out; -wire _guard277 = aw_handshake_occurred_out; -wire _guard278 = ~_guard277; -wire _guard279 = do_aw_transfer_go_out; -wire _guard280 = _guard278 & _guard279; -wire _guard281 = early_reset_static_par0_go_out; -wire _guard282 = _guard280 | _guard281; -wire _guard283 = awvalid_out; -wire _guard284 = AWREADY; -wire _guard285 = _guard283 & _guard284; -wire _guard286 = do_aw_transfer_go_out; -wire _guard287 = _guard285 & _guard286; -wire _guard288 = early_reset_static_par0_go_out; -wire _guard289 = wrapper_early_reset_static_par0_done_out; -wire _guard290 = ~_guard289; -wire _guard291 = fsm0_out == 3'd2; -wire _guard292 = _guard290 & _guard291; -wire _guard293 = tdcc_go_out; -wire _guard294 = _guard292 & _guard293; -wire _guard295 = fsm_out == 1'd0; -wire _guard296 = signal_reg_out; -wire _guard297 = _guard295 & _guard296; -wire _guard298 = wrapper_early_reset_static_par1_done_out; -wire _guard299 = ~_guard298; -wire _guard300 = fsm0_out == 3'd4; +wire _guard274 = _guard267 | _guard273; +wire _guard275 = fsm_out == 1'd0; +wire _guard276 = signal_reg_out; +wire _guard277 = _guard275 & _guard276; +wire _guard278 = wrapper_early_reset_perform_writes_group0_go_out; +wire _guard279 = early_reset_static_par1_go_out; +wire _guard280 = early_reset_static_par1_go_out; +wire _guard281 = aw_handshake_occurred_out; +wire _guard282 = ~_guard281; +wire _guard283 = do_aw_transfer_go_out; +wire _guard284 = _guard282 & _guard283; +wire _guard285 = early_reset_static_par0_go_out; +wire _guard286 = _guard284 | _guard285; +wire _guard287 = awvalid_out; +wire _guard288 = AWREADY; +wire _guard289 = _guard287 & _guard288; +wire _guard290 = do_aw_transfer_go_out; +wire _guard291 = _guard289 & _guard290; +wire _guard292 = early_reset_static_par0_go_out; +wire _guard293 = wrapper_early_reset_static_par0_done_out; +wire _guard294 = ~_guard293; +wire _guard295 = fsm0_out == 3'd2; +wire _guard296 = _guard294 & _guard295; +wire _guard297 = tdcc_go_out; +wire _guard298 = _guard296 & _guard297; +wire _guard299 = fsm_out == 1'd0; +wire _guard300 = signal_reg_out; wire _guard301 = _guard299 & _guard300; -wire _guard302 = tdcc_go_out; -wire _guard303 = _guard301 & _guard302; -wire _guard304 = fsm0_out == 3'd6; -wire _guard305 = do_aw_transfer_go_out; -wire _guard306 = early_reset_static_par1_go_out; -wire _guard307 = _guard305 | _guard306; -wire _guard308 = awvalid_out; -wire _guard309 = AWREADY; -wire _guard310 = _guard308 & _guard309; -wire _guard311 = ~_guard310; -wire _guard312 = aw_handshake_occurred_out; -wire _guard313 = ~_guard312; -wire _guard314 = _guard311 & _guard313; -wire _guard315 = do_aw_transfer_go_out; -wire _guard316 = _guard314 & _guard315; -wire _guard317 = awvalid_out; -wire _guard318 = AWREADY; -wire _guard319 = _guard317 & _guard318; -wire _guard320 = aw_handshake_occurred_out; -wire _guard321 = _guard319 | _guard320; -wire _guard322 = do_aw_transfer_go_out; +wire _guard302 = wrapper_early_reset_static_par1_done_out; +wire _guard303 = ~_guard302; +wire _guard304 = fsm0_out == 3'd4; +wire _guard305 = _guard303 & _guard304; +wire _guard306 = tdcc_go_out; +wire _guard307 = _guard305 & _guard306; +wire _guard308 = fsm0_out == 3'd6; +wire _guard309 = do_aw_transfer_go_out; +wire _guard310 = early_reset_static_par1_go_out; +wire _guard311 = _guard309 | _guard310; +wire _guard312 = awvalid_out; +wire _guard313 = AWREADY; +wire _guard314 = _guard312 & _guard313; +wire _guard315 = ~_guard314; +wire _guard316 = aw_handshake_occurred_out; +wire _guard317 = ~_guard316; +wire _guard318 = _guard315 & _guard317; +wire _guard319 = do_aw_transfer_go_out; +wire _guard320 = _guard318 & _guard319; +wire _guard321 = awvalid_out; +wire _guard322 = AWREADY; wire _guard323 = _guard321 & _guard322; -wire _guard324 = early_reset_static_par1_go_out; +wire _guard324 = aw_handshake_occurred_out; wire _guard325 = _guard323 | _guard324; -wire _guard326 = wrapper_early_reset_static_par_go_out; -wire _guard327 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard328 = ~_guard327; -wire _guard329 = fsm0_out == 3'd1; -wire _guard330 = _guard328 & _guard329; -wire _guard331 = tdcc_go_out; -wire _guard332 = _guard330 & _guard331; -wire _guard333 = wrapper_early_reset_perform_writes_group0_done_out; -wire _guard334 = ~_guard333; -wire _guard335 = fsm0_out == 3'd5; +wire _guard326 = do_aw_transfer_go_out; +wire _guard327 = _guard325 & _guard326; +wire _guard328 = early_reset_static_par1_go_out; +wire _guard329 = _guard327 | _guard328; +wire _guard330 = wrapper_early_reset_static_par_go_out; +wire _guard331 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard332 = ~_guard331; +wire _guard333 = fsm0_out == 3'd1; +wire _guard334 = _guard332 & _guard333; +wire _guard335 = tdcc_go_out; wire _guard336 = _guard334 & _guard335; -wire _guard337 = tdcc_go_out; -wire _guard338 = _guard336 & _guard337; -wire _guard339 = _guard332 | _guard338; +wire _guard337 = wrapper_early_reset_perform_writes_group0_done_out; +wire _guard338 = ~_guard337; +wire _guard339 = fsm0_out == 3'd5; +wire _guard340 = _guard338 & _guard339; +wire _guard341 = tdcc_go_out; +wire _guard342 = _guard340 & _guard341; +wire _guard343 = _guard336 | _guard342; assign adder1_left = _guard1 ? fsm_out : 1'd0; @@ -2909,9 +2938,7 @@ assign AWPROT = assign AWSIZE = _guard6 ? 3'd2 : 3'd0; -assign max_transfers_in = - _guard7 ? 8'd7 : - 8'd0; +assign max_transfers_in = 8'd7; assign AWVALID = awvalid_out; assign AWBURST = _guard8 ? 2'd1 : @@ -2924,109 +2951,109 @@ assign fsm_write_en = _guard17; assign fsm_clk = clk; assign fsm_reset = reset; assign fsm_in = - _guard20 ? adder1_out : - _guard23 ? adder_out : - _guard26 ? adder2_out : - _guard41 ? 1'd0 : - _guard44 ? adder0_out : + _guard21 ? adder1_out : + _guard25 ? adder_out : + _guard29 ? adder2_out : + _guard44 ? 1'd0 : + _guard48 ? adder0_out : 1'd0; assign adder_left = - _guard45 ? fsm_out : + _guard49 ? fsm_out : 1'd0; -assign adder_right = _guard46; -assign early_reset_static_par0_go_in = _guard47; -assign wrapper_early_reset_static_par1_done_in = _guard50; -assign comb_reg_write_en = _guard51; +assign adder_right = _guard50; +assign early_reset_static_par0_go_in = _guard51; +assign wrapper_early_reset_static_par1_done_in = _guard54; +assign comb_reg_write_en = _guard55; assign comb_reg_clk = clk; assign comb_reg_reset = reset; assign comb_reg_in = - _guard52 ? perform_writes_out : + _guard56 ? perform_writes_out : 1'd0; -assign early_reset_static_par1_go_in = _guard53; -assign wrapper_early_reset_static_par_go_in = _guard59; +assign early_reset_static_par1_go_in = _guard57; +assign wrapper_early_reset_static_par_go_in = _guard63; assign early_reset_perform_writes_group0_done_in = ud_out; -assign txn_count_write_en = _guard62; +assign txn_count_write_en = _guard66; assign txn_count_clk = clk; assign txn_count_reset = reset; assign txn_count_in = - _guard63 ? 32'd0 : - _guard64 ? txn_adder_out : + _guard67 ? 32'd0 : + _guard68 ? txn_adder_out : 'x; assign early_reset_static_par0_done_in = ud1_out; -assign wrapper_early_reset_static_par_done_in = _guard67; +assign wrapper_early_reset_static_par_done_in = _guard71; assign tdcc_go_in = go; assign adder2_left = - _guard68 ? fsm_out : + _guard72 ? fsm_out : 1'd0; -assign adder2_right = _guard69; -assign fsm0_write_en = _guard128; +assign adder2_right = _guard73; +assign fsm0_write_en = _guard132; assign fsm0_clk = clk; assign fsm0_reset = reset; assign fsm0_in = - _guard145 ? 3'd6 : - _guard150 ? 3'd5 : - _guard165 ? 3'd2 : - _guard170 ? 3'd4 : - _guard175 ? 3'd1 : - _guard176 ? 3'd0 : - _guard181 ? 3'd3 : + _guard149 ? 3'd6 : + _guard154 ? 3'd5 : + _guard169 ? 3'd2 : + _guard174 ? 3'd4 : + _guard179 ? 3'd1 : + _guard180 ? 3'd0 : + _guard185 ? 3'd3 : 3'd0; -assign do_aw_transfer_go_in = _guard187; +assign do_aw_transfer_go_in = _guard191; assign do_aw_transfer_done_in = bt_reg_out; assign perform_writes_left = - _guard188 ? txn_count_out : + _guard192 ? txn_count_out : 32'd0; assign perform_writes_right = - _guard189 ? txn_n_out : + _guard193 ? txn_n_out : 32'd0; assign adder0_left = - _guard190 ? fsm_out : + _guard194 ? fsm_out : 1'd0; -assign adder0_right = _guard191; +assign adder0_right = _guard195; assign early_reset_static_par_done_in = ud0_out; -assign wrapper_early_reset_perform_writes_group0_done_in = _guard194; -assign bt_reg_write_en = _guard197; +assign wrapper_early_reset_perform_writes_group0_done_in = _guard198; +assign bt_reg_write_en = _guard201; assign bt_reg_clk = clk; assign bt_reg_reset = reset; assign bt_reg_in = - _guard202 ? 1'd1 : - _guard210 ? 1'd0 : + _guard206 ? 1'd1 : + _guard214 ? 1'd0 : 'x; -assign awlen_write_en = _guard211; +assign awlen_write_en = _guard215; assign awlen_clk = clk; assign awlen_reset = reset; assign awlen_in = 8'd7; -assign signal_reg_write_en = _guard243; +assign signal_reg_write_en = _guard247; assign signal_reg_clk = clk; assign signal_reg_reset = reset; assign signal_reg_in = - _guard270 ? 1'd1 : - _guard273 ? 1'd0 : + _guard274 ? 1'd1 : + _guard277 ? 1'd0 : 1'd0; -assign early_reset_perform_writes_group0_go_in = _guard274; +assign early_reset_perform_writes_group0_go_in = _guard278; assign txn_adder_left = txn_count_out; assign txn_adder_right = 32'd1; -assign aw_handshake_occurred_write_en = _guard282; +assign aw_handshake_occurred_write_en = _guard286; assign aw_handshake_occurred_clk = clk; assign aw_handshake_occurred_reset = reset; assign aw_handshake_occurred_in = - _guard287 ? 1'd1 : - _guard288 ? 1'd0 : + _guard291 ? 1'd1 : + _guard292 ? 1'd0 : 'x; -assign wrapper_early_reset_static_par0_go_in = _guard294; -assign wrapper_early_reset_static_par0_done_in = _guard297; -assign wrapper_early_reset_static_par1_go_in = _guard303; -assign tdcc_done_in = _guard304; -assign awvalid_write_en = _guard307; +assign wrapper_early_reset_static_par0_go_in = _guard298; +assign wrapper_early_reset_static_par0_done_in = _guard301; +assign wrapper_early_reset_static_par1_go_in = _guard307; +assign tdcc_done_in = _guard308; +assign awvalid_write_en = _guard311; assign awvalid_clk = clk; assign awvalid_reset = reset; assign awvalid_in = - _guard316 ? 1'd1 : - _guard325 ? 1'd0 : + _guard320 ? 1'd1 : + _guard329 ? 1'd0 : 'x; -assign early_reset_static_par_go_in = _guard326; +assign early_reset_static_par_go_in = _guard330; assign early_reset_static_par1_done_in = ud2_out; -assign wrapper_early_reset_perform_writes_group0_go_in = _guard339; +assign wrapper_early_reset_perform_writes_group0_go_in = _guard343; // COMPONENT END: m_aw_channel endmodule module m_read_channel( @@ -3565,21 +3592,13 @@ assign curr_addr_internal_mem_incr_right = 3'd1; assign done = _guard9; assign mem_ref_content_en = _guard10; assign curr_addr_axi_write_en = _guard11; -assign curr_addr_axi_in = - _guard12 ? curr_addr_axi_incr_out : - 64'd0; +assign curr_addr_axi_in = curr_addr_axi_incr_out; assign RREADY = rready_out; assign curr_addr_internal_mem_write_en = _guard13; -assign mem_ref_write_data = - _guard14 ? read_data_reg_out : - 32'd0; +assign mem_ref_write_data = read_data_reg_out; assign mem_ref_write_en = _guard15; -assign mem_ref_addr0 = - _guard16 ? curr_addr_internal_mem_out : - 3'd0; -assign curr_addr_internal_mem_in = - _guard17 ? curr_addr_internal_mem_incr_out : - 3'd0; +assign mem_ref_addr0 = curr_addr_internal_mem_out; +assign curr_addr_internal_mem_in = curr_addr_internal_mem_incr_out; assign fsm_write_en = _guard70; assign fsm_clk = clk; assign fsm_reset = reset; @@ -3727,10 +3746,10 @@ logic fsm_clk; logic fsm_reset; logic fsm_out; logic fsm_done; -logic ud_out; logic adder_left; logic adder_right; logic adder_out; +logic ud_out; logic signal_reg_in; logic signal_reg_write_en; logic signal_reg_clk; @@ -3882,11 +3901,6 @@ std_reg # ( .reset(fsm_reset), .write_en(fsm_write_en) ); -undef # ( - .WIDTH(1) -) ud ( - .out(ud_out) -); std_add # ( .WIDTH(1) ) adder ( @@ -3894,6 +3908,11 @@ std_add # ( .out(adder_out), .right(adder_right) ); +undef # ( + .WIDTH(1) +) ud ( + .out(ud_out) +); std_reg # ( .WIDTH(1) ) signal_reg ( @@ -4091,312 +4110,313 @@ wire _guard23 = service_write_transfer_go_out; wire _guard24 = curr_addr_internal_mem_incr_group_go_out; wire _guard25 = invoke0_go_out; wire _guard26 = early_reset_static_par_go_out; -wire _guard27 = fsm_out != 1'd0; -wire _guard28 = early_reset_static_par_go_out; -wire _guard29 = _guard27 & _guard28; -wire _guard30 = fsm_out == 1'd0; -wire _guard31 = early_reset_static_par_go_out; -wire _guard32 = _guard30 & _guard31; -wire _guard33 = early_reset_static_par_go_out; +wire _guard27 = fsm_out == 1'd0; +wire _guard28 = ~_guard27; +wire _guard29 = early_reset_static_par_go_out; +wire _guard30 = _guard28 & _guard29; +wire _guard31 = fsm_out == 1'd0; +wire _guard32 = early_reset_static_par_go_out; +wire _guard33 = _guard31 & _guard32; wire _guard34 = early_reset_static_par_go_out; -wire _guard35 = invoke2_done_out; -wire _guard36 = ~_guard35; -wire _guard37 = fsm0_out == 3'd2; -wire _guard38 = _guard36 & _guard37; -wire _guard39 = tdcc_go_out; -wire _guard40 = _guard38 & _guard39; -wire _guard41 = early_reset_static_par_go_out; +wire _guard35 = early_reset_static_par_go_out; +wire _guard36 = invoke2_done_out; +wire _guard37 = ~_guard36; +wire _guard38 = fsm0_out == 3'd2; +wire _guard39 = _guard37 & _guard38; +wire _guard40 = tdcc_go_out; +wire _guard41 = _guard39 & _guard40; wire _guard42 = early_reset_static_par_go_out; -wire _guard43 = service_write_transfer_go_out; -wire _guard44 = wvalid_out; -wire _guard45 = WREADY; -wire _guard46 = _guard44 & _guard45; -wire _guard47 = ~_guard46; -wire _guard48 = w_handshake_occurred_out; -wire _guard49 = ~_guard48; -wire _guard50 = _guard47 & _guard49; -wire _guard51 = service_write_transfer_go_out; -wire _guard52 = _guard50 & _guard51; -wire _guard53 = wvalid_out; -wire _guard54 = WREADY; -wire _guard55 = _guard53 & _guard54; -wire _guard56 = w_handshake_occurred_out; -wire _guard57 = _guard55 | _guard56; -wire _guard58 = service_write_transfer_go_out; -wire _guard59 = _guard57 & _guard58; -wire _guard60 = pd_out; -wire _guard61 = wrapper_early_reset_static_par_done_out; -wire _guard62 = _guard60 | _guard61; -wire _guard63 = ~_guard62; -wire _guard64 = par0_go_out; -wire _guard65 = _guard63 & _guard64; -wire _guard66 = max_transfers_out == curr_transfer_count_out; -wire _guard67 = wvalid_out; -wire _guard68 = WREADY; -wire _guard69 = _guard67 & _guard68; -wire _guard70 = _guard66 & _guard69; -wire _guard71 = service_write_transfer_go_out; -wire _guard72 = _guard70 & _guard71; -wire _guard73 = invoke1_go_out; -wire _guard74 = _guard72 | _guard73; -wire _guard75 = invoke1_go_out; -wire _guard76 = max_transfers_out == curr_transfer_count_out; -wire _guard77 = wvalid_out; -wire _guard78 = WREADY; -wire _guard79 = _guard77 & _guard78; -wire _guard80 = _guard76 & _guard79; -wire _guard81 = service_write_transfer_go_out; -wire _guard82 = _guard80 & _guard81; -wire _guard83 = early_reset_static_par_go_out; +wire _guard43 = early_reset_static_par_go_out; +wire _guard44 = service_write_transfer_go_out; +wire _guard45 = wvalid_out; +wire _guard46 = WREADY; +wire _guard47 = _guard45 & _guard46; +wire _guard48 = ~_guard47; +wire _guard49 = w_handshake_occurred_out; +wire _guard50 = ~_guard49; +wire _guard51 = _guard48 & _guard50; +wire _guard52 = service_write_transfer_go_out; +wire _guard53 = _guard51 & _guard52; +wire _guard54 = wvalid_out; +wire _guard55 = WREADY; +wire _guard56 = _guard54 & _guard55; +wire _guard57 = w_handshake_occurred_out; +wire _guard58 = _guard56 | _guard57; +wire _guard59 = service_write_transfer_go_out; +wire _guard60 = _guard58 & _guard59; +wire _guard61 = pd_out; +wire _guard62 = wrapper_early_reset_static_par_done_out; +wire _guard63 = _guard61 | _guard62; +wire _guard64 = ~_guard63; +wire _guard65 = par0_go_out; +wire _guard66 = _guard64 & _guard65; +wire _guard67 = max_transfers_out == curr_transfer_count_out; +wire _guard68 = wvalid_out; +wire _guard69 = WREADY; +wire _guard70 = _guard68 & _guard69; +wire _guard71 = _guard67 & _guard70; +wire _guard72 = service_write_transfer_go_out; +wire _guard73 = _guard71 & _guard72; +wire _guard74 = invoke1_go_out; +wire _guard75 = _guard73 | _guard74; +wire _guard76 = invoke1_go_out; +wire _guard77 = max_transfers_out == curr_transfer_count_out; +wire _guard78 = wvalid_out; +wire _guard79 = WREADY; +wire _guard80 = _guard78 & _guard79; +wire _guard81 = _guard77 & _guard80; +wire _guard82 = service_write_transfer_go_out; +wire _guard83 = _guard81 & _guard82; wire _guard84 = early_reset_static_par_go_out; -wire _guard85 = pd_out; -wire _guard86 = pd0_out; -wire _guard87 = _guard85 & _guard86; -wire _guard88 = pd1_out; -wire _guard89 = _guard87 & _guard88; -wire _guard90 = curr_addr_axi_incr_group_done_out; -wire _guard91 = par0_go_out; -wire _guard92 = _guard90 & _guard91; -wire _guard93 = _guard89 | _guard92; -wire _guard94 = curr_addr_axi_incr_group_done_out; -wire _guard95 = par0_go_out; -wire _guard96 = _guard94 & _guard95; -wire _guard97 = pd_out; -wire _guard98 = pd0_out; -wire _guard99 = _guard97 & _guard98; -wire _guard100 = pd1_out; -wire _guard101 = _guard99 & _guard100; -wire _guard102 = fsm_out == 1'd0; -wire _guard103 = signal_reg_out; -wire _guard104 = _guard102 & _guard103; -wire _guard105 = invoke0_done_out; -wire _guard106 = ~_guard105; -wire _guard107 = fsm0_out == 3'd0; -wire _guard108 = _guard106 & _guard107; -wire _guard109 = tdcc_go_out; -wire _guard110 = _guard108 & _guard109; -wire _guard111 = fsm0_out == 3'd5; -wire _guard112 = fsm0_out == 3'd0; -wire _guard113 = invoke0_done_out; -wire _guard114 = _guard112 & _guard113; -wire _guard115 = tdcc_go_out; -wire _guard116 = _guard114 & _guard115; -wire _guard117 = _guard111 | _guard116; -wire _guard118 = fsm0_out == 3'd1; -wire _guard119 = invoke1_done_out; -wire _guard120 = n_finished_last_transfer_out; -wire _guard121 = _guard119 & _guard120; -wire _guard122 = _guard118 & _guard121; -wire _guard123 = tdcc_go_out; -wire _guard124 = _guard122 & _guard123; -wire _guard125 = _guard117 | _guard124; -wire _guard126 = fsm0_out == 3'd4; -wire _guard127 = par0_done_out; -wire _guard128 = n_finished_last_transfer_out; -wire _guard129 = _guard127 & _guard128; -wire _guard130 = _guard126 & _guard129; -wire _guard131 = tdcc_go_out; -wire _guard132 = _guard130 & _guard131; -wire _guard133 = _guard125 | _guard132; -wire _guard134 = fsm0_out == 3'd2; -wire _guard135 = invoke2_done_out; -wire _guard136 = _guard134 & _guard135; -wire _guard137 = tdcc_go_out; -wire _guard138 = _guard136 & _guard137; -wire _guard139 = _guard133 | _guard138; -wire _guard140 = fsm0_out == 3'd3; -wire _guard141 = service_write_transfer_done_out; -wire _guard142 = _guard140 & _guard141; -wire _guard143 = tdcc_go_out; -wire _guard144 = _guard142 & _guard143; -wire _guard145 = _guard139 | _guard144; -wire _guard146 = fsm0_out == 3'd1; -wire _guard147 = invoke1_done_out; -wire _guard148 = n_finished_last_transfer_out; -wire _guard149 = ~_guard148; -wire _guard150 = _guard147 & _guard149; -wire _guard151 = _guard146 & _guard150; -wire _guard152 = tdcc_go_out; -wire _guard153 = _guard151 & _guard152; -wire _guard154 = _guard145 | _guard153; -wire _guard155 = fsm0_out == 3'd4; -wire _guard156 = par0_done_out; -wire _guard157 = n_finished_last_transfer_out; -wire _guard158 = ~_guard157; -wire _guard159 = _guard156 & _guard158; -wire _guard160 = _guard155 & _guard159; -wire _guard161 = tdcc_go_out; -wire _guard162 = _guard160 & _guard161; -wire _guard163 = _guard154 | _guard162; -wire _guard164 = fsm0_out == 3'd1; -wire _guard165 = invoke1_done_out; -wire _guard166 = n_finished_last_transfer_out; -wire _guard167 = ~_guard166; -wire _guard168 = _guard165 & _guard167; -wire _guard169 = _guard164 & _guard168; -wire _guard170 = tdcc_go_out; -wire _guard171 = _guard169 & _guard170; -wire _guard172 = fsm0_out == 3'd4; -wire _guard173 = par0_done_out; -wire _guard174 = n_finished_last_transfer_out; -wire _guard175 = ~_guard174; -wire _guard176 = _guard173 & _guard175; -wire _guard177 = _guard172 & _guard176; -wire _guard178 = tdcc_go_out; -wire _guard179 = _guard177 & _guard178; -wire _guard180 = _guard171 | _guard179; -wire _guard181 = fsm0_out == 3'd1; -wire _guard182 = invoke1_done_out; -wire _guard183 = n_finished_last_transfer_out; -wire _guard184 = _guard182 & _guard183; -wire _guard185 = _guard181 & _guard184; -wire _guard186 = tdcc_go_out; -wire _guard187 = _guard185 & _guard186; -wire _guard188 = fsm0_out == 3'd4; -wire _guard189 = par0_done_out; -wire _guard190 = n_finished_last_transfer_out; -wire _guard191 = _guard189 & _guard190; -wire _guard192 = _guard188 & _guard191; -wire _guard193 = tdcc_go_out; -wire _guard194 = _guard192 & _guard193; -wire _guard195 = _guard187 | _guard194; -wire _guard196 = fsm0_out == 3'd3; -wire _guard197 = service_write_transfer_done_out; -wire _guard198 = _guard196 & _guard197; -wire _guard199 = tdcc_go_out; -wire _guard200 = _guard198 & _guard199; -wire _guard201 = fsm0_out == 3'd0; -wire _guard202 = invoke0_done_out; -wire _guard203 = _guard201 & _guard202; -wire _guard204 = tdcc_go_out; -wire _guard205 = _guard203 & _guard204; -wire _guard206 = fsm0_out == 3'd5; -wire _guard207 = fsm0_out == 3'd2; -wire _guard208 = invoke2_done_out; -wire _guard209 = _guard207 & _guard208; -wire _guard210 = tdcc_go_out; -wire _guard211 = _guard209 & _guard210; -wire _guard212 = curr_addr_axi_incr_group_go_out; +wire _guard85 = early_reset_static_par_go_out; +wire _guard86 = pd_out; +wire _guard87 = pd0_out; +wire _guard88 = _guard86 & _guard87; +wire _guard89 = pd1_out; +wire _guard90 = _guard88 & _guard89; +wire _guard91 = curr_addr_axi_incr_group_done_out; +wire _guard92 = par0_go_out; +wire _guard93 = _guard91 & _guard92; +wire _guard94 = _guard90 | _guard93; +wire _guard95 = curr_addr_axi_incr_group_done_out; +wire _guard96 = par0_go_out; +wire _guard97 = _guard95 & _guard96; +wire _guard98 = pd_out; +wire _guard99 = pd0_out; +wire _guard100 = _guard98 & _guard99; +wire _guard101 = pd1_out; +wire _guard102 = _guard100 & _guard101; +wire _guard103 = fsm_out == 1'd0; +wire _guard104 = signal_reg_out; +wire _guard105 = _guard103 & _guard104; +wire _guard106 = invoke0_done_out; +wire _guard107 = ~_guard106; +wire _guard108 = fsm0_out == 3'd0; +wire _guard109 = _guard107 & _guard108; +wire _guard110 = tdcc_go_out; +wire _guard111 = _guard109 & _guard110; +wire _guard112 = fsm0_out == 3'd5; +wire _guard113 = fsm0_out == 3'd0; +wire _guard114 = invoke0_done_out; +wire _guard115 = _guard113 & _guard114; +wire _guard116 = tdcc_go_out; +wire _guard117 = _guard115 & _guard116; +wire _guard118 = _guard112 | _guard117; +wire _guard119 = fsm0_out == 3'd1; +wire _guard120 = invoke1_done_out; +wire _guard121 = n_finished_last_transfer_out; +wire _guard122 = _guard120 & _guard121; +wire _guard123 = _guard119 & _guard122; +wire _guard124 = tdcc_go_out; +wire _guard125 = _guard123 & _guard124; +wire _guard126 = _guard118 | _guard125; +wire _guard127 = fsm0_out == 3'd4; +wire _guard128 = par0_done_out; +wire _guard129 = n_finished_last_transfer_out; +wire _guard130 = _guard128 & _guard129; +wire _guard131 = _guard127 & _guard130; +wire _guard132 = tdcc_go_out; +wire _guard133 = _guard131 & _guard132; +wire _guard134 = _guard126 | _guard133; +wire _guard135 = fsm0_out == 3'd2; +wire _guard136 = invoke2_done_out; +wire _guard137 = _guard135 & _guard136; +wire _guard138 = tdcc_go_out; +wire _guard139 = _guard137 & _guard138; +wire _guard140 = _guard134 | _guard139; +wire _guard141 = fsm0_out == 3'd3; +wire _guard142 = service_write_transfer_done_out; +wire _guard143 = _guard141 & _guard142; +wire _guard144 = tdcc_go_out; +wire _guard145 = _guard143 & _guard144; +wire _guard146 = _guard140 | _guard145; +wire _guard147 = fsm0_out == 3'd1; +wire _guard148 = invoke1_done_out; +wire _guard149 = n_finished_last_transfer_out; +wire _guard150 = ~_guard149; +wire _guard151 = _guard148 & _guard150; +wire _guard152 = _guard147 & _guard151; +wire _guard153 = tdcc_go_out; +wire _guard154 = _guard152 & _guard153; +wire _guard155 = _guard146 | _guard154; +wire _guard156 = fsm0_out == 3'd4; +wire _guard157 = par0_done_out; +wire _guard158 = n_finished_last_transfer_out; +wire _guard159 = ~_guard158; +wire _guard160 = _guard157 & _guard159; +wire _guard161 = _guard156 & _guard160; +wire _guard162 = tdcc_go_out; +wire _guard163 = _guard161 & _guard162; +wire _guard164 = _guard155 | _guard163; +wire _guard165 = fsm0_out == 3'd1; +wire _guard166 = invoke1_done_out; +wire _guard167 = n_finished_last_transfer_out; +wire _guard168 = ~_guard167; +wire _guard169 = _guard166 & _guard168; +wire _guard170 = _guard165 & _guard169; +wire _guard171 = tdcc_go_out; +wire _guard172 = _guard170 & _guard171; +wire _guard173 = fsm0_out == 3'd4; +wire _guard174 = par0_done_out; +wire _guard175 = n_finished_last_transfer_out; +wire _guard176 = ~_guard175; +wire _guard177 = _guard174 & _guard176; +wire _guard178 = _guard173 & _guard177; +wire _guard179 = tdcc_go_out; +wire _guard180 = _guard178 & _guard179; +wire _guard181 = _guard172 | _guard180; +wire _guard182 = fsm0_out == 3'd1; +wire _guard183 = invoke1_done_out; +wire _guard184 = n_finished_last_transfer_out; +wire _guard185 = _guard183 & _guard184; +wire _guard186 = _guard182 & _guard185; +wire _guard187 = tdcc_go_out; +wire _guard188 = _guard186 & _guard187; +wire _guard189 = fsm0_out == 3'd4; +wire _guard190 = par0_done_out; +wire _guard191 = n_finished_last_transfer_out; +wire _guard192 = _guard190 & _guard191; +wire _guard193 = _guard189 & _guard192; +wire _guard194 = tdcc_go_out; +wire _guard195 = _guard193 & _guard194; +wire _guard196 = _guard188 | _guard195; +wire _guard197 = fsm0_out == 3'd3; +wire _guard198 = service_write_transfer_done_out; +wire _guard199 = _guard197 & _guard198; +wire _guard200 = tdcc_go_out; +wire _guard201 = _guard199 & _guard200; +wire _guard202 = fsm0_out == 3'd0; +wire _guard203 = invoke0_done_out; +wire _guard204 = _guard202 & _guard203; +wire _guard205 = tdcc_go_out; +wire _guard206 = _guard204 & _guard205; +wire _guard207 = fsm0_out == 3'd5; +wire _guard208 = fsm0_out == 3'd2; +wire _guard209 = invoke2_done_out; +wire _guard210 = _guard208 & _guard209; +wire _guard211 = tdcc_go_out; +wire _guard212 = _guard210 & _guard211; wire _guard213 = curr_addr_axi_incr_group_go_out; -wire _guard214 = pd_out; -wire _guard215 = pd0_out; -wire _guard216 = _guard214 & _guard215; -wire _guard217 = pd1_out; -wire _guard218 = _guard216 & _guard217; -wire _guard219 = service_write_transfer_done_out; -wire _guard220 = ~_guard219; -wire _guard221 = fsm0_out == 3'd3; -wire _guard222 = _guard220 & _guard221; -wire _guard223 = tdcc_go_out; -wire _guard224 = _guard222 & _guard223; -wire _guard225 = invoke1_done_out; -wire _guard226 = ~_guard225; -wire _guard227 = fsm0_out == 3'd1; -wire _guard228 = _guard226 & _guard227; -wire _guard229 = tdcc_go_out; -wire _guard230 = _guard228 & _guard229; -wire _guard231 = service_write_transfer_go_out; -wire _guard232 = invoke2_go_out; -wire _guard233 = _guard231 | _guard232; -wire _guard234 = wvalid_out; -wire _guard235 = WREADY; -wire _guard236 = _guard234 & _guard235; -wire _guard237 = service_write_transfer_go_out; -wire _guard238 = _guard236 & _guard237; -wire _guard239 = wvalid_out; -wire _guard240 = WREADY; -wire _guard241 = _guard239 & _guard240; -wire _guard242 = ~_guard241; -wire _guard243 = service_write_transfer_go_out; -wire _guard244 = _guard242 & _guard243; -wire _guard245 = invoke2_go_out; -wire _guard246 = _guard244 | _guard245; -wire _guard247 = fsm_out == 1'd0; -wire _guard248 = signal_reg_out; -wire _guard249 = _guard247 & _guard248; -wire _guard250 = fsm_out == 1'd0; -wire _guard251 = signal_reg_out; -wire _guard252 = ~_guard251; -wire _guard253 = _guard250 & _guard252; -wire _guard254 = wrapper_early_reset_static_par_go_out; -wire _guard255 = _guard253 & _guard254; -wire _guard256 = _guard249 | _guard255; -wire _guard257 = fsm_out == 1'd0; -wire _guard258 = signal_reg_out; -wire _guard259 = ~_guard258; -wire _guard260 = _guard257 & _guard259; -wire _guard261 = wrapper_early_reset_static_par_go_out; -wire _guard262 = _guard260 & _guard261; -wire _guard263 = fsm_out == 1'd0; -wire _guard264 = signal_reg_out; -wire _guard265 = _guard263 & _guard264; -wire _guard266 = pd_out; -wire _guard267 = pd0_out; -wire _guard268 = _guard266 & _guard267; -wire _guard269 = pd1_out; -wire _guard270 = _guard268 & _guard269; -wire _guard271 = wrapper_early_reset_static_par_done_out; -wire _guard272 = par0_go_out; -wire _guard273 = _guard271 & _guard272; -wire _guard274 = _guard270 | _guard273; -wire _guard275 = wrapper_early_reset_static_par_done_out; -wire _guard276 = par0_go_out; -wire _guard277 = _guard275 & _guard276; -wire _guard278 = pd_out; -wire _guard279 = pd0_out; -wire _guard280 = _guard278 & _guard279; -wire _guard281 = pd1_out; -wire _guard282 = _guard280 & _guard281; -wire _guard283 = pd_out; -wire _guard284 = pd0_out; -wire _guard285 = _guard283 & _guard284; -wire _guard286 = pd1_out; -wire _guard287 = _guard285 & _guard286; -wire _guard288 = curr_addr_internal_mem_incr_group_done_out; -wire _guard289 = par0_go_out; -wire _guard290 = _guard288 & _guard289; -wire _guard291 = _guard287 | _guard290; -wire _guard292 = curr_addr_internal_mem_incr_group_done_out; -wire _guard293 = par0_go_out; -wire _guard294 = _guard292 & _guard293; -wire _guard295 = pd_out; -wire _guard296 = pd0_out; -wire _guard297 = _guard295 & _guard296; -wire _guard298 = pd1_out; -wire _guard299 = _guard297 & _guard298; -wire _guard300 = w_handshake_occurred_out; -wire _guard301 = ~_guard300; -wire _guard302 = service_write_transfer_go_out; -wire _guard303 = _guard301 & _guard302; -wire _guard304 = early_reset_static_par_go_out; -wire _guard305 = _guard303 | _guard304; -wire _guard306 = wvalid_out; -wire _guard307 = WREADY; -wire _guard308 = _guard306 & _guard307; -wire _guard309 = service_write_transfer_go_out; -wire _guard310 = _guard308 & _guard309; -wire _guard311 = wvalid_out; -wire _guard312 = WREADY; -wire _guard313 = _guard311 & _guard312; -wire _guard314 = ~_guard313; -wire _guard315 = service_write_transfer_go_out; -wire _guard316 = _guard314 & _guard315; -wire _guard317 = early_reset_static_par_go_out; -wire _guard318 = _guard316 | _guard317; -wire _guard319 = fsm0_out == 3'd5; -wire _guard320 = wrapper_early_reset_static_par_go_out; -wire _guard321 = pd0_out; -wire _guard322 = curr_addr_internal_mem_incr_group_done_out; -wire _guard323 = _guard321 | _guard322; -wire _guard324 = ~_guard323; -wire _guard325 = par0_go_out; -wire _guard326 = _guard324 & _guard325; -wire _guard327 = par0_done_out; -wire _guard328 = ~_guard327; -wire _guard329 = fsm0_out == 3'd4; -wire _guard330 = _guard328 & _guard329; -wire _guard331 = tdcc_go_out; -wire _guard332 = _guard330 & _guard331; +wire _guard214 = curr_addr_axi_incr_group_go_out; +wire _guard215 = pd_out; +wire _guard216 = pd0_out; +wire _guard217 = _guard215 & _guard216; +wire _guard218 = pd1_out; +wire _guard219 = _guard217 & _guard218; +wire _guard220 = service_write_transfer_done_out; +wire _guard221 = ~_guard220; +wire _guard222 = fsm0_out == 3'd3; +wire _guard223 = _guard221 & _guard222; +wire _guard224 = tdcc_go_out; +wire _guard225 = _guard223 & _guard224; +wire _guard226 = invoke1_done_out; +wire _guard227 = ~_guard226; +wire _guard228 = fsm0_out == 3'd1; +wire _guard229 = _guard227 & _guard228; +wire _guard230 = tdcc_go_out; +wire _guard231 = _guard229 & _guard230; +wire _guard232 = service_write_transfer_go_out; +wire _guard233 = invoke2_go_out; +wire _guard234 = _guard232 | _guard233; +wire _guard235 = wvalid_out; +wire _guard236 = WREADY; +wire _guard237 = _guard235 & _guard236; +wire _guard238 = service_write_transfer_go_out; +wire _guard239 = _guard237 & _guard238; +wire _guard240 = wvalid_out; +wire _guard241 = WREADY; +wire _guard242 = _guard240 & _guard241; +wire _guard243 = ~_guard242; +wire _guard244 = service_write_transfer_go_out; +wire _guard245 = _guard243 & _guard244; +wire _guard246 = invoke2_go_out; +wire _guard247 = _guard245 | _guard246; +wire _guard248 = fsm_out == 1'd0; +wire _guard249 = signal_reg_out; +wire _guard250 = _guard248 & _guard249; +wire _guard251 = fsm_out == 1'd0; +wire _guard252 = signal_reg_out; +wire _guard253 = ~_guard252; +wire _guard254 = _guard251 & _guard253; +wire _guard255 = wrapper_early_reset_static_par_go_out; +wire _guard256 = _guard254 & _guard255; +wire _guard257 = _guard250 | _guard256; +wire _guard258 = fsm_out == 1'd0; +wire _guard259 = signal_reg_out; +wire _guard260 = ~_guard259; +wire _guard261 = _guard258 & _guard260; +wire _guard262 = wrapper_early_reset_static_par_go_out; +wire _guard263 = _guard261 & _guard262; +wire _guard264 = fsm_out == 1'd0; +wire _guard265 = signal_reg_out; +wire _guard266 = _guard264 & _guard265; +wire _guard267 = pd_out; +wire _guard268 = pd0_out; +wire _guard269 = _guard267 & _guard268; +wire _guard270 = pd1_out; +wire _guard271 = _guard269 & _guard270; +wire _guard272 = wrapper_early_reset_static_par_done_out; +wire _guard273 = par0_go_out; +wire _guard274 = _guard272 & _guard273; +wire _guard275 = _guard271 | _guard274; +wire _guard276 = wrapper_early_reset_static_par_done_out; +wire _guard277 = par0_go_out; +wire _guard278 = _guard276 & _guard277; +wire _guard279 = pd_out; +wire _guard280 = pd0_out; +wire _guard281 = _guard279 & _guard280; +wire _guard282 = pd1_out; +wire _guard283 = _guard281 & _guard282; +wire _guard284 = pd_out; +wire _guard285 = pd0_out; +wire _guard286 = _guard284 & _guard285; +wire _guard287 = pd1_out; +wire _guard288 = _guard286 & _guard287; +wire _guard289 = curr_addr_internal_mem_incr_group_done_out; +wire _guard290 = par0_go_out; +wire _guard291 = _guard289 & _guard290; +wire _guard292 = _guard288 | _guard291; +wire _guard293 = curr_addr_internal_mem_incr_group_done_out; +wire _guard294 = par0_go_out; +wire _guard295 = _guard293 & _guard294; +wire _guard296 = pd_out; +wire _guard297 = pd0_out; +wire _guard298 = _guard296 & _guard297; +wire _guard299 = pd1_out; +wire _guard300 = _guard298 & _guard299; +wire _guard301 = w_handshake_occurred_out; +wire _guard302 = ~_guard301; +wire _guard303 = service_write_transfer_go_out; +wire _guard304 = _guard302 & _guard303; +wire _guard305 = early_reset_static_par_go_out; +wire _guard306 = _guard304 | _guard305; +wire _guard307 = wvalid_out; +wire _guard308 = WREADY; +wire _guard309 = _guard307 & _guard308; +wire _guard310 = service_write_transfer_go_out; +wire _guard311 = _guard309 & _guard310; +wire _guard312 = wvalid_out; +wire _guard313 = WREADY; +wire _guard314 = _guard312 & _guard313; +wire _guard315 = ~_guard314; +wire _guard316 = service_write_transfer_go_out; +wire _guard317 = _guard315 & _guard316; +wire _guard318 = early_reset_static_par_go_out; +wire _guard319 = _guard317 | _guard318; +wire _guard320 = fsm0_out == 3'd5; +wire _guard321 = wrapper_early_reset_static_par_go_out; +wire _guard322 = pd0_out; +wire _guard323 = curr_addr_internal_mem_incr_group_done_out; +wire _guard324 = _guard322 | _guard323; +wire _guard325 = ~_guard324; +wire _guard326 = par0_go_out; +wire _guard327 = _guard325 & _guard326; +wire _guard328 = par0_done_out; +wire _guard329 = ~_guard328; +wire _guard330 = fsm0_out == 3'd4; +wire _guard331 = _guard329 & _guard330; +wire _guard332 = tdcc_go_out; +wire _guard333 = _guard331 & _guard332; assign curr_addr_axi_incr_group_go_in = _guard6; assign curr_addr_internal_mem_incr_left = curr_addr_internal_mem_out; assign curr_addr_internal_mem_incr_right = 3'd1; @@ -4407,127 +4427,123 @@ assign WVALID = wvalid_out; assign WDATA = _guard12 ? mem_ref_read_data : 32'd0; -assign curr_addr_axi_in = - _guard13 ? curr_addr_axi_incr_out : - 64'd0; +assign curr_addr_axi_in = curr_addr_axi_incr_out; assign curr_addr_internal_mem_write_en = _guard16; assign mem_ref_write_en = 1'd0; assign WLAST = _guard19 ? 1'd1 : _guard22 ? 1'd0 : 1'd0; -assign mem_ref_addr0 = - _guard23 ? curr_addr_internal_mem_out : - 3'd0; +assign mem_ref_addr0 = curr_addr_internal_mem_out; assign curr_addr_internal_mem_in = _guard24 ? curr_addr_internal_mem_incr_out : _guard25 ? 3'd0 : - 3'd0; + 'x; assign fsm_write_en = _guard26; assign fsm_clk = clk; assign fsm_reset = reset; assign fsm_in = - _guard29 ? adder_out : - _guard32 ? 1'd0 : + _guard30 ? adder_out : + _guard33 ? 1'd0 : 1'd0; assign adder_left = - _guard33 ? fsm_out : + _guard34 ? fsm_out : 1'd0; -assign adder_right = _guard34; -assign invoke2_go_in = _guard40; -assign curr_transfer_count_write_en = _guard41; +assign adder_right = _guard35; +assign invoke2_go_in = _guard41; +assign curr_transfer_count_write_en = _guard42; assign curr_transfer_count_clk = clk; assign curr_transfer_count_reset = reset; assign curr_transfer_count_in = curr_transfer_count_incr_out; -assign wvalid_write_en = _guard43; +assign wvalid_write_en = _guard44; assign wvalid_clk = clk; assign wvalid_reset = reset; assign wvalid_in = - _guard52 ? 1'd1 : - _guard59 ? 1'd0 : + _guard53 ? 1'd1 : + _guard60 ? 1'd0 : 'x; -assign wrapper_early_reset_static_par_go_in = _guard65; -assign n_finished_last_transfer_write_en = _guard74; +assign wrapper_early_reset_static_par_go_in = _guard66; +assign n_finished_last_transfer_write_en = _guard75; assign n_finished_last_transfer_clk = clk; assign n_finished_last_transfer_reset = reset; assign n_finished_last_transfer_in = - _guard75 ? 1'd1 : - _guard82 ? 1'd0 : + _guard76 ? 1'd1 : + _guard83 ? 1'd0 : 'x; assign curr_transfer_count_incr_left = curr_transfer_count_out; assign curr_transfer_count_incr_right = 8'd1; -assign pd1_write_en = _guard93; +assign pd1_write_en = _guard94; assign pd1_clk = clk; assign pd1_reset = reset; assign pd1_in = - _guard96 ? 1'd1 : - _guard101 ? 1'd0 : + _guard97 ? 1'd1 : + _guard102 ? 1'd0 : 1'd0; -assign wrapper_early_reset_static_par_done_in = _guard104; +assign wrapper_early_reset_static_par_done_in = _guard105; assign tdcc_go_in = go; -assign invoke0_go_in = _guard110; +assign invoke0_go_in = _guard111; assign service_write_transfer_done_in = bt_reg_out; -assign fsm0_write_en = _guard163; +assign fsm0_write_en = _guard164; assign fsm0_clk = clk; assign fsm0_reset = reset; assign fsm0_in = - _guard180 ? 3'd5 : - _guard195 ? 3'd2 : - _guard200 ? 3'd4 : - _guard205 ? 3'd1 : - _guard206 ? 3'd0 : - _guard211 ? 3'd3 : + _guard181 ? 3'd5 : + _guard196 ? 3'd2 : + _guard201 ? 3'd4 : + _guard206 ? 3'd1 : + _guard207 ? 3'd0 : + _guard212 ? 3'd3 : 3'd0; assign curr_addr_axi_incr_left = curr_addr_axi_out; assign curr_addr_axi_incr_right = 64'd4; assign curr_addr_internal_mem_incr_group_done_in = curr_addr_internal_mem_done; -assign par0_done_in = _guard218; -assign service_write_transfer_go_in = _guard224; +assign par0_done_in = _guard219; +assign service_write_transfer_go_in = _guard225; assign early_reset_static_par_done_in = ud_out; assign invoke0_done_in = curr_addr_internal_mem_done; -assign invoke1_go_in = _guard230; -assign bt_reg_write_en = _guard233; +assign invoke1_go_in = _guard231; +assign bt_reg_write_en = _guard234; assign bt_reg_clk = clk; assign bt_reg_reset = reset; assign bt_reg_in = - _guard238 ? 1'd1 : - _guard246 ? 1'd0 : + _guard239 ? 1'd1 : + _guard247 ? 1'd0 : 'x; -assign signal_reg_write_en = _guard256; +assign signal_reg_write_en = _guard257; assign signal_reg_clk = clk; assign signal_reg_reset = reset; assign signal_reg_in = - _guard262 ? 1'd1 : - _guard265 ? 1'd0 : + _guard263 ? 1'd1 : + _guard266 ? 1'd0 : 1'd0; assign invoke2_done_in = bt_reg_done; -assign pd_write_en = _guard274; +assign pd_write_en = _guard275; assign pd_clk = clk; assign pd_reset = reset; assign pd_in = - _guard277 ? 1'd1 : - _guard282 ? 1'd0 : + _guard278 ? 1'd1 : + _guard283 ? 1'd0 : 1'd0; -assign pd0_write_en = _guard291; +assign pd0_write_en = _guard292; assign pd0_clk = clk; assign pd0_reset = reset; assign pd0_in = - _guard294 ? 1'd1 : - _guard299 ? 1'd0 : + _guard295 ? 1'd1 : + _guard300 ? 1'd0 : 1'd0; -assign w_handshake_occurred_write_en = _guard305; +assign w_handshake_occurred_write_en = _guard306; assign w_handshake_occurred_clk = clk; assign w_handshake_occurred_reset = reset; assign w_handshake_occurred_in = - _guard310 ? 1'd1 : - _guard318 ? 1'd0 : + _guard311 ? 1'd1 : + _guard319 ? 1'd0 : 'x; -assign tdcc_done_in = _guard319; -assign early_reset_static_par_go_in = _guard320; -assign curr_addr_internal_mem_incr_group_go_in = _guard326; +assign tdcc_done_in = _guard320; +assign early_reset_static_par_go_in = _guard321; +assign curr_addr_internal_mem_incr_group_go_in = _guard327; assign invoke1_done_in = n_finished_last_transfer_done; assign curr_addr_axi_incr_group_done_in = curr_addr_axi_done; -assign par0_go_in = _guard332; +assign par0_go_in = _guard333; // COMPONENT END: m_write_channel endmodule module m_bresp_channel( @@ -4842,24 +4858,24 @@ logic main_compute_go; logic main_compute_clk; logic main_compute_reset; logic main_compute_done; -logic main_compute_A0_done; logic [31:0] main_compute_A0_read_data; logic [31:0] main_compute_B0_read_data; +logic main_compute_B0_write_en; +logic main_compute_Sum0_done; +logic [31:0] main_compute_A0_write_data; logic [2:0] main_compute_Sum0_addr0; +logic main_compute_A0_write_en; +logic [2:0] main_compute_B0_addr0; +logic main_compute_B0_content_en; +logic main_compute_B0_done; logic [2:0] main_compute_A0_addr0; +logic main_compute_A0_done; logic main_compute_Sum0_write_en; -logic [31:0] main_compute_Sum0_write_data; -logic main_compute_A0_content_en; logic [31:0] main_compute_B0_write_data; -logic main_compute_B0_done; -logic [31:0] main_compute_Sum0_read_data; -logic [31:0] main_compute_A0_write_data; -logic main_compute_A0_write_en; -logic main_compute_B0_write_en; -logic main_compute_B0_content_en; logic main_compute_Sum0_content_en; -logic main_compute_Sum0_done; -logic [2:0] main_compute_B0_addr0; +logic [31:0] main_compute_Sum0_write_data; +logic [31:0] main_compute_Sum0_read_data; +logic main_compute_A0_content_en; logic [2:0] curr_addr_internal_mem_A0_in; logic curr_addr_internal_mem_A0_write_en; logic curr_addr_internal_mem_A0_clk; @@ -4884,9 +4900,9 @@ logic ar_channel_A0_go; logic ar_channel_A0_clk; logic ar_channel_A0_reset; logic ar_channel_A0_done; +logic [63:0] ar_channel_A0_curr_addr_axi_out; logic ar_channel_A0_curr_addr_axi_write_en; logic [63:0] ar_channel_A0_curr_addr_axi_in; -logic [63:0] ar_channel_A0_curr_addr_axi_out; logic ar_channel_A0_curr_addr_axi_done; logic read_channel_A0_ARESETn; logic read_channel_A0_RVALID; @@ -4898,20 +4914,20 @@ logic read_channel_A0_go; logic read_channel_A0_clk; logic read_channel_A0_reset; logic read_channel_A0_done; +logic read_channel_A0_mem_ref_content_en; +logic [2:0] read_channel_A0_curr_addr_internal_mem_out; logic [63:0] read_channel_A0_curr_addr_axi_out; -logic read_channel_A0_curr_addr_axi_done; -logic [31:0] read_channel_A0_mem_ref_read_data; +logic read_channel_A0_curr_addr_axi_write_en; +logic [63:0] read_channel_A0_curr_addr_axi_in; logic read_channel_A0_curr_addr_internal_mem_write_en; -logic read_channel_A0_mem_ref_done; logic [31:0] read_channel_A0_mem_ref_write_data; logic read_channel_A0_mem_ref_write_en; -logic read_channel_A0_curr_addr_axi_write_en; -logic read_channel_A0_mem_ref_content_en; -logic [2:0] read_channel_A0_curr_addr_internal_mem_out; +logic [31:0] read_channel_A0_mem_ref_read_data; +logic read_channel_A0_mem_ref_done; logic [2:0] read_channel_A0_mem_ref_addr0; -logic read_channel_A0_curr_addr_internal_mem_done; logic [2:0] read_channel_A0_curr_addr_internal_mem_in; -logic [63:0] read_channel_A0_curr_addr_axi_in; +logic read_channel_A0_curr_addr_internal_mem_done; +logic read_channel_A0_curr_addr_axi_done; logic internal_mem_A0_clk; logic internal_mem_A0_reset; logic [2:0] internal_mem_A0_addr0; @@ -4938,14 +4954,14 @@ logic aw_channel_A0_go; logic aw_channel_A0_clk; logic aw_channel_A0_reset; logic aw_channel_A0_done; -logic [63:0] aw_channel_A0_curr_addr_axi_in; +logic [63:0] aw_channel_A0_curr_addr_axi_out; +logic [7:0] aw_channel_A0_max_transfers_out; logic aw_channel_A0_curr_addr_axi_write_en; +logic [63:0] aw_channel_A0_curr_addr_axi_in; +logic aw_channel_A0_max_transfers_done; logic [7:0] aw_channel_A0_max_transfers_in; -logic [63:0] aw_channel_A0_curr_addr_axi_out; -logic aw_channel_A0_curr_addr_axi_done; logic aw_channel_A0_max_transfers_write_en; -logic aw_channel_A0_max_transfers_done; -logic [7:0] aw_channel_A0_max_transfers_out; +logic aw_channel_A0_curr_addr_axi_done; logic write_channel_A0_ARESETn; logic write_channel_A0_WREADY; logic write_channel_A0_WVALID; @@ -4955,24 +4971,24 @@ logic write_channel_A0_go; logic write_channel_A0_clk; logic write_channel_A0_reset; logic write_channel_A0_done; -logic write_channel_A0_mem_ref_write_en; -logic write_channel_A0_mem_ref_done; -logic [31:0] write_channel_A0_mem_ref_read_data; -logic write_channel_A0_curr_addr_internal_mem_write_en; +logic write_channel_A0_mem_ref_content_en; logic [2:0] write_channel_A0_curr_addr_internal_mem_out; -logic [7:0] write_channel_A0_max_transfers_in; -logic write_channel_A0_max_transfers_write_en; +logic [63:0] write_channel_A0_curr_addr_axi_out; +logic [7:0] write_channel_A0_max_transfers_out; +logic write_channel_A0_curr_addr_axi_write_en; logic [63:0] write_channel_A0_curr_addr_axi_in; +logic write_channel_A0_max_transfers_done; +logic write_channel_A0_curr_addr_internal_mem_write_en; logic [31:0] write_channel_A0_mem_ref_write_data; +logic write_channel_A0_mem_ref_write_en; +logic [31:0] write_channel_A0_mem_ref_read_data; +logic [7:0] write_channel_A0_max_transfers_in; +logic write_channel_A0_mem_ref_done; logic [2:0] write_channel_A0_mem_ref_addr0; logic [2:0] write_channel_A0_curr_addr_internal_mem_in; +logic write_channel_A0_max_transfers_write_en; logic write_channel_A0_curr_addr_internal_mem_done; -logic write_channel_A0_curr_addr_axi_write_en; -logic write_channel_A0_max_transfers_done; -logic [63:0] write_channel_A0_curr_addr_axi_out; logic write_channel_A0_curr_addr_axi_done; -logic [7:0] write_channel_A0_max_transfers_out; -logic write_channel_A0_mem_ref_content_en; logic bresp_channel_A0_ARESETn; logic bresp_channel_A0_BVALID; logic bresp_channel_A0_BREADY; @@ -5004,9 +5020,9 @@ logic ar_channel_B0_go; logic ar_channel_B0_clk; logic ar_channel_B0_reset; logic ar_channel_B0_done; +logic [63:0] ar_channel_B0_curr_addr_axi_out; logic ar_channel_B0_curr_addr_axi_write_en; logic [63:0] ar_channel_B0_curr_addr_axi_in; -logic [63:0] ar_channel_B0_curr_addr_axi_out; logic ar_channel_B0_curr_addr_axi_done; logic read_channel_B0_ARESETn; logic read_channel_B0_RVALID; @@ -5018,20 +5034,20 @@ logic read_channel_B0_go; logic read_channel_B0_clk; logic read_channel_B0_reset; logic read_channel_B0_done; +logic read_channel_B0_mem_ref_content_en; +logic [2:0] read_channel_B0_curr_addr_internal_mem_out; logic [63:0] read_channel_B0_curr_addr_axi_out; -logic read_channel_B0_curr_addr_axi_done; -logic [31:0] read_channel_B0_mem_ref_read_data; +logic read_channel_B0_curr_addr_axi_write_en; +logic [63:0] read_channel_B0_curr_addr_axi_in; logic read_channel_B0_curr_addr_internal_mem_write_en; -logic read_channel_B0_mem_ref_done; logic [31:0] read_channel_B0_mem_ref_write_data; logic read_channel_B0_mem_ref_write_en; -logic read_channel_B0_curr_addr_axi_write_en; -logic read_channel_B0_mem_ref_content_en; -logic [2:0] read_channel_B0_curr_addr_internal_mem_out; +logic [31:0] read_channel_B0_mem_ref_read_data; +logic read_channel_B0_mem_ref_done; logic [2:0] read_channel_B0_mem_ref_addr0; -logic read_channel_B0_curr_addr_internal_mem_done; logic [2:0] read_channel_B0_curr_addr_internal_mem_in; -logic [63:0] read_channel_B0_curr_addr_axi_in; +logic read_channel_B0_curr_addr_internal_mem_done; +logic read_channel_B0_curr_addr_axi_done; logic internal_mem_B0_clk; logic internal_mem_B0_reset; logic [2:0] internal_mem_B0_addr0; @@ -5058,14 +5074,14 @@ logic aw_channel_B0_go; logic aw_channel_B0_clk; logic aw_channel_B0_reset; logic aw_channel_B0_done; -logic [63:0] aw_channel_B0_curr_addr_axi_in; +logic [63:0] aw_channel_B0_curr_addr_axi_out; +logic [7:0] aw_channel_B0_max_transfers_out; logic aw_channel_B0_curr_addr_axi_write_en; +logic [63:0] aw_channel_B0_curr_addr_axi_in; +logic aw_channel_B0_max_transfers_done; logic [7:0] aw_channel_B0_max_transfers_in; -logic [63:0] aw_channel_B0_curr_addr_axi_out; -logic aw_channel_B0_curr_addr_axi_done; logic aw_channel_B0_max_transfers_write_en; -logic aw_channel_B0_max_transfers_done; -logic [7:0] aw_channel_B0_max_transfers_out; +logic aw_channel_B0_curr_addr_axi_done; logic write_channel_B0_ARESETn; logic write_channel_B0_WREADY; logic write_channel_B0_WVALID; @@ -5075,24 +5091,24 @@ logic write_channel_B0_go; logic write_channel_B0_clk; logic write_channel_B0_reset; logic write_channel_B0_done; -logic write_channel_B0_mem_ref_write_en; -logic write_channel_B0_mem_ref_done; -logic [31:0] write_channel_B0_mem_ref_read_data; -logic write_channel_B0_curr_addr_internal_mem_write_en; +logic write_channel_B0_mem_ref_content_en; logic [2:0] write_channel_B0_curr_addr_internal_mem_out; -logic [7:0] write_channel_B0_max_transfers_in; -logic write_channel_B0_max_transfers_write_en; +logic [63:0] write_channel_B0_curr_addr_axi_out; +logic [7:0] write_channel_B0_max_transfers_out; +logic write_channel_B0_curr_addr_axi_write_en; logic [63:0] write_channel_B0_curr_addr_axi_in; +logic write_channel_B0_max_transfers_done; +logic write_channel_B0_curr_addr_internal_mem_write_en; logic [31:0] write_channel_B0_mem_ref_write_data; +logic write_channel_B0_mem_ref_write_en; +logic [31:0] write_channel_B0_mem_ref_read_data; +logic [7:0] write_channel_B0_max_transfers_in; +logic write_channel_B0_mem_ref_done; logic [2:0] write_channel_B0_mem_ref_addr0; logic [2:0] write_channel_B0_curr_addr_internal_mem_in; +logic write_channel_B0_max_transfers_write_en; logic write_channel_B0_curr_addr_internal_mem_done; -logic write_channel_B0_curr_addr_axi_write_en; -logic write_channel_B0_max_transfers_done; -logic [63:0] write_channel_B0_curr_addr_axi_out; logic write_channel_B0_curr_addr_axi_done; -logic [7:0] write_channel_B0_max_transfers_out; -logic write_channel_B0_mem_ref_content_en; logic bresp_channel_B0_ARESETn; logic bresp_channel_B0_BVALID; logic bresp_channel_B0_BREADY; @@ -5124,9 +5140,9 @@ logic ar_channel_Sum0_go; logic ar_channel_Sum0_clk; logic ar_channel_Sum0_reset; logic ar_channel_Sum0_done; +logic [63:0] ar_channel_Sum0_curr_addr_axi_out; logic ar_channel_Sum0_curr_addr_axi_write_en; logic [63:0] ar_channel_Sum0_curr_addr_axi_in; -logic [63:0] ar_channel_Sum0_curr_addr_axi_out; logic ar_channel_Sum0_curr_addr_axi_done; logic read_channel_Sum0_ARESETn; logic read_channel_Sum0_RVALID; @@ -5138,20 +5154,20 @@ logic read_channel_Sum0_go; logic read_channel_Sum0_clk; logic read_channel_Sum0_reset; logic read_channel_Sum0_done; +logic read_channel_Sum0_mem_ref_content_en; +logic [2:0] read_channel_Sum0_curr_addr_internal_mem_out; logic [63:0] read_channel_Sum0_curr_addr_axi_out; -logic read_channel_Sum0_curr_addr_axi_done; -logic [31:0] read_channel_Sum0_mem_ref_read_data; +logic read_channel_Sum0_curr_addr_axi_write_en; +logic [63:0] read_channel_Sum0_curr_addr_axi_in; logic read_channel_Sum0_curr_addr_internal_mem_write_en; -logic read_channel_Sum0_mem_ref_done; logic [31:0] read_channel_Sum0_mem_ref_write_data; logic read_channel_Sum0_mem_ref_write_en; -logic read_channel_Sum0_curr_addr_axi_write_en; -logic read_channel_Sum0_mem_ref_content_en; -logic [2:0] read_channel_Sum0_curr_addr_internal_mem_out; +logic [31:0] read_channel_Sum0_mem_ref_read_data; +logic read_channel_Sum0_mem_ref_done; logic [2:0] read_channel_Sum0_mem_ref_addr0; -logic read_channel_Sum0_curr_addr_internal_mem_done; logic [2:0] read_channel_Sum0_curr_addr_internal_mem_in; -logic [63:0] read_channel_Sum0_curr_addr_axi_in; +logic read_channel_Sum0_curr_addr_internal_mem_done; +logic read_channel_Sum0_curr_addr_axi_done; logic internal_mem_Sum0_clk; logic internal_mem_Sum0_reset; logic [2:0] internal_mem_Sum0_addr0; @@ -5178,14 +5194,14 @@ logic aw_channel_Sum0_go; logic aw_channel_Sum0_clk; logic aw_channel_Sum0_reset; logic aw_channel_Sum0_done; -logic [63:0] aw_channel_Sum0_curr_addr_axi_in; +logic [63:0] aw_channel_Sum0_curr_addr_axi_out; +logic [7:0] aw_channel_Sum0_max_transfers_out; logic aw_channel_Sum0_curr_addr_axi_write_en; +logic [63:0] aw_channel_Sum0_curr_addr_axi_in; +logic aw_channel_Sum0_max_transfers_done; logic [7:0] aw_channel_Sum0_max_transfers_in; -logic [63:0] aw_channel_Sum0_curr_addr_axi_out; -logic aw_channel_Sum0_curr_addr_axi_done; logic aw_channel_Sum0_max_transfers_write_en; -logic aw_channel_Sum0_max_transfers_done; -logic [7:0] aw_channel_Sum0_max_transfers_out; +logic aw_channel_Sum0_curr_addr_axi_done; logic write_channel_Sum0_ARESETn; logic write_channel_Sum0_WREADY; logic write_channel_Sum0_WVALID; @@ -5195,24 +5211,24 @@ logic write_channel_Sum0_go; logic write_channel_Sum0_clk; logic write_channel_Sum0_reset; logic write_channel_Sum0_done; -logic write_channel_Sum0_mem_ref_write_en; -logic write_channel_Sum0_mem_ref_done; -logic [31:0] write_channel_Sum0_mem_ref_read_data; -logic write_channel_Sum0_curr_addr_internal_mem_write_en; +logic write_channel_Sum0_mem_ref_content_en; logic [2:0] write_channel_Sum0_curr_addr_internal_mem_out; -logic [7:0] write_channel_Sum0_max_transfers_in; -logic write_channel_Sum0_max_transfers_write_en; +logic [63:0] write_channel_Sum0_curr_addr_axi_out; +logic [7:0] write_channel_Sum0_max_transfers_out; +logic write_channel_Sum0_curr_addr_axi_write_en; logic [63:0] write_channel_Sum0_curr_addr_axi_in; +logic write_channel_Sum0_max_transfers_done; +logic write_channel_Sum0_curr_addr_internal_mem_write_en; logic [31:0] write_channel_Sum0_mem_ref_write_data; +logic write_channel_Sum0_mem_ref_write_en; +logic [31:0] write_channel_Sum0_mem_ref_read_data; +logic [7:0] write_channel_Sum0_max_transfers_in; +logic write_channel_Sum0_mem_ref_done; logic [2:0] write_channel_Sum0_mem_ref_addr0; logic [2:0] write_channel_Sum0_curr_addr_internal_mem_in; +logic write_channel_Sum0_max_transfers_write_en; logic write_channel_Sum0_curr_addr_internal_mem_done; -logic write_channel_Sum0_curr_addr_axi_write_en; -logic write_channel_Sum0_max_transfers_done; -logic [63:0] write_channel_Sum0_curr_addr_axi_out; logic write_channel_Sum0_curr_addr_axi_done; -logic [7:0] write_channel_Sum0_max_transfers_out; -logic write_channel_Sum0_mem_ref_content_en; logic bresp_channel_Sum0_ARESETn; logic bresp_channel_Sum0_BVALID; logic bresp_channel_Sum0_BREADY; @@ -5226,14 +5242,14 @@ logic fsm_clk; logic fsm_reset; logic fsm_out; logic fsm_done; -logic ud_out; logic adder_left; logic adder_right; logic adder_out; -logic ud0_out; logic adder0_left; logic adder0_right; logic adder0_out; +logic ud_out; +logic ud0_out; logic signal_reg_in; logic signal_reg_write_en; logic signal_reg_clk; @@ -5912,11 +5928,6 @@ std_reg # ( .reset(fsm_reset), .write_en(fsm_write_en) ); -undef # ( - .WIDTH(1) -) ud ( - .out(ud_out) -); std_add # ( .WIDTH(1) ) adder ( @@ -5924,11 +5935,6 @@ std_add # ( .out(adder_out), .right(adder_right) ); -undef # ( - .WIDTH(1) -) ud0 ( - .out(ud0_out) -); std_add # ( .WIDTH(1) ) adder0 ( @@ -5936,6 +5942,16 @@ std_add # ( .out(adder0_out), .right(adder0_right) ); +undef # ( + .WIDTH(1) +) ud ( + .out(ud_out) +); +undef # ( + .WIDTH(1) +) ud0 ( + .out(ud0_out) +); std_reg # ( .WIDTH(1) ) signal_reg ( @@ -6449,60 +6465,60 @@ wire _guard21 = invoke11_go_out; wire _guard22 = invoke11_go_out; wire _guard23 = invoke11_go_out; wire _guard24 = invoke11_go_out; -wire _guard25 = invoke11_go_out; -wire _guard26 = tdcc5_done_out; -wire _guard27 = invoke20_go_out; +wire _guard25 = tdcc5_done_out; +wire _guard26 = invoke20_go_out; +wire _guard27 = invoke10_go_out; wire _guard28 = invoke10_go_out; -wire _guard29 = invoke10_go_out; +wire _guard29 = invoke22_go_out; wire _guard30 = invoke22_go_out; -wire _guard31 = invoke22_go_out; -wire _guard32 = invoke6_go_out; -wire _guard33 = invoke16_go_out; -wire _guard34 = invoke19_go_out; -wire _guard35 = invoke23_go_out; -wire _guard36 = invoke18_go_out; -wire _guard37 = invoke19_go_out; -wire _guard38 = invoke11_go_out; -wire _guard39 = invoke8_go_out; -wire _guard40 = invoke19_go_out; -wire _guard41 = invoke20_go_out; -wire _guard42 = invoke22_go_out; -wire _guard43 = invoke16_go_out; -wire _guard44 = invoke8_go_out; -wire _guard45 = invoke17_go_out; -wire _guard46 = invoke8_go_out; -wire _guard47 = invoke19_go_out; -wire _guard48 = invoke22_go_out; -wire _guard49 = invoke6_go_out; -wire _guard50 = invoke16_go_out; -wire _guard51 = invoke23_go_out; -wire _guard52 = invoke24_go_out; -wire _guard53 = invoke17_go_out; -wire _guard54 = invoke6_go_out; -wire _guard55 = invoke17_go_out; -wire _guard56 = invoke10_go_out; -wire _guard57 = invoke22_go_out; -wire _guard58 = invoke23_go_out; -wire _guard59 = invoke7_go_out; -wire _guard60 = invoke9_go_out; -wire _guard61 = invoke20_go_out; -wire _guard62 = invoke21_go_out; -wire _guard63 = invoke16_go_out; -wire _guard64 = invoke8_go_out; -wire _guard65 = invoke16_go_out; -wire _guard66 = invoke8_go_out; +wire _guard31 = invoke6_go_out; +wire _guard32 = invoke16_go_out; +wire _guard33 = invoke19_go_out; +wire _guard34 = invoke23_go_out; +wire _guard35 = invoke18_go_out; +wire _guard36 = invoke19_go_out; +wire _guard37 = invoke11_go_out; +wire _guard38 = invoke8_go_out; +wire _guard39 = invoke19_go_out; +wire _guard40 = invoke20_go_out; +wire _guard41 = invoke22_go_out; +wire _guard42 = invoke16_go_out; +wire _guard43 = invoke8_go_out; +wire _guard44 = invoke17_go_out; +wire _guard45 = invoke8_go_out; +wire _guard46 = invoke19_go_out; +wire _guard47 = invoke22_go_out; +wire _guard48 = invoke6_go_out; +wire _guard49 = invoke16_go_out; +wire _guard50 = invoke23_go_out; +wire _guard51 = invoke24_go_out; +wire _guard52 = invoke17_go_out; +wire _guard53 = invoke6_go_out; +wire _guard54 = invoke17_go_out; +wire _guard55 = invoke10_go_out; +wire _guard56 = invoke22_go_out; +wire _guard57 = invoke23_go_out; +wire _guard58 = invoke7_go_out; +wire _guard59 = invoke9_go_out; +wire _guard60 = invoke20_go_out; +wire _guard61 = invoke21_go_out; +wire _guard62 = invoke16_go_out; +wire _guard63 = invoke8_go_out; +wire _guard64 = invoke16_go_out; +wire _guard65 = invoke8_go_out; +wire _guard66 = invoke10_go_out; wire _guard67 = invoke10_go_out; -wire _guard68 = invoke10_go_out; -wire _guard69 = invoke22_go_out; -wire _guard70 = invoke6_go_out; -wire _guard71 = invoke16_go_out; +wire _guard68 = invoke22_go_out; +wire _guard69 = invoke6_go_out; +wire _guard70 = invoke16_go_out; +wire _guard71 = invoke19_go_out; wire _guard72 = invoke19_go_out; -wire _guard73 = invoke19_go_out; -wire _guard74 = invoke6_go_out; -wire _guard75 = early_reset_static_par_go_out; -wire _guard76 = early_reset_static_par0_go_out; -wire _guard77 = _guard75 | _guard76; -wire _guard78 = fsm_out != 1'd0; +wire _guard73 = invoke6_go_out; +wire _guard74 = early_reset_static_par_go_out; +wire _guard75 = early_reset_static_par0_go_out; +wire _guard76 = _guard74 | _guard75; +wire _guard77 = fsm_out == 1'd0; +wire _guard78 = ~_guard77; wire _guard79 = early_reset_static_par_go_out; wire _guard80 = _guard78 & _guard79; wire _guard81 = fsm_out == 1'd0; @@ -6512,88 +6528,88 @@ wire _guard84 = fsm_out == 1'd0; wire _guard85 = early_reset_static_par0_go_out; wire _guard86 = _guard84 & _guard85; wire _guard87 = _guard83 | _guard86; -wire _guard88 = fsm_out != 1'd0; -wire _guard89 = early_reset_static_par0_go_out; -wire _guard90 = _guard88 & _guard89; -wire _guard91 = early_reset_static_par_go_out; +wire _guard88 = fsm_out == 1'd0; +wire _guard89 = ~_guard88; +wire _guard90 = early_reset_static_par0_go_out; +wire _guard91 = _guard89 & _guard90; wire _guard92 = early_reset_static_par_go_out; -wire _guard93 = fsm6_out == 3'd5; -wire _guard94 = fsm6_out == 3'd0; -wire _guard95 = wrapper_early_reset_static_par_done_out; -wire _guard96 = _guard94 & _guard95; -wire _guard97 = tdcc5_go_out; -wire _guard98 = _guard96 & _guard97; -wire _guard99 = _guard93 | _guard98; -wire _guard100 = fsm6_out == 3'd1; -wire _guard101 = par0_done_out; -wire _guard102 = _guard100 & _guard101; -wire _guard103 = tdcc5_go_out; -wire _guard104 = _guard102 & _guard103; -wire _guard105 = _guard99 | _guard104; -wire _guard106 = fsm6_out == 3'd2; -wire _guard107 = invoke12_done_out; -wire _guard108 = _guard106 & _guard107; -wire _guard109 = tdcc5_go_out; -wire _guard110 = _guard108 & _guard109; -wire _guard111 = _guard105 | _guard110; -wire _guard112 = fsm6_out == 3'd3; -wire _guard113 = wrapper_early_reset_static_par0_done_out; -wire _guard114 = _guard112 & _guard113; -wire _guard115 = tdcc5_go_out; -wire _guard116 = _guard114 & _guard115; -wire _guard117 = _guard111 | _guard116; -wire _guard118 = fsm6_out == 3'd4; -wire _guard119 = par1_done_out; -wire _guard120 = _guard118 & _guard119; -wire _guard121 = tdcc5_go_out; -wire _guard122 = _guard120 & _guard121; -wire _guard123 = _guard117 | _guard122; -wire _guard124 = fsm6_out == 3'd4; -wire _guard125 = par1_done_out; -wire _guard126 = _guard124 & _guard125; -wire _guard127 = tdcc5_go_out; -wire _guard128 = _guard126 & _guard127; -wire _guard129 = fsm6_out == 3'd1; -wire _guard130 = par0_done_out; -wire _guard131 = _guard129 & _guard130; -wire _guard132 = tdcc5_go_out; -wire _guard133 = _guard131 & _guard132; -wire _guard134 = fsm6_out == 3'd3; -wire _guard135 = wrapper_early_reset_static_par0_done_out; -wire _guard136 = _guard134 & _guard135; -wire _guard137 = tdcc5_go_out; -wire _guard138 = _guard136 & _guard137; -wire _guard139 = fsm6_out == 3'd0; -wire _guard140 = wrapper_early_reset_static_par_done_out; -wire _guard141 = _guard139 & _guard140; -wire _guard142 = tdcc5_go_out; -wire _guard143 = _guard141 & _guard142; -wire _guard144 = fsm6_out == 3'd5; -wire _guard145 = fsm6_out == 3'd2; -wire _guard146 = invoke12_done_out; -wire _guard147 = _guard145 & _guard146; -wire _guard148 = tdcc5_go_out; -wire _guard149 = _guard147 & _guard148; -wire _guard150 = wrapper_early_reset_static_par0_go_out; -wire _guard151 = invoke18_done_out; -wire _guard152 = ~_guard151; -wire _guard153 = fsm3_out == 2'd2; -wire _guard154 = _guard152 & _guard153; -wire _guard155 = tdcc2_go_out; -wire _guard156 = _guard154 & _guard155; -wire _guard157 = pd2_out; -wire _guard158 = tdcc2_done_out; -wire _guard159 = _guard157 | _guard158; -wire _guard160 = ~_guard159; -wire _guard161 = par1_go_out; -wire _guard162 = _guard160 & _guard161; -wire _guard163 = invoke9_go_out; -wire _guard164 = early_reset_static_par_go_out; -wire _guard165 = invoke20_go_out; -wire _guard166 = invoke9_go_out; -wire _guard167 = invoke20_go_out; -wire _guard168 = early_reset_static_par_go_out; -wire _guard169 = invoke9_go_out; +wire _guard93 = early_reset_static_par_go_out; +wire _guard94 = fsm6_out == 3'd5; +wire _guard95 = fsm6_out == 3'd0; +wire _guard96 = wrapper_early_reset_static_par_done_out; +wire _guard97 = _guard95 & _guard96; +wire _guard98 = tdcc5_go_out; +wire _guard99 = _guard97 & _guard98; +wire _guard100 = _guard94 | _guard99; +wire _guard101 = fsm6_out == 3'd1; +wire _guard102 = par0_done_out; +wire _guard103 = _guard101 & _guard102; +wire _guard104 = tdcc5_go_out; +wire _guard105 = _guard103 & _guard104; +wire _guard106 = _guard100 | _guard105; +wire _guard107 = fsm6_out == 3'd2; +wire _guard108 = invoke12_done_out; +wire _guard109 = _guard107 & _guard108; +wire _guard110 = tdcc5_go_out; +wire _guard111 = _guard109 & _guard110; +wire _guard112 = _guard106 | _guard111; +wire _guard113 = fsm6_out == 3'd3; +wire _guard114 = wrapper_early_reset_static_par0_done_out; +wire _guard115 = _guard113 & _guard114; +wire _guard116 = tdcc5_go_out; +wire _guard117 = _guard115 & _guard116; +wire _guard118 = _guard112 | _guard117; +wire _guard119 = fsm6_out == 3'd4; +wire _guard120 = par1_done_out; +wire _guard121 = _guard119 & _guard120; +wire _guard122 = tdcc5_go_out; +wire _guard123 = _guard121 & _guard122; +wire _guard124 = _guard118 | _guard123; +wire _guard125 = fsm6_out == 3'd4; +wire _guard126 = par1_done_out; +wire _guard127 = _guard125 & _guard126; +wire _guard128 = tdcc5_go_out; +wire _guard129 = _guard127 & _guard128; +wire _guard130 = fsm6_out == 3'd1; +wire _guard131 = par0_done_out; +wire _guard132 = _guard130 & _guard131; +wire _guard133 = tdcc5_go_out; +wire _guard134 = _guard132 & _guard133; +wire _guard135 = fsm6_out == 3'd3; +wire _guard136 = wrapper_early_reset_static_par0_done_out; +wire _guard137 = _guard135 & _guard136; +wire _guard138 = tdcc5_go_out; +wire _guard139 = _guard137 & _guard138; +wire _guard140 = fsm6_out == 3'd0; +wire _guard141 = wrapper_early_reset_static_par_done_out; +wire _guard142 = _guard140 & _guard141; +wire _guard143 = tdcc5_go_out; +wire _guard144 = _guard142 & _guard143; +wire _guard145 = fsm6_out == 3'd5; +wire _guard146 = fsm6_out == 3'd2; +wire _guard147 = invoke12_done_out; +wire _guard148 = _guard146 & _guard147; +wire _guard149 = tdcc5_go_out; +wire _guard150 = _guard148 & _guard149; +wire _guard151 = wrapper_early_reset_static_par0_go_out; +wire _guard152 = invoke18_done_out; +wire _guard153 = ~_guard152; +wire _guard154 = fsm3_out == 2'd2; +wire _guard155 = _guard153 & _guard154; +wire _guard156 = tdcc2_go_out; +wire _guard157 = _guard155 & _guard156; +wire _guard158 = pd2_out; +wire _guard159 = tdcc2_done_out; +wire _guard160 = _guard158 | _guard159; +wire _guard161 = ~_guard160; +wire _guard162 = par1_go_out; +wire _guard163 = _guard161 & _guard162; +wire _guard164 = invoke9_go_out; +wire _guard165 = early_reset_static_par_go_out; +wire _guard166 = invoke20_go_out; +wire _guard167 = invoke9_go_out; +wire _guard168 = invoke20_go_out; +wire _guard169 = early_reset_static_par_go_out; wire _guard170 = invoke9_go_out; wire _guard171 = invoke9_go_out; wire _guard172 = invoke9_go_out; @@ -6615,661 +6631,618 @@ wire _guard187 = invoke9_go_out; wire _guard188 = invoke12_go_out; wire _guard189 = invoke20_go_out; wire _guard190 = invoke9_go_out; -wire _guard191 = invoke12_go_out; -wire _guard192 = invoke20_go_out; -wire _guard193 = invoke24_go_out; -wire _guard194 = invoke24_go_out; -wire _guard195 = fsm3_out == 2'd3; -wire _guard196 = fsm3_out == 2'd0; -wire _guard197 = invoke16_done_out; +wire _guard191 = invoke24_go_out; +wire _guard192 = invoke24_go_out; +wire _guard193 = fsm3_out == 2'd3; +wire _guard194 = fsm3_out == 2'd0; +wire _guard195 = invoke16_done_out; +wire _guard196 = _guard194 & _guard195; +wire _guard197 = tdcc2_go_out; wire _guard198 = _guard196 & _guard197; -wire _guard199 = tdcc2_go_out; -wire _guard200 = _guard198 & _guard199; -wire _guard201 = _guard195 | _guard200; -wire _guard202 = fsm3_out == 2'd1; -wire _guard203 = invoke17_done_out; +wire _guard199 = _guard193 | _guard198; +wire _guard200 = fsm3_out == 2'd1; +wire _guard201 = invoke17_done_out; +wire _guard202 = _guard200 & _guard201; +wire _guard203 = tdcc2_go_out; wire _guard204 = _guard202 & _guard203; -wire _guard205 = tdcc2_go_out; -wire _guard206 = _guard204 & _guard205; -wire _guard207 = _guard201 | _guard206; -wire _guard208 = fsm3_out == 2'd2; -wire _guard209 = invoke18_done_out; +wire _guard205 = _guard199 | _guard204; +wire _guard206 = fsm3_out == 2'd2; +wire _guard207 = invoke18_done_out; +wire _guard208 = _guard206 & _guard207; +wire _guard209 = tdcc2_go_out; wire _guard210 = _guard208 & _guard209; -wire _guard211 = tdcc2_go_out; -wire _guard212 = _guard210 & _guard211; -wire _guard213 = _guard207 | _guard212; -wire _guard214 = fsm3_out == 2'd0; -wire _guard215 = invoke16_done_out; +wire _guard211 = _guard205 | _guard210; +wire _guard212 = fsm3_out == 2'd0; +wire _guard213 = invoke16_done_out; +wire _guard214 = _guard212 & _guard213; +wire _guard215 = tdcc2_go_out; wire _guard216 = _guard214 & _guard215; -wire _guard217 = tdcc2_go_out; -wire _guard218 = _guard216 & _guard217; -wire _guard219 = fsm3_out == 2'd3; -wire _guard220 = fsm3_out == 2'd2; -wire _guard221 = invoke18_done_out; +wire _guard217 = fsm3_out == 2'd3; +wire _guard218 = fsm3_out == 2'd2; +wire _guard219 = invoke18_done_out; +wire _guard220 = _guard218 & _guard219; +wire _guard221 = tdcc2_go_out; wire _guard222 = _guard220 & _guard221; -wire _guard223 = tdcc2_go_out; -wire _guard224 = _guard222 & _guard223; -wire _guard225 = fsm3_out == 2'd1; -wire _guard226 = invoke17_done_out; +wire _guard223 = fsm3_out == 2'd1; +wire _guard224 = invoke17_done_out; +wire _guard225 = _guard223 & _guard224; +wire _guard226 = tdcc2_go_out; wire _guard227 = _guard225 & _guard226; -wire _guard228 = tdcc2_go_out; -wire _guard229 = _guard227 & _guard228; -wire _guard230 = fsm5_out == 2'd3; -wire _guard231 = fsm5_out == 2'd0; -wire _guard232 = invoke22_done_out; +wire _guard228 = fsm5_out == 2'd3; +wire _guard229 = fsm5_out == 2'd0; +wire _guard230 = invoke22_done_out; +wire _guard231 = _guard229 & _guard230; +wire _guard232 = tdcc4_go_out; wire _guard233 = _guard231 & _guard232; -wire _guard234 = tdcc4_go_out; -wire _guard235 = _guard233 & _guard234; -wire _guard236 = _guard230 | _guard235; -wire _guard237 = fsm5_out == 2'd1; -wire _guard238 = invoke23_done_out; +wire _guard234 = _guard228 | _guard233; +wire _guard235 = fsm5_out == 2'd1; +wire _guard236 = invoke23_done_out; +wire _guard237 = _guard235 & _guard236; +wire _guard238 = tdcc4_go_out; wire _guard239 = _guard237 & _guard238; -wire _guard240 = tdcc4_go_out; -wire _guard241 = _guard239 & _guard240; -wire _guard242 = _guard236 | _guard241; -wire _guard243 = fsm5_out == 2'd2; -wire _guard244 = invoke24_done_out; +wire _guard240 = _guard234 | _guard239; +wire _guard241 = fsm5_out == 2'd2; +wire _guard242 = invoke24_done_out; +wire _guard243 = _guard241 & _guard242; +wire _guard244 = tdcc4_go_out; wire _guard245 = _guard243 & _guard244; -wire _guard246 = tdcc4_go_out; -wire _guard247 = _guard245 & _guard246; -wire _guard248 = _guard242 | _guard247; -wire _guard249 = fsm5_out == 2'd0; -wire _guard250 = invoke22_done_out; +wire _guard246 = _guard240 | _guard245; +wire _guard247 = fsm5_out == 2'd0; +wire _guard248 = invoke22_done_out; +wire _guard249 = _guard247 & _guard248; +wire _guard250 = tdcc4_go_out; wire _guard251 = _guard249 & _guard250; -wire _guard252 = tdcc4_go_out; -wire _guard253 = _guard251 & _guard252; -wire _guard254 = fsm5_out == 2'd3; -wire _guard255 = fsm5_out == 2'd2; -wire _guard256 = invoke24_done_out; +wire _guard252 = fsm5_out == 2'd3; +wire _guard253 = fsm5_out == 2'd2; +wire _guard254 = invoke24_done_out; +wire _guard255 = _guard253 & _guard254; +wire _guard256 = tdcc4_go_out; wire _guard257 = _guard255 & _guard256; -wire _guard258 = tdcc4_go_out; -wire _guard259 = _guard257 & _guard258; -wire _guard260 = fsm5_out == 2'd1; -wire _guard261 = invoke23_done_out; +wire _guard258 = fsm5_out == 2'd1; +wire _guard259 = invoke23_done_out; +wire _guard260 = _guard258 & _guard259; +wire _guard261 = tdcc4_go_out; wire _guard262 = _guard260 & _guard261; -wire _guard263 = tdcc4_go_out; -wire _guard264 = _guard262 & _guard263; -wire _guard265 = fsm1_out == 2'd2; -wire _guard266 = invoke9_go_out; -wire _guard267 = early_reset_static_par_go_out; -wire _guard268 = early_reset_static_par0_go_out; -wire _guard269 = _guard267 | _guard268; +wire _guard263 = fsm1_out == 2'd2; +wire _guard264 = invoke9_go_out; +wire _guard265 = early_reset_static_par_go_out; +wire _guard266 = early_reset_static_par0_go_out; +wire _guard267 = _guard265 | _guard266; +wire _guard268 = invoke20_go_out; +wire _guard269 = invoke9_go_out; wire _guard270 = invoke20_go_out; -wire _guard271 = invoke19_go_out; -wire _guard272 = invoke8_go_out; -wire _guard273 = invoke9_go_out; -wire _guard274 = invoke20_go_out; -wire _guard275 = invoke19_go_out; -wire _guard276 = early_reset_static_par_go_out; -wire _guard277 = early_reset_static_par0_go_out; -wire _guard278 = _guard276 | _guard277; -wire _guard279 = invoke8_go_out; -wire _guard280 = invoke22_go_out; -wire _guard281 = invoke23_go_out; -wire _guard282 = invoke22_go_out; -wire _guard283 = invoke23_go_out; -wire _guard284 = invoke12_go_out; -wire _guard285 = invoke12_go_out; -wire _guard286 = invoke12_go_out; -wire _guard287 = invoke12_go_out; -wire _guard288 = invoke12_go_out; -wire _guard289 = invoke12_go_out; -wire _guard290 = invoke12_go_out; -wire _guard291 = fsm1_out == 2'd2; -wire _guard292 = fsm1_out == 2'd0; -wire _guard293 = invoke8_done_out; -wire _guard294 = _guard292 & _guard293; -wire _guard295 = tdcc0_go_out; -wire _guard296 = _guard294 & _guard295; -wire _guard297 = _guard291 | _guard296; -wire _guard298 = fsm1_out == 2'd1; -wire _guard299 = invoke9_done_out; -wire _guard300 = _guard298 & _guard299; -wire _guard301 = tdcc0_go_out; -wire _guard302 = _guard300 & _guard301; -wire _guard303 = _guard297 | _guard302; -wire _guard304 = fsm1_out == 2'd0; -wire _guard305 = invoke8_done_out; -wire _guard306 = _guard304 & _guard305; -wire _guard307 = tdcc0_go_out; -wire _guard308 = _guard306 & _guard307; -wire _guard309 = fsm1_out == 2'd2; -wire _guard310 = fsm1_out == 2'd1; -wire _guard311 = invoke9_done_out; -wire _guard312 = _guard310 & _guard311; -wire _guard313 = tdcc0_go_out; -wire _guard314 = _guard312 & _guard313; -wire _guard315 = fsm4_out == 2'd3; -wire _guard316 = fsm4_out == 2'd0; -wire _guard317 = invoke19_done_out; -wire _guard318 = _guard316 & _guard317; -wire _guard319 = tdcc3_go_out; -wire _guard320 = _guard318 & _guard319; -wire _guard321 = _guard315 | _guard320; -wire _guard322 = fsm4_out == 2'd1; -wire _guard323 = invoke20_done_out; -wire _guard324 = _guard322 & _guard323; -wire _guard325 = tdcc3_go_out; -wire _guard326 = _guard324 & _guard325; -wire _guard327 = _guard321 | _guard326; -wire _guard328 = fsm4_out == 2'd2; -wire _guard329 = invoke21_done_out; -wire _guard330 = _guard328 & _guard329; -wire _guard331 = tdcc3_go_out; -wire _guard332 = _guard330 & _guard331; -wire _guard333 = _guard327 | _guard332; -wire _guard334 = fsm4_out == 2'd0; -wire _guard335 = invoke19_done_out; -wire _guard336 = _guard334 & _guard335; -wire _guard337 = tdcc3_go_out; +wire _guard271 = early_reset_static_par_go_out; +wire _guard272 = early_reset_static_par0_go_out; +wire _guard273 = _guard271 | _guard272; +wire _guard274 = invoke22_go_out; +wire _guard275 = invoke22_go_out; +wire _guard276 = invoke12_go_out; +wire _guard277 = invoke12_go_out; +wire _guard278 = invoke12_go_out; +wire _guard279 = invoke12_go_out; +wire _guard280 = invoke12_go_out; +wire _guard281 = invoke12_go_out; +wire _guard282 = fsm1_out == 2'd2; +wire _guard283 = fsm1_out == 2'd0; +wire _guard284 = invoke8_done_out; +wire _guard285 = _guard283 & _guard284; +wire _guard286 = tdcc0_go_out; +wire _guard287 = _guard285 & _guard286; +wire _guard288 = _guard282 | _guard287; +wire _guard289 = fsm1_out == 2'd1; +wire _guard290 = invoke9_done_out; +wire _guard291 = _guard289 & _guard290; +wire _guard292 = tdcc0_go_out; +wire _guard293 = _guard291 & _guard292; +wire _guard294 = _guard288 | _guard293; +wire _guard295 = fsm1_out == 2'd0; +wire _guard296 = invoke8_done_out; +wire _guard297 = _guard295 & _guard296; +wire _guard298 = tdcc0_go_out; +wire _guard299 = _guard297 & _guard298; +wire _guard300 = fsm1_out == 2'd2; +wire _guard301 = fsm1_out == 2'd1; +wire _guard302 = invoke9_done_out; +wire _guard303 = _guard301 & _guard302; +wire _guard304 = tdcc0_go_out; +wire _guard305 = _guard303 & _guard304; +wire _guard306 = fsm4_out == 2'd3; +wire _guard307 = fsm4_out == 2'd0; +wire _guard308 = invoke19_done_out; +wire _guard309 = _guard307 & _guard308; +wire _guard310 = tdcc3_go_out; +wire _guard311 = _guard309 & _guard310; +wire _guard312 = _guard306 | _guard311; +wire _guard313 = fsm4_out == 2'd1; +wire _guard314 = invoke20_done_out; +wire _guard315 = _guard313 & _guard314; +wire _guard316 = tdcc3_go_out; +wire _guard317 = _guard315 & _guard316; +wire _guard318 = _guard312 | _guard317; +wire _guard319 = fsm4_out == 2'd2; +wire _guard320 = invoke21_done_out; +wire _guard321 = _guard319 & _guard320; +wire _guard322 = tdcc3_go_out; +wire _guard323 = _guard321 & _guard322; +wire _guard324 = _guard318 | _guard323; +wire _guard325 = fsm4_out == 2'd0; +wire _guard326 = invoke19_done_out; +wire _guard327 = _guard325 & _guard326; +wire _guard328 = tdcc3_go_out; +wire _guard329 = _guard327 & _guard328; +wire _guard330 = fsm4_out == 2'd3; +wire _guard331 = fsm4_out == 2'd2; +wire _guard332 = invoke21_done_out; +wire _guard333 = _guard331 & _guard332; +wire _guard334 = tdcc3_go_out; +wire _guard335 = _guard333 & _guard334; +wire _guard336 = fsm4_out == 2'd1; +wire _guard337 = invoke20_done_out; wire _guard338 = _guard336 & _guard337; -wire _guard339 = fsm4_out == 2'd3; -wire _guard340 = fsm4_out == 2'd2; -wire _guard341 = invoke21_done_out; -wire _guard342 = _guard340 & _guard341; -wire _guard343 = tdcc3_go_out; +wire _guard339 = tdcc3_go_out; +wire _guard340 = _guard338 & _guard339; +wire _guard341 = wrapper_early_reset_static_par_done_out; +wire _guard342 = ~_guard341; +wire _guard343 = fsm6_out == 3'd0; wire _guard344 = _guard342 & _guard343; -wire _guard345 = fsm4_out == 2'd1; -wire _guard346 = invoke20_done_out; -wire _guard347 = _guard345 & _guard346; -wire _guard348 = tdcc3_go_out; -wire _guard349 = _guard347 & _guard348; -wire _guard350 = wrapper_early_reset_static_par_done_out; -wire _guard351 = ~_guard350; -wire _guard352 = fsm6_out == 3'd0; -wire _guard353 = _guard351 & _guard352; -wire _guard354 = tdcc5_go_out; -wire _guard355 = _guard353 & _guard354; -wire _guard356 = invoke11_done_out; -wire _guard357 = ~_guard356; -wire _guard358 = fsm2_out == 2'd1; -wire _guard359 = _guard357 & _guard358; -wire _guard360 = tdcc1_go_out; -wire _guard361 = _guard359 & _guard360; -wire _guard362 = invoke23_done_out; -wire _guard363 = ~_guard362; -wire _guard364 = fsm5_out == 2'd1; -wire _guard365 = _guard363 & _guard364; -wire _guard366 = tdcc4_go_out; -wire _guard367 = _guard365 & _guard366; -wire _guard368 = par1_done_out; -wire _guard369 = ~_guard368; -wire _guard370 = fsm6_out == 3'd4; -wire _guard371 = _guard369 & _guard370; -wire _guard372 = tdcc5_go_out; -wire _guard373 = _guard371 & _guard372; -wire _guard374 = early_reset_static_par_go_out; -wire _guard375 = early_reset_static_par0_go_out; -wire _guard376 = _guard374 | _guard375; +wire _guard345 = tdcc5_go_out; +wire _guard346 = _guard344 & _guard345; +wire _guard347 = invoke11_done_out; +wire _guard348 = ~_guard347; +wire _guard349 = fsm2_out == 2'd1; +wire _guard350 = _guard348 & _guard349; +wire _guard351 = tdcc1_go_out; +wire _guard352 = _guard350 & _guard351; +wire _guard353 = invoke23_done_out; +wire _guard354 = ~_guard353; +wire _guard355 = fsm5_out == 2'd1; +wire _guard356 = _guard354 & _guard355; +wire _guard357 = tdcc4_go_out; +wire _guard358 = _guard356 & _guard357; +wire _guard359 = par1_done_out; +wire _guard360 = ~_guard359; +wire _guard361 = fsm6_out == 3'd4; +wire _guard362 = _guard360 & _guard361; +wire _guard363 = tdcc5_go_out; +wire _guard364 = _guard362 & _guard363; +wire _guard365 = early_reset_static_par_go_out; +wire _guard366 = early_reset_static_par0_go_out; +wire _guard367 = _guard365 | _guard366; +wire _guard368 = invoke7_go_out; +wire _guard369 = invoke17_go_out; +wire _guard370 = invoke7_go_out; +wire _guard371 = early_reset_static_par_go_out; +wire _guard372 = early_reset_static_par0_go_out; +wire _guard373 = _guard371 | _guard372; +wire _guard374 = invoke17_go_out; +wire _guard375 = invoke7_go_out; +wire _guard376 = invoke7_go_out; wire _guard377 = invoke7_go_out; -wire _guard378 = invoke6_go_out; -wire _guard379 = invoke16_go_out; -wire _guard380 = invoke17_go_out; +wire _guard378 = invoke7_go_out; +wire _guard379 = invoke7_go_out; +wire _guard380 = invoke7_go_out; wire _guard381 = invoke7_go_out; -wire _guard382 = invoke6_go_out; -wire _guard383 = invoke16_go_out; -wire _guard384 = early_reset_static_par_go_out; -wire _guard385 = early_reset_static_par0_go_out; -wire _guard386 = _guard384 | _guard385; -wire _guard387 = invoke17_go_out; -wire _guard388 = invoke7_go_out; -wire _guard389 = invoke7_go_out; +wire _guard382 = invoke7_go_out; +wire _guard383 = invoke7_go_out; +wire _guard384 = invoke7_go_out; +wire _guard385 = invoke7_go_out; +wire _guard386 = invoke12_go_out; +wire _guard387 = invoke7_go_out; +wire _guard388 = invoke17_go_out; +wire _guard389 = invoke12_go_out; wire _guard390 = invoke7_go_out; -wire _guard391 = invoke7_go_out; -wire _guard392 = invoke7_go_out; +wire _guard391 = invoke17_go_out; +wire _guard392 = invoke12_go_out; wire _guard393 = invoke7_go_out; -wire _guard394 = invoke7_go_out; +wire _guard394 = invoke17_go_out; wire _guard395 = invoke7_go_out; -wire _guard396 = invoke7_go_out; -wire _guard397 = invoke7_go_out; -wire _guard398 = invoke7_go_out; -wire _guard399 = invoke7_go_out; -wire _guard400 = invoke12_go_out; -wire _guard401 = invoke7_go_out; -wire _guard402 = invoke17_go_out; -wire _guard403 = invoke12_go_out; -wire _guard404 = invoke7_go_out; -wire _guard405 = invoke17_go_out; -wire _guard406 = invoke12_go_out; -wire _guard407 = invoke7_go_out; -wire _guard408 = invoke17_go_out; -wire _guard409 = invoke12_go_out; -wire _guard410 = invoke7_go_out; -wire _guard411 = invoke17_go_out; -wire _guard412 = invoke20_go_out; -wire _guard413 = invoke20_go_out; -wire _guard414 = invoke20_go_out; -wire _guard415 = invoke20_go_out; -wire _guard416 = invoke20_go_out; -wire _guard417 = invoke20_go_out; -wire _guard418 = invoke20_go_out; -wire _guard419 = invoke20_go_out; -wire _guard420 = invoke20_go_out; -wire _guard421 = invoke20_go_out; -wire _guard422 = invoke20_go_out; -wire _guard423 = invoke11_go_out; -wire _guard424 = early_reset_static_par_go_out; -wire _guard425 = early_reset_static_par0_go_out; -wire _guard426 = _guard424 | _guard425; -wire _guard427 = invoke10_go_out; -wire _guard428 = invoke22_go_out; -wire _guard429 = invoke23_go_out; -wire _guard430 = invoke11_go_out; -wire _guard431 = invoke10_go_out; -wire _guard432 = early_reset_static_par_go_out; -wire _guard433 = early_reset_static_par0_go_out; -wire _guard434 = _guard432 | _guard433; -wire _guard435 = invoke22_go_out; -wire _guard436 = invoke23_go_out; -wire _guard437 = invoke10_go_out; -wire _guard438 = invoke10_go_out; -wire _guard439 = invoke10_go_out; -wire _guard440 = invoke10_go_out; -wire _guard441 = invoke10_go_out; -wire _guard442 = pd_out; -wire _guard443 = pd0_out; +wire _guard396 = invoke20_go_out; +wire _guard397 = invoke20_go_out; +wire _guard398 = invoke20_go_out; +wire _guard399 = invoke20_go_out; +wire _guard400 = invoke20_go_out; +wire _guard401 = invoke20_go_out; +wire _guard402 = invoke20_go_out; +wire _guard403 = invoke20_go_out; +wire _guard404 = invoke20_go_out; +wire _guard405 = invoke11_go_out; +wire _guard406 = early_reset_static_par_go_out; +wire _guard407 = early_reset_static_par0_go_out; +wire _guard408 = _guard406 | _guard407; +wire _guard409 = invoke23_go_out; +wire _guard410 = invoke11_go_out; +wire _guard411 = early_reset_static_par_go_out; +wire _guard412 = early_reset_static_par0_go_out; +wire _guard413 = _guard411 | _guard412; +wire _guard414 = invoke23_go_out; +wire _guard415 = invoke10_go_out; +wire _guard416 = invoke10_go_out; +wire _guard417 = invoke10_go_out; +wire _guard418 = invoke10_go_out; +wire _guard419 = pd_out; +wire _guard420 = pd0_out; +wire _guard421 = _guard419 & _guard420; +wire _guard422 = pd1_out; +wire _guard423 = _guard421 & _guard422; +wire _guard424 = tdcc1_done_out; +wire _guard425 = par0_go_out; +wire _guard426 = _guard424 & _guard425; +wire _guard427 = _guard423 | _guard426; +wire _guard428 = tdcc1_done_out; +wire _guard429 = par0_go_out; +wire _guard430 = _guard428 & _guard429; +wire _guard431 = pd_out; +wire _guard432 = pd0_out; +wire _guard433 = _guard431 & _guard432; +wire _guard434 = pd1_out; +wire _guard435 = _guard433 & _guard434; +wire _guard436 = fsm_out == 1'd0; +wire _guard437 = signal_reg_out; +wire _guard438 = _guard436 & _guard437; +wire _guard439 = pd_out; +wire _guard440 = tdcc_done_out; +wire _guard441 = _guard439 | _guard440; +wire _guard442 = ~_guard441; +wire _guard443 = par0_go_out; wire _guard444 = _guard442 & _guard443; -wire _guard445 = pd1_out; -wire _guard446 = _guard444 & _guard445; -wire _guard447 = tdcc1_done_out; -wire _guard448 = par0_go_out; -wire _guard449 = _guard447 & _guard448; -wire _guard450 = _guard446 | _guard449; -wire _guard451 = tdcc1_done_out; -wire _guard452 = par0_go_out; -wire _guard453 = _guard451 & _guard452; -wire _guard454 = pd_out; -wire _guard455 = pd0_out; +wire _guard445 = invoke12_done_out; +wire _guard446 = ~_guard445; +wire _guard447 = fsm6_out == 3'd2; +wire _guard448 = _guard446 & _guard447; +wire _guard449 = tdcc5_go_out; +wire _guard450 = _guard448 & _guard449; +wire _guard451 = pd3_out; +wire _guard452 = tdcc3_done_out; +wire _guard453 = _guard451 | _guard452; +wire _guard454 = ~_guard453; +wire _guard455 = par1_go_out; wire _guard456 = _guard454 & _guard455; -wire _guard457 = pd1_out; -wire _guard458 = _guard456 & _guard457; -wire _guard459 = fsm_out == 1'd0; -wire _guard460 = signal_reg_out; -wire _guard461 = _guard459 & _guard460; -wire _guard462 = pd_out; -wire _guard463 = tdcc_done_out; -wire _guard464 = _guard462 | _guard463; -wire _guard465 = ~_guard464; -wire _guard466 = par0_go_out; +wire _guard457 = fsm4_out == 2'd3; +wire _guard458 = invoke19_go_out; +wire _guard459 = invoke19_go_out; +wire _guard460 = invoke19_go_out; +wire _guard461 = invoke19_go_out; +wire _guard462 = fsm0_out == 2'd2; +wire _guard463 = fsm0_out == 2'd0; +wire _guard464 = invoke6_done_out; +wire _guard465 = _guard463 & _guard464; +wire _guard466 = tdcc_go_out; wire _guard467 = _guard465 & _guard466; -wire _guard468 = invoke12_done_out; -wire _guard469 = ~_guard468; -wire _guard470 = fsm6_out == 3'd2; +wire _guard468 = _guard462 | _guard467; +wire _guard469 = fsm0_out == 2'd1; +wire _guard470 = invoke7_done_out; wire _guard471 = _guard469 & _guard470; -wire _guard472 = tdcc5_go_out; +wire _guard472 = tdcc_go_out; wire _guard473 = _guard471 & _guard472; -wire _guard474 = pd3_out; -wire _guard475 = tdcc3_done_out; -wire _guard476 = _guard474 | _guard475; -wire _guard477 = ~_guard476; -wire _guard478 = par1_go_out; +wire _guard474 = _guard468 | _guard473; +wire _guard475 = fsm0_out == 2'd0; +wire _guard476 = invoke6_done_out; +wire _guard477 = _guard475 & _guard476; +wire _guard478 = tdcc_go_out; wire _guard479 = _guard477 & _guard478; -wire _guard480 = fsm4_out == 2'd3; -wire _guard481 = invoke19_go_out; -wire _guard482 = invoke19_go_out; -wire _guard483 = invoke19_go_out; -wire _guard484 = invoke19_go_out; -wire _guard485 = invoke19_go_out; -wire _guard486 = invoke19_go_out; -wire _guard487 = invoke19_go_out; -wire _guard488 = fsm0_out == 2'd2; -wire _guard489 = fsm0_out == 2'd0; -wire _guard490 = invoke6_done_out; +wire _guard480 = fsm0_out == 2'd2; +wire _guard481 = fsm0_out == 2'd1; +wire _guard482 = invoke7_done_out; +wire _guard483 = _guard481 & _guard482; +wire _guard484 = tdcc_go_out; +wire _guard485 = _guard483 & _guard484; +wire _guard486 = fsm2_out == 2'd2; +wire _guard487 = fsm2_out == 2'd0; +wire _guard488 = invoke10_done_out; +wire _guard489 = _guard487 & _guard488; +wire _guard490 = tdcc1_go_out; wire _guard491 = _guard489 & _guard490; -wire _guard492 = tdcc_go_out; -wire _guard493 = _guard491 & _guard492; -wire _guard494 = _guard488 | _guard493; -wire _guard495 = fsm0_out == 2'd1; -wire _guard496 = invoke7_done_out; +wire _guard492 = _guard486 | _guard491; +wire _guard493 = fsm2_out == 2'd1; +wire _guard494 = invoke11_done_out; +wire _guard495 = _guard493 & _guard494; +wire _guard496 = tdcc1_go_out; wire _guard497 = _guard495 & _guard496; -wire _guard498 = tdcc_go_out; -wire _guard499 = _guard497 & _guard498; -wire _guard500 = _guard494 | _guard499; -wire _guard501 = fsm0_out == 2'd0; -wire _guard502 = invoke6_done_out; +wire _guard498 = _guard492 | _guard497; +wire _guard499 = fsm2_out == 2'd0; +wire _guard500 = invoke10_done_out; +wire _guard501 = _guard499 & _guard500; +wire _guard502 = tdcc1_go_out; wire _guard503 = _guard501 & _guard502; -wire _guard504 = tdcc_go_out; -wire _guard505 = _guard503 & _guard504; -wire _guard506 = fsm0_out == 2'd2; -wire _guard507 = fsm0_out == 2'd1; -wire _guard508 = invoke7_done_out; +wire _guard504 = fsm2_out == 2'd2; +wire _guard505 = fsm2_out == 2'd1; +wire _guard506 = invoke11_done_out; +wire _guard507 = _guard505 & _guard506; +wire _guard508 = tdcc1_go_out; wire _guard509 = _guard507 & _guard508; -wire _guard510 = tdcc_go_out; -wire _guard511 = _guard509 & _guard510; -wire _guard512 = fsm2_out == 2'd2; -wire _guard513 = fsm2_out == 2'd0; -wire _guard514 = invoke10_done_out; +wire _guard510 = invoke8_done_out; +wire _guard511 = ~_guard510; +wire _guard512 = fsm1_out == 2'd0; +wire _guard513 = _guard511 & _guard512; +wire _guard514 = tdcc0_go_out; wire _guard515 = _guard513 & _guard514; -wire _guard516 = tdcc1_go_out; -wire _guard517 = _guard515 & _guard516; -wire _guard518 = _guard512 | _guard517; -wire _guard519 = fsm2_out == 2'd1; -wire _guard520 = invoke11_done_out; +wire _guard516 = pd0_out; +wire _guard517 = tdcc0_done_out; +wire _guard518 = _guard516 | _guard517; +wire _guard519 = ~_guard518; +wire _guard520 = par0_go_out; wire _guard521 = _guard519 & _guard520; -wire _guard522 = tdcc1_go_out; -wire _guard523 = _guard521 & _guard522; -wire _guard524 = _guard518 | _guard523; -wire _guard525 = fsm2_out == 2'd0; -wire _guard526 = invoke10_done_out; -wire _guard527 = _guard525 & _guard526; -wire _guard528 = tdcc1_go_out; -wire _guard529 = _guard527 & _guard528; -wire _guard530 = fsm2_out == 2'd2; -wire _guard531 = fsm2_out == 2'd1; -wire _guard532 = invoke11_done_out; -wire _guard533 = _guard531 & _guard532; -wire _guard534 = tdcc1_go_out; -wire _guard535 = _guard533 & _guard534; -wire _guard536 = invoke8_done_out; -wire _guard537 = ~_guard536; -wire _guard538 = fsm1_out == 2'd0; -wire _guard539 = _guard537 & _guard538; -wire _guard540 = tdcc0_go_out; -wire _guard541 = _guard539 & _guard540; -wire _guard542 = pd0_out; -wire _guard543 = tdcc0_done_out; -wire _guard544 = _guard542 | _guard543; -wire _guard545 = ~_guard544; -wire _guard546 = par0_go_out; -wire _guard547 = _guard545 & _guard546; -wire _guard548 = invoke6_go_out; -wire _guard549 = invoke6_go_out; -wire _guard550 = invoke6_go_out; -wire _guard551 = invoke6_go_out; -wire _guard552 = invoke6_go_out; -wire _guard553 = invoke16_go_out; -wire _guard554 = invoke16_go_out; -wire _guard555 = invoke16_go_out; -wire _guard556 = invoke16_go_out; -wire _guard557 = invoke16_go_out; -wire _guard558 = invoke16_go_out; -wire _guard559 = invoke16_go_out; -wire _guard560 = pd_out; -wire _guard561 = pd0_out; -wire _guard562 = _guard560 & _guard561; -wire _guard563 = pd1_out; -wire _guard564 = _guard562 & _guard563; -wire _guard565 = invoke17_done_out; -wire _guard566 = ~_guard565; -wire _guard567 = fsm3_out == 2'd1; -wire _guard568 = _guard566 & _guard567; -wire _guard569 = tdcc2_go_out; -wire _guard570 = _guard568 & _guard569; -wire _guard571 = invoke21_done_out; -wire _guard572 = ~_guard571; -wire _guard573 = fsm4_out == 2'd2; -wire _guard574 = _guard572 & _guard573; -wire _guard575 = tdcc3_go_out; -wire _guard576 = _guard574 & _guard575; -wire _guard577 = early_reset_static_par0_go_out; -wire _guard578 = early_reset_static_par0_go_out; -wire _guard579 = pd2_out; -wire _guard580 = pd3_out; -wire _guard581 = _guard579 & _guard580; -wire _guard582 = pd4_out; -wire _guard583 = _guard581 & _guard582; -wire _guard584 = tdcc2_done_out; -wire _guard585 = par1_go_out; -wire _guard586 = _guard584 & _guard585; -wire _guard587 = _guard583 | _guard586; -wire _guard588 = tdcc2_done_out; -wire _guard589 = par1_go_out; -wire _guard590 = _guard588 & _guard589; -wire _guard591 = pd2_out; -wire _guard592 = pd3_out; +wire _guard522 = invoke6_go_out; +wire _guard523 = invoke6_go_out; +wire _guard524 = invoke6_go_out; +wire _guard525 = invoke6_go_out; +wire _guard526 = invoke16_go_out; +wire _guard527 = invoke16_go_out; +wire _guard528 = invoke16_go_out; +wire _guard529 = invoke16_go_out; +wire _guard530 = pd_out; +wire _guard531 = pd0_out; +wire _guard532 = _guard530 & _guard531; +wire _guard533 = pd1_out; +wire _guard534 = _guard532 & _guard533; +wire _guard535 = invoke17_done_out; +wire _guard536 = ~_guard535; +wire _guard537 = fsm3_out == 2'd1; +wire _guard538 = _guard536 & _guard537; +wire _guard539 = tdcc2_go_out; +wire _guard540 = _guard538 & _guard539; +wire _guard541 = invoke21_done_out; +wire _guard542 = ~_guard541; +wire _guard543 = fsm4_out == 2'd2; +wire _guard544 = _guard542 & _guard543; +wire _guard545 = tdcc3_go_out; +wire _guard546 = _guard544 & _guard545; +wire _guard547 = early_reset_static_par0_go_out; +wire _guard548 = early_reset_static_par0_go_out; +wire _guard549 = pd2_out; +wire _guard550 = pd3_out; +wire _guard551 = _guard549 & _guard550; +wire _guard552 = pd4_out; +wire _guard553 = _guard551 & _guard552; +wire _guard554 = tdcc2_done_out; +wire _guard555 = par1_go_out; +wire _guard556 = _guard554 & _guard555; +wire _guard557 = _guard553 | _guard556; +wire _guard558 = tdcc2_done_out; +wire _guard559 = par1_go_out; +wire _guard560 = _guard558 & _guard559; +wire _guard561 = pd2_out; +wire _guard562 = pd3_out; +wire _guard563 = _guard561 & _guard562; +wire _guard564 = pd4_out; +wire _guard565 = _guard563 & _guard564; +wire _guard566 = invoke16_done_out; +wire _guard567 = ~_guard566; +wire _guard568 = fsm3_out == 2'd0; +wire _guard569 = _guard567 & _guard568; +wire _guard570 = tdcc2_go_out; +wire _guard571 = _guard569 & _guard570; +wire _guard572 = invoke17_go_out; +wire _guard573 = invoke17_go_out; +wire _guard574 = invoke17_go_out; +wire _guard575 = invoke17_go_out; +wire _guard576 = invoke17_go_out; +wire _guard577 = invoke17_go_out; +wire _guard578 = invoke17_go_out; +wire _guard579 = invoke17_go_out; +wire _guard580 = invoke17_go_out; +wire _guard581 = invoke8_go_out; +wire _guard582 = invoke8_go_out; +wire _guard583 = invoke8_go_out; +wire _guard584 = invoke8_go_out; +wire _guard585 = fsm_out == 1'd0; +wire _guard586 = signal_reg_out; +wire _guard587 = _guard585 & _guard586; +wire _guard588 = fsm_out == 1'd0; +wire _guard589 = signal_reg_out; +wire _guard590 = ~_guard589; +wire _guard591 = _guard588 & _guard590; +wire _guard592 = wrapper_early_reset_static_par_go_out; wire _guard593 = _guard591 & _guard592; -wire _guard594 = pd4_out; -wire _guard595 = _guard593 & _guard594; -wire _guard596 = invoke16_done_out; +wire _guard594 = _guard587 | _guard593; +wire _guard595 = fsm_out == 1'd0; +wire _guard596 = signal_reg_out; wire _guard597 = ~_guard596; -wire _guard598 = fsm3_out == 2'd0; -wire _guard599 = _guard597 & _guard598; -wire _guard600 = tdcc2_go_out; -wire _guard601 = _guard599 & _guard600; -wire _guard602 = invoke17_go_out; -wire _guard603 = invoke17_go_out; -wire _guard604 = invoke17_go_out; -wire _guard605 = invoke17_go_out; -wire _guard606 = invoke17_go_out; -wire _guard607 = invoke17_go_out; -wire _guard608 = invoke17_go_out; -wire _guard609 = invoke17_go_out; -wire _guard610 = invoke17_go_out; -wire _guard611 = invoke17_go_out; -wire _guard612 = invoke17_go_out; -wire _guard613 = invoke8_go_out; -wire _guard614 = invoke8_go_out; -wire _guard615 = invoke8_go_out; -wire _guard616 = invoke8_go_out; -wire _guard617 = invoke8_go_out; -wire _guard618 = fsm_out == 1'd0; -wire _guard619 = signal_reg_out; -wire _guard620 = _guard618 & _guard619; -wire _guard621 = fsm_out == 1'd0; -wire _guard622 = signal_reg_out; -wire _guard623 = ~_guard622; -wire _guard624 = _guard621 & _guard623; -wire _guard625 = wrapper_early_reset_static_par_go_out; -wire _guard626 = _guard624 & _guard625; -wire _guard627 = _guard620 | _guard626; -wire _guard628 = fsm_out == 1'd0; -wire _guard629 = signal_reg_out; -wire _guard630 = ~_guard629; -wire _guard631 = _guard628 & _guard630; -wire _guard632 = wrapper_early_reset_static_par0_go_out; -wire _guard633 = _guard631 & _guard632; -wire _guard634 = _guard627 | _guard633; -wire _guard635 = fsm_out == 1'd0; -wire _guard636 = signal_reg_out; -wire _guard637 = ~_guard636; -wire _guard638 = _guard635 & _guard637; -wire _guard639 = wrapper_early_reset_static_par_go_out; -wire _guard640 = _guard638 & _guard639; -wire _guard641 = fsm_out == 1'd0; -wire _guard642 = signal_reg_out; -wire _guard643 = ~_guard642; -wire _guard644 = _guard641 & _guard643; -wire _guard645 = wrapper_early_reset_static_par0_go_out; -wire _guard646 = _guard644 & _guard645; -wire _guard647 = _guard640 | _guard646; -wire _guard648 = fsm_out == 1'd0; -wire _guard649 = signal_reg_out; -wire _guard650 = _guard648 & _guard649; -wire _guard651 = fsm2_out == 2'd2; -wire _guard652 = pd2_out; -wire _guard653 = pd3_out; -wire _guard654 = _guard652 & _guard653; -wire _guard655 = pd4_out; +wire _guard598 = _guard595 & _guard597; +wire _guard599 = wrapper_early_reset_static_par0_go_out; +wire _guard600 = _guard598 & _guard599; +wire _guard601 = _guard594 | _guard600; +wire _guard602 = fsm_out == 1'd0; +wire _guard603 = signal_reg_out; +wire _guard604 = ~_guard603; +wire _guard605 = _guard602 & _guard604; +wire _guard606 = wrapper_early_reset_static_par_go_out; +wire _guard607 = _guard605 & _guard606; +wire _guard608 = fsm_out == 1'd0; +wire _guard609 = signal_reg_out; +wire _guard610 = ~_guard609; +wire _guard611 = _guard608 & _guard610; +wire _guard612 = wrapper_early_reset_static_par0_go_out; +wire _guard613 = _guard611 & _guard612; +wire _guard614 = _guard607 | _guard613; +wire _guard615 = fsm_out == 1'd0; +wire _guard616 = signal_reg_out; +wire _guard617 = _guard615 & _guard616; +wire _guard618 = fsm2_out == 2'd2; +wire _guard619 = pd2_out; +wire _guard620 = pd3_out; +wire _guard621 = _guard619 & _guard620; +wire _guard622 = pd4_out; +wire _guard623 = _guard621 & _guard622; +wire _guard624 = invoke21_go_out; +wire _guard625 = invoke21_go_out; +wire _guard626 = invoke11_go_out; +wire _guard627 = invoke12_go_out; +wire _guard628 = invoke23_go_out; +wire _guard629 = invoke11_go_out; +wire _guard630 = invoke12_go_out; +wire _guard631 = invoke23_go_out; +wire _guard632 = invoke11_go_out; +wire _guard633 = invoke12_go_out; +wire _guard634 = invoke23_go_out; +wire _guard635 = invoke11_go_out; +wire _guard636 = invoke12_go_out; +wire _guard637 = pd_out; +wire _guard638 = pd0_out; +wire _guard639 = _guard637 & _guard638; +wire _guard640 = pd1_out; +wire _guard641 = _guard639 & _guard640; +wire _guard642 = tdcc_done_out; +wire _guard643 = par0_go_out; +wire _guard644 = _guard642 & _guard643; +wire _guard645 = _guard641 | _guard644; +wire _guard646 = tdcc_done_out; +wire _guard647 = par0_go_out; +wire _guard648 = _guard646 & _guard647; +wire _guard649 = pd_out; +wire _guard650 = pd0_out; +wire _guard651 = _guard649 & _guard650; +wire _guard652 = pd1_out; +wire _guard653 = _guard651 & _guard652; +wire _guard654 = pd_out; +wire _guard655 = pd0_out; wire _guard656 = _guard654 & _guard655; -wire _guard657 = invoke21_go_out; -wire _guard658 = invoke21_go_out; -wire _guard659 = invoke11_go_out; -wire _guard660 = invoke12_go_out; -wire _guard661 = invoke23_go_out; -wire _guard662 = invoke11_go_out; -wire _guard663 = invoke12_go_out; -wire _guard664 = invoke23_go_out; -wire _guard665 = invoke11_go_out; -wire _guard666 = invoke12_go_out; -wire _guard667 = invoke23_go_out; -wire _guard668 = invoke11_go_out; -wire _guard669 = invoke12_go_out; -wire _guard670 = invoke23_go_out; -wire _guard671 = pd_out; -wire _guard672 = pd0_out; +wire _guard657 = pd1_out; +wire _guard658 = _guard656 & _guard657; +wire _guard659 = tdcc0_done_out; +wire _guard660 = par0_go_out; +wire _guard661 = _guard659 & _guard660; +wire _guard662 = _guard658 | _guard661; +wire _guard663 = tdcc0_done_out; +wire _guard664 = par0_go_out; +wire _guard665 = _guard663 & _guard664; +wire _guard666 = pd_out; +wire _guard667 = pd0_out; +wire _guard668 = _guard666 & _guard667; +wire _guard669 = pd1_out; +wire _guard670 = _guard668 & _guard669; +wire _guard671 = pd2_out; +wire _guard672 = pd3_out; wire _guard673 = _guard671 & _guard672; -wire _guard674 = pd1_out; +wire _guard674 = pd4_out; wire _guard675 = _guard673 & _guard674; -wire _guard676 = tdcc_done_out; -wire _guard677 = par0_go_out; +wire _guard676 = tdcc4_done_out; +wire _guard677 = par1_go_out; wire _guard678 = _guard676 & _guard677; wire _guard679 = _guard675 | _guard678; -wire _guard680 = tdcc_done_out; -wire _guard681 = par0_go_out; +wire _guard680 = tdcc4_done_out; +wire _guard681 = par1_go_out; wire _guard682 = _guard680 & _guard681; -wire _guard683 = pd_out; -wire _guard684 = pd0_out; +wire _guard683 = pd2_out; +wire _guard684 = pd3_out; wire _guard685 = _guard683 & _guard684; -wire _guard686 = pd1_out; +wire _guard686 = pd4_out; wire _guard687 = _guard685 & _guard686; -wire _guard688 = pd_out; -wire _guard689 = pd0_out; -wire _guard690 = _guard688 & _guard689; -wire _guard691 = pd1_out; -wire _guard692 = _guard690 & _guard691; -wire _guard693 = tdcc0_done_out; -wire _guard694 = par0_go_out; -wire _guard695 = _guard693 & _guard694; -wire _guard696 = _guard692 | _guard695; -wire _guard697 = tdcc0_done_out; -wire _guard698 = par0_go_out; +wire _guard688 = pd4_out; +wire _guard689 = tdcc4_done_out; +wire _guard690 = _guard688 | _guard689; +wire _guard691 = ~_guard690; +wire _guard692 = par1_go_out; +wire _guard693 = _guard691 & _guard692; +wire _guard694 = invoke18_go_out; +wire _guard695 = invoke18_go_out; +wire _guard696 = wrapper_early_reset_static_par0_done_out; +wire _guard697 = ~_guard696; +wire _guard698 = fsm6_out == 3'd3; wire _guard699 = _guard697 & _guard698; -wire _guard700 = pd_out; -wire _guard701 = pd0_out; -wire _guard702 = _guard700 & _guard701; -wire _guard703 = pd1_out; +wire _guard700 = tdcc5_go_out; +wire _guard701 = _guard699 & _guard700; +wire _guard702 = fsm_out == 1'd0; +wire _guard703 = signal_reg_out; wire _guard704 = _guard702 & _guard703; -wire _guard705 = pd2_out; -wire _guard706 = pd3_out; -wire _guard707 = _guard705 & _guard706; -wire _guard708 = pd4_out; +wire _guard705 = fsm0_out == 2'd2; +wire _guard706 = invoke19_done_out; +wire _guard707 = ~_guard706; +wire _guard708 = fsm4_out == 2'd0; wire _guard709 = _guard707 & _guard708; -wire _guard710 = tdcc4_done_out; -wire _guard711 = par1_go_out; -wire _guard712 = _guard710 & _guard711; -wire _guard713 = _guard709 | _guard712; -wire _guard714 = tdcc4_done_out; -wire _guard715 = par1_go_out; -wire _guard716 = _guard714 & _guard715; -wire _guard717 = pd2_out; -wire _guard718 = pd3_out; -wire _guard719 = _guard717 & _guard718; -wire _guard720 = pd4_out; -wire _guard721 = _guard719 & _guard720; -wire _guard722 = pd4_out; -wire _guard723 = tdcc4_done_out; -wire _guard724 = _guard722 | _guard723; -wire _guard725 = ~_guard724; -wire _guard726 = par1_go_out; -wire _guard727 = _guard725 & _guard726; -wire _guard728 = invoke18_go_out; -wire _guard729 = invoke18_go_out; -wire _guard730 = wrapper_early_reset_static_par0_done_out; -wire _guard731 = ~_guard730; -wire _guard732 = fsm6_out == 3'd3; -wire _guard733 = _guard731 & _guard732; -wire _guard734 = tdcc5_go_out; +wire _guard710 = tdcc3_go_out; +wire _guard711 = _guard709 & _guard710; +wire _guard712 = invoke20_done_out; +wire _guard713 = ~_guard712; +wire _guard714 = fsm4_out == 2'd1; +wire _guard715 = _guard713 & _guard714; +wire _guard716 = tdcc3_go_out; +wire _guard717 = _guard715 & _guard716; +wire _guard718 = invoke16_go_out; +wire _guard719 = invoke16_go_out; +wire _guard720 = invoke22_go_out; +wire _guard721 = invoke22_go_out; +wire _guard722 = invoke22_go_out; +wire _guard723 = invoke22_go_out; +wire _guard724 = invoke23_go_out; +wire _guard725 = invoke23_go_out; +wire _guard726 = invoke23_go_out; +wire _guard727 = invoke23_go_out; +wire _guard728 = invoke23_go_out; +wire _guard729 = invoke23_go_out; +wire _guard730 = invoke23_go_out; +wire _guard731 = invoke23_go_out; +wire _guard732 = invoke23_go_out; +wire _guard733 = pd2_out; +wire _guard734 = pd3_out; wire _guard735 = _guard733 & _guard734; -wire _guard736 = fsm_out == 1'd0; -wire _guard737 = signal_reg_out; -wire _guard738 = _guard736 & _guard737; -wire _guard739 = fsm0_out == 2'd2; -wire _guard740 = invoke19_done_out; -wire _guard741 = ~_guard740; -wire _guard742 = fsm4_out == 2'd0; -wire _guard743 = _guard741 & _guard742; -wire _guard744 = tdcc3_go_out; -wire _guard745 = _guard743 & _guard744; -wire _guard746 = invoke20_done_out; -wire _guard747 = ~_guard746; -wire _guard748 = fsm4_out == 2'd1; +wire _guard736 = pd4_out; +wire _guard737 = _guard735 & _guard736; +wire _guard738 = tdcc3_done_out; +wire _guard739 = par1_go_out; +wire _guard740 = _guard738 & _guard739; +wire _guard741 = _guard737 | _guard740; +wire _guard742 = tdcc3_done_out; +wire _guard743 = par1_go_out; +wire _guard744 = _guard742 & _guard743; +wire _guard745 = pd2_out; +wire _guard746 = pd3_out; +wire _guard747 = _guard745 & _guard746; +wire _guard748 = pd4_out; wire _guard749 = _guard747 & _guard748; -wire _guard750 = tdcc3_go_out; -wire _guard751 = _guard749 & _guard750; -wire _guard752 = invoke16_go_out; -wire _guard753 = invoke17_go_out; -wire _guard754 = invoke16_go_out; -wire _guard755 = invoke17_go_out; -wire _guard756 = invoke22_go_out; -wire _guard757 = invoke22_go_out; -wire _guard758 = invoke22_go_out; -wire _guard759 = invoke22_go_out; -wire _guard760 = invoke22_go_out; -wire _guard761 = invoke22_go_out; -wire _guard762 = invoke22_go_out; -wire _guard763 = invoke23_go_out; -wire _guard764 = invoke23_go_out; -wire _guard765 = invoke23_go_out; -wire _guard766 = invoke23_go_out; -wire _guard767 = invoke23_go_out; -wire _guard768 = invoke23_go_out; -wire _guard769 = invoke23_go_out; -wire _guard770 = invoke23_go_out; -wire _guard771 = invoke23_go_out; -wire _guard772 = invoke23_go_out; -wire _guard773 = invoke23_go_out; -wire _guard774 = pd2_out; -wire _guard775 = pd3_out; -wire _guard776 = _guard774 & _guard775; -wire _guard777 = pd4_out; -wire _guard778 = _guard776 & _guard777; -wire _guard779 = tdcc3_done_out; -wire _guard780 = par1_go_out; +wire _guard750 = wrapper_early_reset_static_par_go_out; +wire _guard751 = fsm5_out == 2'd3; +wire _guard752 = invoke19_go_out; +wire _guard753 = invoke19_go_out; +wire _guard754 = invoke11_go_out; +wire _guard755 = early_reset_static_par_go_out; +wire _guard756 = invoke23_go_out; +wire _guard757 = invoke11_go_out; +wire _guard758 = invoke23_go_out; +wire _guard759 = early_reset_static_par_go_out; +wire _guard760 = invoke6_done_out; +wire _guard761 = ~_guard760; +wire _guard762 = fsm0_out == 2'd0; +wire _guard763 = _guard761 & _guard762; +wire _guard764 = tdcc_go_out; +wire _guard765 = _guard763 & _guard764; +wire _guard766 = invoke24_done_out; +wire _guard767 = ~_guard766; +wire _guard768 = fsm5_out == 2'd2; +wire _guard769 = _guard767 & _guard768; +wire _guard770 = tdcc4_go_out; +wire _guard771 = _guard769 & _guard770; +wire _guard772 = pd1_out; +wire _guard773 = tdcc1_done_out; +wire _guard774 = _guard772 | _guard773; +wire _guard775 = ~_guard774; +wire _guard776 = par0_go_out; +wire _guard777 = _guard775 & _guard776; +wire _guard778 = par0_done_out; +wire _guard779 = ~_guard778; +wire _guard780 = fsm6_out == 3'd1; wire _guard781 = _guard779 & _guard780; -wire _guard782 = _guard778 | _guard781; -wire _guard783 = tdcc3_done_out; -wire _guard784 = par1_go_out; -wire _guard785 = _guard783 & _guard784; -wire _guard786 = pd2_out; -wire _guard787 = pd3_out; -wire _guard788 = _guard786 & _guard787; -wire _guard789 = pd4_out; -wire _guard790 = _guard788 & _guard789; -wire _guard791 = wrapper_early_reset_static_par_go_out; -wire _guard792 = fsm5_out == 2'd3; -wire _guard793 = invoke20_go_out; -wire _guard794 = invoke19_go_out; -wire _guard795 = invoke20_go_out; -wire _guard796 = invoke19_go_out; -wire _guard797 = invoke11_go_out; -wire _guard798 = early_reset_static_par_go_out; -wire _guard799 = invoke23_go_out; -wire _guard800 = invoke11_go_out; -wire _guard801 = invoke23_go_out; -wire _guard802 = early_reset_static_par_go_out; -wire _guard803 = invoke6_done_out; -wire _guard804 = ~_guard803; -wire _guard805 = fsm0_out == 2'd0; -wire _guard806 = _guard804 & _guard805; -wire _guard807 = tdcc_go_out; -wire _guard808 = _guard806 & _guard807; -wire _guard809 = invoke24_done_out; -wire _guard810 = ~_guard809; -wire _guard811 = fsm5_out == 2'd2; -wire _guard812 = _guard810 & _guard811; -wire _guard813 = tdcc4_go_out; -wire _guard814 = _guard812 & _guard813; -wire _guard815 = pd1_out; -wire _guard816 = tdcc1_done_out; -wire _guard817 = _guard815 | _guard816; -wire _guard818 = ~_guard817; -wire _guard819 = par0_go_out; -wire _guard820 = _guard818 & _guard819; -wire _guard821 = par0_done_out; -wire _guard822 = ~_guard821; -wire _guard823 = fsm6_out == 3'd1; -wire _guard824 = _guard822 & _guard823; -wire _guard825 = tdcc5_go_out; -wire _guard826 = _guard824 & _guard825; -wire _guard827 = invoke7_done_out; -wire _guard828 = ~_guard827; -wire _guard829 = fsm0_out == 2'd1; -wire _guard830 = _guard828 & _guard829; -wire _guard831 = tdcc_go_out; -wire _guard832 = _guard830 & _guard831; -wire _guard833 = invoke10_done_out; -wire _guard834 = ~_guard833; -wire _guard835 = fsm2_out == 2'd0; -wire _guard836 = _guard834 & _guard835; -wire _guard837 = tdcc1_go_out; -wire _guard838 = _guard836 & _guard837; -wire _guard839 = invoke22_done_out; -wire _guard840 = ~_guard839; -wire _guard841 = fsm5_out == 2'd0; -wire _guard842 = _guard840 & _guard841; -wire _guard843 = tdcc4_go_out; -wire _guard844 = _guard842 & _guard843; -wire _guard845 = fsm6_out == 3'd5; +wire _guard782 = tdcc5_go_out; +wire _guard783 = _guard781 & _guard782; +wire _guard784 = invoke7_done_out; +wire _guard785 = ~_guard784; +wire _guard786 = fsm0_out == 2'd1; +wire _guard787 = _guard785 & _guard786; +wire _guard788 = tdcc_go_out; +wire _guard789 = _guard787 & _guard788; +wire _guard790 = invoke10_done_out; +wire _guard791 = ~_guard790; +wire _guard792 = fsm2_out == 2'd0; +wire _guard793 = _guard791 & _guard792; +wire _guard794 = tdcc1_go_out; +wire _guard795 = _guard793 & _guard794; +wire _guard796 = invoke22_done_out; +wire _guard797 = ~_guard796; +wire _guard798 = fsm5_out == 2'd0; +wire _guard799 = _guard797 & _guard798; +wire _guard800 = tdcc4_go_out; +wire _guard801 = _guard799 & _guard800; +wire _guard802 = fsm6_out == 3'd5; assign curr_addr_internal_mem_A0_write_en = _guard1 ? 1'd1 : _guard2 ? read_channel_A0_curr_addr_internal_mem_write_en : @@ -7302,241 +7275,235 @@ assign read_channel_Sum0_RDATA = _guard18 ? Sum0_RDATA : 32'd0; assign read_channel_Sum0_clk = clk; -assign read_channel_Sum0_mem_ref_read_data = - _guard19 ? internal_mem_Sum0_read_data : - 32'd0; -assign read_channel_Sum0_go = _guard20; +assign read_channel_Sum0_go = _guard19; assign read_channel_Sum0_reset = reset; assign read_channel_Sum0_RRESP = - _guard21 ? Sum0_RRESP : + _guard20 ? Sum0_RRESP : 2'd0; assign read_channel_Sum0_mem_ref_done = - _guard22 ? internal_mem_Sum0_done : + _guard21 ? internal_mem_Sum0_done : 1'd0; assign read_channel_Sum0_ARESETn = - _guard23 ? Sum0_ARESETn : + _guard22 ? Sum0_ARESETn : 1'd0; assign read_channel_Sum0_curr_addr_internal_mem_done = - _guard24 ? curr_addr_internal_mem_Sum0_done : + _guard23 ? curr_addr_internal_mem_Sum0_done : 1'd0; assign read_channel_Sum0_curr_addr_axi_done = - _guard25 ? curr_addr_axi_Sum0_done : + _guard24 ? curr_addr_axi_Sum0_done : 1'd0; -assign done = _guard26; +assign done = _guard25; assign B0_WLAST = - _guard27 ? write_channel_B0_WLAST : + _guard26 ? write_channel_B0_WLAST : 1'd0; assign Sum0_ARVALID = - _guard28 ? ar_channel_Sum0_ARVALID : + _guard27 ? ar_channel_Sum0_ARVALID : 1'd0; assign Sum0_ARBURST = - _guard29 ? ar_channel_Sum0_ARBURST : + _guard28 ? ar_channel_Sum0_ARBURST : 2'd0; assign Sum0_AWADDR = - _guard30 ? aw_channel_Sum0_AWADDR : + _guard29 ? aw_channel_Sum0_AWADDR : 64'd0; assign Sum0_AWSIZE = - _guard31 ? aw_channel_Sum0_AWSIZE : + _guard30 ? aw_channel_Sum0_AWSIZE : 3'd0; assign Sum0_ARID = 1'd0; assign A0_ARSIZE = - _guard32 ? ar_channel_A0_ARSIZE : + _guard31 ? ar_channel_A0_ARSIZE : 3'd0; assign A0_AWBURST = - _guard33 ? aw_channel_A0_AWBURST : + _guard32 ? aw_channel_A0_AWBURST : 2'd0; assign B0_AWBURST = - _guard34 ? aw_channel_B0_AWBURST : + _guard33 ? aw_channel_B0_AWBURST : 2'd0; assign Sum0_WDATA = - _guard35 ? write_channel_Sum0_WDATA : + _guard34 ? write_channel_Sum0_WDATA : 32'd0; assign A0_BREADY = - _guard36 ? bresp_channel_A0_BREADY : + _guard35 ? bresp_channel_A0_BREADY : 1'd0; assign B0_AWLEN = - _guard37 ? aw_channel_B0_AWLEN : + _guard36 ? aw_channel_B0_AWLEN : 8'd0; assign Sum0_RREADY = - _guard38 ? read_channel_Sum0_RREADY : + _guard37 ? read_channel_Sum0_RREADY : 1'd0; assign B0_ARID = 1'd0; assign B0_ARBURST = - _guard39 ? ar_channel_B0_ARBURST : + _guard38 ? ar_channel_B0_ARBURST : 2'd0; assign B0_AWVALID = - _guard40 ? aw_channel_B0_AWVALID : + _guard39 ? aw_channel_B0_AWVALID : 1'd0; assign B0_WVALID = - _guard41 ? write_channel_B0_WVALID : + _guard40 ? write_channel_B0_WVALID : 1'd0; assign Sum0_AWLEN = - _guard42 ? aw_channel_Sum0_AWLEN : + _guard41 ? aw_channel_Sum0_AWLEN : 8'd0; assign Sum0_BID = 1'd0; assign A0_AWSIZE = - _guard43 ? aw_channel_A0_AWSIZE : + _guard42 ? aw_channel_A0_AWSIZE : 3'd0; assign B0_ARLEN = - _guard44 ? ar_channel_B0_ARLEN : + _guard43 ? ar_channel_B0_ARLEN : 8'd0; assign B0_WID = 1'd0; assign B0_BID = 1'd0; assign A0_WLAST = - _guard45 ? write_channel_A0_WLAST : + _guard44 ? write_channel_A0_WLAST : 1'd0; assign B0_ARVALID = - _guard46 ? ar_channel_B0_ARVALID : + _guard45 ? ar_channel_B0_ARVALID : 1'd0; assign B0_AWPROT = - _guard47 ? aw_channel_B0_AWPROT : + _guard46 ? aw_channel_B0_AWPROT : 3'd0; assign Sum0_AWPROT = - _guard48 ? aw_channel_Sum0_AWPROT : + _guard47 ? aw_channel_Sum0_AWPROT : 3'd0; assign A0_ARBURST = - _guard49 ? ar_channel_A0_ARBURST : + _guard48 ? ar_channel_A0_ARBURST : 2'd0; assign A0_AWPROT = - _guard50 ? aw_channel_A0_AWPROT : + _guard49 ? aw_channel_A0_AWPROT : 3'd0; assign Sum0_WLAST = - _guard51 ? write_channel_Sum0_WLAST : + _guard50 ? write_channel_Sum0_WLAST : 1'd0; assign Sum0_BREADY = - _guard52 ? bresp_channel_Sum0_BREADY : + _guard51 ? bresp_channel_Sum0_BREADY : 1'd0; assign Sum0_AWID = 1'd0; assign A0_WVALID = - _guard53 ? write_channel_A0_WVALID : + _guard52 ? write_channel_A0_WVALID : 1'd0; assign A0_WID = 1'd0; assign B0_AWID = 1'd0; assign A0_ARADDR = - _guard54 ? ar_channel_A0_ARADDR : + _guard53 ? ar_channel_A0_ARADDR : 64'd0; assign A0_WDATA = - _guard55 ? write_channel_A0_WDATA : + _guard54 ? write_channel_A0_WDATA : 32'd0; assign Sum0_ARADDR = - _guard56 ? ar_channel_Sum0_ARADDR : + _guard55 ? ar_channel_Sum0_ARADDR : 64'd0; assign Sum0_AWBURST = - _guard57 ? aw_channel_Sum0_AWBURST : + _guard56 ? aw_channel_Sum0_AWBURST : 2'd0; assign Sum0_WVALID = - _guard58 ? write_channel_Sum0_WVALID : + _guard57 ? write_channel_Sum0_WVALID : 1'd0; assign A0_RREADY = - _guard59 ? read_channel_A0_RREADY : + _guard58 ? read_channel_A0_RREADY : 1'd0; assign A0_BID = 1'd0; assign B0_RREADY = - _guard60 ? read_channel_B0_RREADY : + _guard59 ? read_channel_B0_RREADY : 1'd0; assign B0_WDATA = - _guard61 ? write_channel_B0_WDATA : + _guard60 ? write_channel_B0_WDATA : 32'd0; assign B0_BREADY = - _guard62 ? bresp_channel_B0_BREADY : + _guard61 ? bresp_channel_B0_BREADY : 1'd0; assign A0_AWLEN = - _guard63 ? aw_channel_A0_AWLEN : + _guard62 ? aw_channel_A0_AWLEN : 8'd0; assign B0_ARSIZE = - _guard64 ? ar_channel_B0_ARSIZE : + _guard63 ? ar_channel_B0_ARSIZE : 3'd0; assign A0_AWADDR = - _guard65 ? aw_channel_A0_AWADDR : + _guard64 ? aw_channel_A0_AWADDR : 64'd0; assign B0_ARADDR = - _guard66 ? ar_channel_B0_ARADDR : + _guard65 ? ar_channel_B0_ARADDR : 64'd0; assign Sum0_ARSIZE = - _guard67 ? ar_channel_Sum0_ARSIZE : + _guard66 ? ar_channel_Sum0_ARSIZE : 3'd0; assign Sum0_ARLEN = - _guard68 ? ar_channel_Sum0_ARLEN : + _guard67 ? ar_channel_Sum0_ARLEN : 8'd0; assign Sum0_AWVALID = - _guard69 ? aw_channel_Sum0_AWVALID : + _guard68 ? aw_channel_Sum0_AWVALID : 1'd0; assign A0_ARVALID = - _guard70 ? ar_channel_A0_ARVALID : + _guard69 ? ar_channel_A0_ARVALID : 1'd0; assign A0_AWVALID = - _guard71 ? aw_channel_A0_AWVALID : + _guard70 ? aw_channel_A0_AWVALID : 1'd0; assign A0_ARID = 1'd0; assign B0_AWADDR = - _guard72 ? aw_channel_B0_AWADDR : + _guard71 ? aw_channel_B0_AWADDR : 64'd0; assign B0_AWSIZE = - _guard73 ? aw_channel_B0_AWSIZE : + _guard72 ? aw_channel_B0_AWSIZE : 3'd0; assign Sum0_WID = 1'd0; assign A0_ARLEN = - _guard74 ? ar_channel_A0_ARLEN : + _guard73 ? ar_channel_A0_ARLEN : 8'd0; assign A0_AWID = 1'd0; -assign fsm_write_en = _guard77; +assign fsm_write_en = _guard76; assign fsm_clk = clk; assign fsm_reset = reset; assign fsm_in = _guard80 ? adder_out : _guard87 ? 1'd0 : - _guard90 ? adder0_out : + _guard91 ? adder0_out : 1'd0; assign adder_left = - _guard91 ? fsm_out : + _guard92 ? fsm_out : 1'd0; -assign adder_right = _guard92; -assign fsm6_write_en = _guard123; +assign adder_right = _guard93; +assign fsm6_write_en = _guard124; assign fsm6_clk = clk; assign fsm6_reset = reset; assign fsm6_in = - _guard128 ? 3'd5 : - _guard133 ? 3'd2 : - _guard138 ? 3'd4 : - _guard143 ? 3'd1 : - _guard144 ? 3'd0 : - _guard149 ? 3'd3 : + _guard129 ? 3'd5 : + _guard134 ? 3'd2 : + _guard139 ? 3'd4 : + _guard144 ? 3'd1 : + _guard145 ? 3'd0 : + _guard150 ? 3'd3 : 3'd0; -assign early_reset_static_par0_go_in = _guard150; +assign early_reset_static_par0_go_in = _guard151; assign invoke11_done_in = read_channel_Sum0_done; -assign invoke18_go_in = _guard156; -assign tdcc2_go_in = _guard162; +assign invoke18_go_in = _guard157; +assign tdcc2_go_in = _guard163; assign curr_addr_internal_mem_B0_write_en = - _guard163 ? read_channel_B0_curr_addr_internal_mem_write_en : - _guard164 ? 1'd1 : - _guard165 ? write_channel_B0_curr_addr_internal_mem_write_en : + _guard164 ? read_channel_B0_curr_addr_internal_mem_write_en : + _guard165 ? 1'd1 : + _guard166 ? write_channel_B0_curr_addr_internal_mem_write_en : 1'd0; assign curr_addr_internal_mem_B0_clk = clk; assign curr_addr_internal_mem_B0_reset = reset; assign curr_addr_internal_mem_B0_in = - _guard166 ? read_channel_B0_curr_addr_internal_mem_in : - _guard167 ? write_channel_B0_curr_addr_internal_mem_in : - _guard168 ? 3'd0 : + _guard167 ? read_channel_B0_curr_addr_internal_mem_in : + _guard168 ? write_channel_B0_curr_addr_internal_mem_in : + _guard169 ? 3'd0 : 'x; assign read_channel_B0_curr_addr_internal_mem_out = - _guard169 ? curr_addr_internal_mem_B0_out : + _guard170 ? curr_addr_internal_mem_B0_out : 3'd0; assign read_channel_B0_curr_addr_axi_out = - _guard170 ? curr_addr_axi_B0_out : + _guard171 ? curr_addr_axi_B0_out : 64'd0; assign read_channel_B0_RVALID = - _guard171 ? B0_RVALID : + _guard172 ? B0_RVALID : 1'd0; assign read_channel_B0_RLAST = - _guard172 ? B0_RLAST : + _guard173 ? B0_RLAST : 1'd0; assign read_channel_B0_RDATA = - _guard173 ? B0_RDATA : + _guard174 ? B0_RDATA : 32'd0; assign read_channel_B0_clk = clk; -assign read_channel_B0_mem_ref_read_data = - _guard174 ? internal_mem_B0_read_data : - 32'd0; assign read_channel_B0_go = _guard175; assign read_channel_B0_reset = reset; assign read_channel_B0_RRESP = @@ -7571,581 +7538,488 @@ assign internal_mem_B0_content_en = _guard189 ? write_channel_B0_mem_ref_content_en : 1'd0; assign internal_mem_B0_reset = reset; -assign internal_mem_B0_write_data = - _guard190 ? read_channel_B0_mem_ref_write_data : - _guard191 ? main_compute_B0_write_data : - _guard192 ? write_channel_B0_mem_ref_write_data : - 'x; +assign internal_mem_B0_write_data = read_channel_B0_mem_ref_write_data; assign bresp_channel_Sum0_clk = clk; -assign bresp_channel_Sum0_go = _guard193; +assign bresp_channel_Sum0_go = _guard191; assign bresp_channel_Sum0_reset = reset; assign bresp_channel_Sum0_BVALID = - _guard194 ? Sum0_BVALID : + _guard192 ? Sum0_BVALID : 1'd0; -assign fsm3_write_en = _guard213; +assign fsm3_write_en = _guard211; assign fsm3_clk = clk; assign fsm3_reset = reset; assign fsm3_in = - _guard218 ? 2'd1 : - _guard219 ? 2'd0 : - _guard224 ? 2'd3 : - _guard229 ? 2'd2 : + _guard216 ? 2'd1 : + _guard217 ? 2'd0 : + _guard222 ? 2'd3 : + _guard227 ? 2'd2 : 2'd0; -assign fsm5_write_en = _guard248; +assign fsm5_write_en = _guard246; assign fsm5_clk = clk; assign fsm5_reset = reset; assign fsm5_in = - _guard253 ? 2'd1 : - _guard254 ? 2'd0 : - _guard259 ? 2'd3 : - _guard264 ? 2'd2 : + _guard251 ? 2'd1 : + _guard252 ? 2'd0 : + _guard257 ? 2'd3 : + _guard262 ? 2'd2 : 2'd0; -assign tdcc0_done_in = _guard265; +assign tdcc0_done_in = _guard263; assign curr_addr_axi_B0_write_en = - _guard266 ? read_channel_B0_curr_addr_axi_write_en : - _guard269 ? 1'd1 : - _guard270 ? write_channel_B0_curr_addr_axi_write_en : - _guard271 ? aw_channel_B0_curr_addr_axi_write_en : - _guard272 ? ar_channel_B0_curr_addr_axi_write_en : + _guard264 ? read_channel_B0_curr_addr_axi_write_en : + _guard267 ? 1'd1 : + _guard268 ? write_channel_B0_curr_addr_axi_write_en : 1'd0; assign curr_addr_axi_B0_clk = clk; assign curr_addr_axi_B0_reset = reset; assign curr_addr_axi_B0_in = - _guard273 ? read_channel_B0_curr_addr_axi_in : - _guard274 ? write_channel_B0_curr_addr_axi_in : - _guard275 ? aw_channel_B0_curr_addr_axi_in : - _guard278 ? 64'd4096 : - _guard279 ? ar_channel_B0_curr_addr_axi_in : + _guard269 ? read_channel_B0_curr_addr_axi_in : + _guard270 ? write_channel_B0_curr_addr_axi_in : + _guard273 ? 64'd4096 : 'x; assign max_transfers_Sum0_write_en = - _guard280 ? aw_channel_Sum0_max_transfers_write_en : - _guard281 ? write_channel_Sum0_max_transfers_write_en : + _guard274 ? aw_channel_Sum0_max_transfers_write_en : 1'd0; assign max_transfers_Sum0_clk = clk; assign max_transfers_Sum0_reset = reset; -assign max_transfers_Sum0_in = - _guard282 ? aw_channel_Sum0_max_transfers_in : - _guard283 ? write_channel_Sum0_max_transfers_in : - 'x; +assign max_transfers_Sum0_in = aw_channel_Sum0_max_transfers_in; assign main_compute_A0_read_data = - _guard284 ? internal_mem_A0_read_data : + _guard276 ? internal_mem_A0_read_data : 32'd0; assign main_compute_B0_read_data = - _guard285 ? internal_mem_B0_read_data : + _guard277 ? internal_mem_B0_read_data : 32'd0; assign main_compute_Sum0_done = - _guard286 ? internal_mem_Sum0_done : + _guard278 ? internal_mem_Sum0_done : 1'd0; assign main_compute_clk = clk; assign main_compute_B0_done = - _guard287 ? internal_mem_B0_done : + _guard279 ? internal_mem_B0_done : 1'd0; -assign main_compute_go = _guard288; +assign main_compute_go = _guard280; assign main_compute_reset = reset; assign main_compute_A0_done = - _guard289 ? internal_mem_A0_done : + _guard281 ? internal_mem_A0_done : 1'd0; -assign main_compute_Sum0_read_data = - _guard290 ? internal_mem_Sum0_read_data : - 32'd0; -assign fsm1_write_en = _guard303; +assign fsm1_write_en = _guard294; assign fsm1_clk = clk; assign fsm1_reset = reset; assign fsm1_in = - _guard308 ? 2'd1 : - _guard309 ? 2'd0 : - _guard314 ? 2'd2 : + _guard299 ? 2'd1 : + _guard300 ? 2'd0 : + _guard305 ? 2'd2 : 2'd0; -assign fsm4_write_en = _guard333; +assign fsm4_write_en = _guard324; assign fsm4_clk = clk; assign fsm4_reset = reset; assign fsm4_in = - _guard338 ? 2'd1 : - _guard339 ? 2'd0 : - _guard344 ? 2'd3 : - _guard349 ? 2'd2 : + _guard329 ? 2'd1 : + _guard330 ? 2'd0 : + _guard335 ? 2'd3 : + _guard340 ? 2'd2 : 2'd0; -assign wrapper_early_reset_static_par_go_in = _guard355; -assign invoke11_go_in = _guard361; +assign wrapper_early_reset_static_par_go_in = _guard346; +assign invoke11_go_in = _guard352; assign invoke20_done_in = write_channel_B0_done; -assign invoke23_go_in = _guard367; -assign par1_go_in = _guard373; +assign invoke23_go_in = _guard358; +assign par1_go_in = _guard364; assign curr_addr_axi_A0_write_en = - _guard376 ? 1'd1 : - _guard377 ? read_channel_A0_curr_addr_axi_write_en : - _guard378 ? ar_channel_A0_curr_addr_axi_write_en : - _guard379 ? aw_channel_A0_curr_addr_axi_write_en : - _guard380 ? write_channel_A0_curr_addr_axi_write_en : + _guard367 ? 1'd1 : + _guard368 ? read_channel_A0_curr_addr_axi_write_en : + _guard369 ? write_channel_A0_curr_addr_axi_write_en : 1'd0; assign curr_addr_axi_A0_clk = clk; assign curr_addr_axi_A0_reset = reset; assign curr_addr_axi_A0_in = - _guard381 ? read_channel_A0_curr_addr_axi_in : - _guard382 ? ar_channel_A0_curr_addr_axi_in : - _guard383 ? aw_channel_A0_curr_addr_axi_in : - _guard386 ? 64'd4096 : - _guard387 ? write_channel_A0_curr_addr_axi_in : + _guard370 ? read_channel_A0_curr_addr_axi_in : + _guard373 ? 64'd4096 : + _guard374 ? write_channel_A0_curr_addr_axi_in : 'x; assign read_channel_A0_curr_addr_internal_mem_out = - _guard388 ? curr_addr_internal_mem_A0_out : + _guard375 ? curr_addr_internal_mem_A0_out : 3'd0; assign read_channel_A0_curr_addr_axi_out = - _guard389 ? curr_addr_axi_A0_out : + _guard376 ? curr_addr_axi_A0_out : 64'd0; assign read_channel_A0_RVALID = - _guard390 ? A0_RVALID : + _guard377 ? A0_RVALID : 1'd0; assign read_channel_A0_RLAST = - _guard391 ? A0_RLAST : + _guard378 ? A0_RLAST : 1'd0; assign read_channel_A0_RDATA = - _guard392 ? A0_RDATA : + _guard379 ? A0_RDATA : 32'd0; assign read_channel_A0_clk = clk; -assign read_channel_A0_mem_ref_read_data = - _guard393 ? internal_mem_A0_read_data : - 32'd0; -assign read_channel_A0_go = _guard394; +assign read_channel_A0_go = _guard380; assign read_channel_A0_reset = reset; assign read_channel_A0_RRESP = - _guard395 ? A0_RRESP : + _guard381 ? A0_RRESP : 2'd0; assign read_channel_A0_mem_ref_done = - _guard396 ? internal_mem_A0_done : + _guard382 ? internal_mem_A0_done : 1'd0; assign read_channel_A0_ARESETn = - _guard397 ? A0_ARESETn : + _guard383 ? A0_ARESETn : 1'd0; assign read_channel_A0_curr_addr_internal_mem_done = - _guard398 ? curr_addr_internal_mem_A0_done : + _guard384 ? curr_addr_internal_mem_A0_done : 1'd0; assign read_channel_A0_curr_addr_axi_done = - _guard399 ? curr_addr_axi_A0_done : + _guard385 ? curr_addr_axi_A0_done : 1'd0; assign internal_mem_A0_write_en = - _guard400 ? main_compute_A0_write_en : - _guard401 ? read_channel_A0_mem_ref_write_en : - _guard402 ? write_channel_A0_mem_ref_write_en : + _guard386 ? main_compute_A0_write_en : + _guard387 ? read_channel_A0_mem_ref_write_en : + _guard388 ? write_channel_A0_mem_ref_write_en : 1'd0; assign internal_mem_A0_clk = clk; assign internal_mem_A0_addr0 = - _guard403 ? main_compute_A0_addr0 : - _guard404 ? read_channel_A0_mem_ref_addr0 : - _guard405 ? write_channel_A0_mem_ref_addr0 : + _guard389 ? main_compute_A0_addr0 : + _guard390 ? read_channel_A0_mem_ref_addr0 : + _guard391 ? write_channel_A0_mem_ref_addr0 : 'x; assign internal_mem_A0_content_en = - _guard406 ? main_compute_A0_content_en : - _guard407 ? read_channel_A0_mem_ref_content_en : - _guard408 ? write_channel_A0_mem_ref_content_en : + _guard392 ? main_compute_A0_content_en : + _guard393 ? read_channel_A0_mem_ref_content_en : + _guard394 ? write_channel_A0_mem_ref_content_en : 1'd0; assign internal_mem_A0_reset = reset; -assign internal_mem_A0_write_data = - _guard409 ? main_compute_A0_write_data : - _guard410 ? read_channel_A0_mem_ref_write_data : - _guard411 ? write_channel_A0_mem_ref_write_data : - 'x; +assign internal_mem_A0_write_data = read_channel_A0_mem_ref_write_data; assign write_channel_B0_WREADY = - _guard412 ? B0_WREADY : + _guard396 ? B0_WREADY : 1'd0; assign write_channel_B0_curr_addr_internal_mem_out = - _guard413 ? curr_addr_internal_mem_B0_out : + _guard397 ? curr_addr_internal_mem_B0_out : 3'd0; assign write_channel_B0_curr_addr_axi_out = - _guard414 ? curr_addr_axi_B0_out : + _guard398 ? curr_addr_axi_B0_out : 64'd0; assign write_channel_B0_max_transfers_out = - _guard415 ? max_transfers_B0_out : + _guard399 ? max_transfers_B0_out : 8'd0; -assign write_channel_B0_max_transfers_done = - _guard416 ? max_transfers_B0_done : - 1'd0; assign write_channel_B0_clk = clk; assign write_channel_B0_mem_ref_read_data = - _guard417 ? internal_mem_B0_read_data : + _guard400 ? internal_mem_B0_read_data : 32'd0; -assign write_channel_B0_go = _guard418; +assign write_channel_B0_go = _guard401; assign write_channel_B0_reset = reset; -assign write_channel_B0_mem_ref_done = - _guard419 ? internal_mem_B0_done : - 1'd0; assign write_channel_B0_ARESETn = - _guard420 ? B0_ARESETn : + _guard402 ? B0_ARESETn : 1'd0; assign write_channel_B0_curr_addr_internal_mem_done = - _guard421 ? curr_addr_internal_mem_B0_done : + _guard403 ? curr_addr_internal_mem_B0_done : 1'd0; assign write_channel_B0_curr_addr_axi_done = - _guard422 ? curr_addr_axi_B0_done : + _guard404 ? curr_addr_axi_B0_done : 1'd0; assign curr_addr_axi_Sum0_write_en = - _guard423 ? read_channel_Sum0_curr_addr_axi_write_en : - _guard426 ? 1'd1 : - _guard427 ? ar_channel_Sum0_curr_addr_axi_write_en : - _guard428 ? aw_channel_Sum0_curr_addr_axi_write_en : - _guard429 ? write_channel_Sum0_curr_addr_axi_write_en : + _guard405 ? read_channel_Sum0_curr_addr_axi_write_en : + _guard408 ? 1'd1 : + _guard409 ? write_channel_Sum0_curr_addr_axi_write_en : 1'd0; assign curr_addr_axi_Sum0_clk = clk; assign curr_addr_axi_Sum0_reset = reset; assign curr_addr_axi_Sum0_in = - _guard430 ? read_channel_Sum0_curr_addr_axi_in : - _guard431 ? ar_channel_Sum0_curr_addr_axi_in : - _guard434 ? 64'd4096 : - _guard435 ? aw_channel_Sum0_curr_addr_axi_in : - _guard436 ? write_channel_Sum0_curr_addr_axi_in : + _guard410 ? read_channel_Sum0_curr_addr_axi_in : + _guard413 ? 64'd4096 : + _guard414 ? write_channel_Sum0_curr_addr_axi_in : 'x; assign ar_channel_Sum0_curr_addr_axi_out = - _guard437 ? curr_addr_axi_Sum0_out : + _guard415 ? curr_addr_axi_Sum0_out : 64'd0; assign ar_channel_Sum0_clk = clk; -assign ar_channel_Sum0_go = _guard438; +assign ar_channel_Sum0_go = _guard416; assign ar_channel_Sum0_reset = reset; assign ar_channel_Sum0_ARREADY = - _guard439 ? Sum0_ARREADY : + _guard417 ? Sum0_ARREADY : 1'd0; assign ar_channel_Sum0_ARESETn = - _guard440 ? Sum0_ARESETn : + _guard418 ? Sum0_ARESETn : 1'd0; -assign ar_channel_Sum0_curr_addr_axi_done = - _guard441 ? curr_addr_axi_Sum0_done : - 1'd0; -assign pd1_write_en = _guard450; +assign pd1_write_en = _guard427; assign pd1_clk = clk; assign pd1_reset = reset; assign pd1_in = - _guard453 ? 1'd1 : - _guard458 ? 1'd0 : + _guard430 ? 1'd1 : + _guard435 ? 1'd0 : 1'd0; assign early_reset_static_par0_done_in = ud0_out; -assign wrapper_early_reset_static_par_done_in = _guard461; -assign tdcc_go_in = _guard467; -assign invoke12_go_in = _guard473; +assign wrapper_early_reset_static_par_done_in = _guard438; +assign tdcc_go_in = _guard444; +assign invoke12_go_in = _guard450; assign invoke16_done_in = aw_channel_A0_done; assign invoke18_done_in = bresp_channel_A0_done; assign invoke23_done_in = write_channel_Sum0_done; -assign tdcc3_go_in = _guard479; -assign tdcc3_done_in = _guard480; +assign tdcc3_go_in = _guard456; +assign tdcc3_done_in = _guard457; assign aw_channel_B0_curr_addr_axi_out = - _guard481 ? curr_addr_axi_B0_out : + _guard458 ? curr_addr_axi_B0_out : 64'd0; -assign aw_channel_B0_max_transfers_out = - _guard482 ? max_transfers_B0_out : - 8'd0; -assign aw_channel_B0_max_transfers_done = - _guard483 ? max_transfers_B0_done : - 1'd0; assign aw_channel_B0_clk = clk; assign aw_channel_B0_AWREADY = - _guard484 ? B0_AWREADY : + _guard459 ? B0_AWREADY : 1'd0; -assign aw_channel_B0_go = _guard485; +assign aw_channel_B0_go = _guard460; assign aw_channel_B0_reset = reset; assign aw_channel_B0_ARESETn = - _guard486 ? B0_ARESETn : - 1'd0; -assign aw_channel_B0_curr_addr_axi_done = - _guard487 ? curr_addr_axi_B0_done : + _guard461 ? B0_ARESETn : 1'd0; -assign fsm0_write_en = _guard500; +assign fsm0_write_en = _guard474; assign fsm0_clk = clk; assign fsm0_reset = reset; assign fsm0_in = - _guard505 ? 2'd1 : - _guard506 ? 2'd0 : - _guard511 ? 2'd2 : + _guard479 ? 2'd1 : + _guard480 ? 2'd0 : + _guard485 ? 2'd2 : 2'd0; -assign fsm2_write_en = _guard524; +assign fsm2_write_en = _guard498; assign fsm2_clk = clk; assign fsm2_reset = reset; assign fsm2_in = - _guard529 ? 2'd1 : - _guard530 ? 2'd0 : - _guard535 ? 2'd2 : + _guard503 ? 2'd1 : + _guard504 ? 2'd0 : + _guard509 ? 2'd2 : 2'd0; -assign invoke8_go_in = _guard541; +assign invoke8_go_in = _guard515; assign invoke10_done_in = ar_channel_Sum0_done; -assign tdcc0_go_in = _guard547; +assign tdcc0_go_in = _guard521; assign ar_channel_A0_curr_addr_axi_out = - _guard548 ? curr_addr_axi_A0_out : + _guard522 ? curr_addr_axi_A0_out : 64'd0; assign ar_channel_A0_clk = clk; -assign ar_channel_A0_go = _guard549; +assign ar_channel_A0_go = _guard523; assign ar_channel_A0_reset = reset; assign ar_channel_A0_ARREADY = - _guard550 ? A0_ARREADY : + _guard524 ? A0_ARREADY : 1'd0; assign ar_channel_A0_ARESETn = - _guard551 ? A0_ARESETn : - 1'd0; -assign ar_channel_A0_curr_addr_axi_done = - _guard552 ? curr_addr_axi_A0_done : + _guard525 ? A0_ARESETn : 1'd0; assign aw_channel_A0_curr_addr_axi_out = - _guard553 ? curr_addr_axi_A0_out : + _guard526 ? curr_addr_axi_A0_out : 64'd0; -assign aw_channel_A0_max_transfers_out = - _guard554 ? max_transfers_A0_out : - 8'd0; -assign aw_channel_A0_max_transfers_done = - _guard555 ? max_transfers_A0_done : - 1'd0; assign aw_channel_A0_clk = clk; assign aw_channel_A0_AWREADY = - _guard556 ? A0_AWREADY : + _guard527 ? A0_AWREADY : 1'd0; -assign aw_channel_A0_go = _guard557; +assign aw_channel_A0_go = _guard528; assign aw_channel_A0_reset = reset; assign aw_channel_A0_ARESETn = - _guard558 ? A0_ARESETn : - 1'd0; -assign aw_channel_A0_curr_addr_axi_done = - _guard559 ? curr_addr_axi_A0_done : + _guard529 ? A0_ARESETn : 1'd0; -assign par0_done_in = _guard564; +assign par0_done_in = _guard534; assign invoke8_done_in = ar_channel_B0_done; assign invoke12_done_in = main_compute_done; -assign invoke17_go_in = _guard570; -assign invoke21_go_in = _guard576; +assign invoke17_go_in = _guard540; +assign invoke21_go_in = _guard546; assign adder0_left = - _guard577 ? fsm_out : + _guard547 ? fsm_out : 1'd0; -assign adder0_right = _guard578; -assign pd2_write_en = _guard587; +assign adder0_right = _guard548; +assign pd2_write_en = _guard557; assign pd2_clk = clk; assign pd2_reset = reset; assign pd2_in = - _guard590 ? 1'd1 : - _guard595 ? 1'd0 : + _guard560 ? 1'd1 : + _guard565 ? 1'd0 : 1'd0; assign early_reset_static_par_done_in = ud_out; assign invoke6_done_in = ar_channel_A0_done; -assign invoke16_go_in = _guard601; +assign invoke16_go_in = _guard571; assign invoke19_done_in = aw_channel_B0_done; assign write_channel_A0_WREADY = - _guard602 ? A0_WREADY : + _guard572 ? A0_WREADY : 1'd0; assign write_channel_A0_curr_addr_internal_mem_out = - _guard603 ? curr_addr_internal_mem_A0_out : + _guard573 ? curr_addr_internal_mem_A0_out : 3'd0; assign write_channel_A0_curr_addr_axi_out = - _guard604 ? curr_addr_axi_A0_out : + _guard574 ? curr_addr_axi_A0_out : 64'd0; assign write_channel_A0_max_transfers_out = - _guard605 ? max_transfers_A0_out : + _guard575 ? max_transfers_A0_out : 8'd0; -assign write_channel_A0_max_transfers_done = - _guard606 ? max_transfers_A0_done : - 1'd0; assign write_channel_A0_clk = clk; assign write_channel_A0_mem_ref_read_data = - _guard607 ? internal_mem_A0_read_data : + _guard576 ? internal_mem_A0_read_data : 32'd0; -assign write_channel_A0_go = _guard608; +assign write_channel_A0_go = _guard577; assign write_channel_A0_reset = reset; -assign write_channel_A0_mem_ref_done = - _guard609 ? internal_mem_A0_done : - 1'd0; assign write_channel_A0_ARESETn = - _guard610 ? A0_ARESETn : + _guard578 ? A0_ARESETn : 1'd0; assign write_channel_A0_curr_addr_internal_mem_done = - _guard611 ? curr_addr_internal_mem_A0_done : + _guard579 ? curr_addr_internal_mem_A0_done : 1'd0; assign write_channel_A0_curr_addr_axi_done = - _guard612 ? curr_addr_axi_A0_done : + _guard580 ? curr_addr_axi_A0_done : 1'd0; assign ar_channel_B0_curr_addr_axi_out = - _guard613 ? curr_addr_axi_B0_out : + _guard581 ? curr_addr_axi_B0_out : 64'd0; assign ar_channel_B0_clk = clk; -assign ar_channel_B0_go = _guard614; +assign ar_channel_B0_go = _guard582; assign ar_channel_B0_reset = reset; assign ar_channel_B0_ARREADY = - _guard615 ? B0_ARREADY : + _guard583 ? B0_ARREADY : 1'd0; assign ar_channel_B0_ARESETn = - _guard616 ? B0_ARESETn : + _guard584 ? B0_ARESETn : 1'd0; -assign ar_channel_B0_curr_addr_axi_done = - _guard617 ? curr_addr_axi_B0_done : - 1'd0; -assign signal_reg_write_en = _guard634; +assign signal_reg_write_en = _guard601; assign signal_reg_clk = clk; assign signal_reg_reset = reset; assign signal_reg_in = - _guard647 ? 1'd1 : - _guard650 ? 1'd0 : + _guard614 ? 1'd1 : + _guard617 ? 1'd0 : 1'd0; assign invoke24_done_in = bresp_channel_Sum0_done; -assign tdcc1_done_in = _guard651; -assign par1_done_in = _guard656; +assign tdcc1_done_in = _guard618; +assign par1_done_in = _guard623; assign bresp_channel_B0_clk = clk; -assign bresp_channel_B0_go = _guard657; +assign bresp_channel_B0_go = _guard624; assign bresp_channel_B0_reset = reset; assign bresp_channel_B0_BVALID = - _guard658 ? B0_BVALID : + _guard625 ? B0_BVALID : 1'd0; assign internal_mem_Sum0_write_en = - _guard659 ? read_channel_Sum0_mem_ref_write_en : - _guard660 ? main_compute_Sum0_write_en : - _guard661 ? write_channel_Sum0_mem_ref_write_en : + _guard626 ? read_channel_Sum0_mem_ref_write_en : + _guard627 ? main_compute_Sum0_write_en : + _guard628 ? write_channel_Sum0_mem_ref_write_en : 1'd0; assign internal_mem_Sum0_clk = clk; assign internal_mem_Sum0_addr0 = - _guard662 ? read_channel_Sum0_mem_ref_addr0 : - _guard663 ? main_compute_Sum0_addr0 : - _guard664 ? write_channel_Sum0_mem_ref_addr0 : + _guard629 ? read_channel_Sum0_mem_ref_addr0 : + _guard630 ? main_compute_Sum0_addr0 : + _guard631 ? write_channel_Sum0_mem_ref_addr0 : 'x; assign internal_mem_Sum0_content_en = - _guard665 ? read_channel_Sum0_mem_ref_content_en : - _guard666 ? main_compute_Sum0_content_en : - _guard667 ? write_channel_Sum0_mem_ref_content_en : + _guard632 ? read_channel_Sum0_mem_ref_content_en : + _guard633 ? main_compute_Sum0_content_en : + _guard634 ? write_channel_Sum0_mem_ref_content_en : 1'd0; assign internal_mem_Sum0_reset = reset; assign internal_mem_Sum0_write_data = - _guard668 ? read_channel_Sum0_mem_ref_write_data : - _guard669 ? main_compute_Sum0_write_data : - _guard670 ? write_channel_Sum0_mem_ref_write_data : + _guard635 ? read_channel_Sum0_mem_ref_write_data : + _guard636 ? main_compute_Sum0_write_data : 'x; -assign pd_write_en = _guard679; +assign pd_write_en = _guard645; assign pd_clk = clk; assign pd_reset = reset; assign pd_in = - _guard682 ? 1'd1 : - _guard687 ? 1'd0 : + _guard648 ? 1'd1 : + _guard653 ? 1'd0 : 1'd0; -assign pd0_write_en = _guard696; +assign pd0_write_en = _guard662; assign pd0_clk = clk; assign pd0_reset = reset; assign pd0_in = - _guard699 ? 1'd1 : - _guard704 ? 1'd0 : + _guard665 ? 1'd1 : + _guard670 ? 1'd0 : 1'd0; -assign pd4_write_en = _guard713; +assign pd4_write_en = _guard679; assign pd4_clk = clk; assign pd4_reset = reset; assign pd4_in = - _guard716 ? 1'd1 : - _guard721 ? 1'd0 : + _guard682 ? 1'd1 : + _guard687 ? 1'd0 : 1'd0; assign invoke22_done_in = aw_channel_Sum0_done; -assign tdcc4_go_in = _guard727; +assign tdcc4_go_in = _guard693; assign bresp_channel_A0_clk = clk; -assign bresp_channel_A0_go = _guard728; +assign bresp_channel_A0_go = _guard694; assign bresp_channel_A0_reset = reset; assign bresp_channel_A0_BVALID = - _guard729 ? A0_BVALID : + _guard695 ? A0_BVALID : 1'd0; -assign wrapper_early_reset_static_par0_go_in = _guard735; -assign wrapper_early_reset_static_par0_done_in = _guard738; -assign tdcc_done_in = _guard739; +assign wrapper_early_reset_static_par0_go_in = _guard701; +assign wrapper_early_reset_static_par0_done_in = _guard704; +assign tdcc_done_in = _guard705; assign invoke17_done_in = write_channel_A0_done; -assign invoke19_go_in = _guard745; -assign invoke20_go_in = _guard751; +assign invoke19_go_in = _guard711; +assign invoke20_go_in = _guard717; assign invoke21_done_in = bresp_channel_B0_done; assign max_transfers_A0_write_en = - _guard752 ? aw_channel_A0_max_transfers_write_en : - _guard753 ? write_channel_A0_max_transfers_write_en : + _guard718 ? aw_channel_A0_max_transfers_write_en : 1'd0; assign max_transfers_A0_clk = clk; assign max_transfers_A0_reset = reset; -assign max_transfers_A0_in = - _guard754 ? aw_channel_A0_max_transfers_in : - _guard755 ? write_channel_A0_max_transfers_in : - 'x; +assign max_transfers_A0_in = aw_channel_A0_max_transfers_in; assign aw_channel_Sum0_curr_addr_axi_out = - _guard756 ? curr_addr_axi_Sum0_out : + _guard720 ? curr_addr_axi_Sum0_out : 64'd0; -assign aw_channel_Sum0_max_transfers_out = - _guard757 ? max_transfers_Sum0_out : - 8'd0; -assign aw_channel_Sum0_max_transfers_done = - _guard758 ? max_transfers_Sum0_done : - 1'd0; assign aw_channel_Sum0_clk = clk; assign aw_channel_Sum0_AWREADY = - _guard759 ? Sum0_AWREADY : + _guard721 ? Sum0_AWREADY : 1'd0; -assign aw_channel_Sum0_go = _guard760; +assign aw_channel_Sum0_go = _guard722; assign aw_channel_Sum0_reset = reset; assign aw_channel_Sum0_ARESETn = - _guard761 ? Sum0_ARESETn : - 1'd0; -assign aw_channel_Sum0_curr_addr_axi_done = - _guard762 ? curr_addr_axi_Sum0_done : + _guard723 ? Sum0_ARESETn : 1'd0; assign write_channel_Sum0_WREADY = - _guard763 ? Sum0_WREADY : + _guard724 ? Sum0_WREADY : 1'd0; assign write_channel_Sum0_curr_addr_internal_mem_out = - _guard764 ? curr_addr_internal_mem_Sum0_out : + _guard725 ? curr_addr_internal_mem_Sum0_out : 3'd0; assign write_channel_Sum0_curr_addr_axi_out = - _guard765 ? curr_addr_axi_Sum0_out : + _guard726 ? curr_addr_axi_Sum0_out : 64'd0; assign write_channel_Sum0_max_transfers_out = - _guard766 ? max_transfers_Sum0_out : + _guard727 ? max_transfers_Sum0_out : 8'd0; -assign write_channel_Sum0_max_transfers_done = - _guard767 ? max_transfers_Sum0_done : - 1'd0; assign write_channel_Sum0_clk = clk; assign write_channel_Sum0_mem_ref_read_data = - _guard768 ? internal_mem_Sum0_read_data : + _guard728 ? internal_mem_Sum0_read_data : 32'd0; -assign write_channel_Sum0_go = _guard769; +assign write_channel_Sum0_go = _guard729; assign write_channel_Sum0_reset = reset; -assign write_channel_Sum0_mem_ref_done = - _guard770 ? internal_mem_Sum0_done : - 1'd0; assign write_channel_Sum0_ARESETn = - _guard771 ? Sum0_ARESETn : + _guard730 ? Sum0_ARESETn : 1'd0; assign write_channel_Sum0_curr_addr_internal_mem_done = - _guard772 ? curr_addr_internal_mem_Sum0_done : + _guard731 ? curr_addr_internal_mem_Sum0_done : 1'd0; assign write_channel_Sum0_curr_addr_axi_done = - _guard773 ? curr_addr_axi_Sum0_done : + _guard732 ? curr_addr_axi_Sum0_done : 1'd0; -assign pd3_write_en = _guard782; +assign pd3_write_en = _guard741; assign pd3_clk = clk; assign pd3_reset = reset; assign pd3_in = - _guard785 ? 1'd1 : - _guard790 ? 1'd0 : + _guard744 ? 1'd1 : + _guard749 ? 1'd0 : 1'd0; -assign early_reset_static_par_go_in = _guard791; -assign tdcc4_done_in = _guard792; +assign early_reset_static_par_go_in = _guard750; +assign tdcc4_done_in = _guard751; assign max_transfers_B0_write_en = - _guard793 ? write_channel_B0_max_transfers_write_en : - _guard794 ? aw_channel_B0_max_transfers_write_en : + _guard752 ? aw_channel_B0_max_transfers_write_en : 1'd0; assign max_transfers_B0_clk = clk; assign max_transfers_B0_reset = reset; -assign max_transfers_B0_in = - _guard795 ? write_channel_B0_max_transfers_in : - _guard796 ? aw_channel_B0_max_transfers_in : - 'x; +assign max_transfers_B0_in = aw_channel_B0_max_transfers_in; assign curr_addr_internal_mem_Sum0_write_en = - _guard797 ? read_channel_Sum0_curr_addr_internal_mem_write_en : - _guard798 ? 1'd1 : - _guard799 ? write_channel_Sum0_curr_addr_internal_mem_write_en : + _guard754 ? read_channel_Sum0_curr_addr_internal_mem_write_en : + _guard755 ? 1'd1 : + _guard756 ? write_channel_Sum0_curr_addr_internal_mem_write_en : 1'd0; assign curr_addr_internal_mem_Sum0_clk = clk; assign curr_addr_internal_mem_Sum0_reset = reset; assign curr_addr_internal_mem_Sum0_in = - _guard800 ? read_channel_Sum0_curr_addr_internal_mem_in : - _guard801 ? write_channel_Sum0_curr_addr_internal_mem_in : - _guard802 ? 3'd0 : + _guard757 ? read_channel_Sum0_curr_addr_internal_mem_in : + _guard758 ? write_channel_Sum0_curr_addr_internal_mem_in : + _guard759 ? 3'd0 : 'x; -assign invoke6_go_in = _guard808; -assign invoke24_go_in = _guard814; -assign tdcc1_go_in = _guard820; -assign par0_go_in = _guard826; -assign invoke7_go_in = _guard832; -assign invoke10_go_in = _guard838; -assign invoke22_go_in = _guard844; +assign invoke6_go_in = _guard765; +assign invoke24_go_in = _guard771; +assign tdcc1_go_in = _guard777; +assign par0_go_in = _guard783; +assign invoke7_go_in = _guard789; +assign invoke10_go_in = _guard795; +assign invoke22_go_in = _guard801; assign tdcc5_go_in = go; -assign tdcc5_done_in = _guard845; +assign tdcc5_done_in = _guard802; // COMPONENT END: wrapper endmodule module main( @@ -8217,10 +8091,10 @@ logic fsm_clk; logic fsm_reset; logic fsm_out; logic fsm_done; -logic ud_out; logic adder_left; logic adder_right; logic adder_out; +logic ud_out; logic signal_reg_in; logic signal_reg_write_en; logic signal_reg_clk; @@ -8407,11 +8281,6 @@ std_reg # ( .reset(fsm_reset), .write_en(fsm_write_en) ); -undef # ( - .WIDTH(1) -) ud ( - .out(ud_out) -); std_add # ( .WIDTH(1) ) adder ( @@ -8419,6 +8288,11 @@ std_add # ( .out(adder_out), .right(adder_right) ); +undef # ( + .WIDTH(1) +) ud ( + .out(ud_out) +); std_reg # ( .WIDTH(1) ) signal_reg ( @@ -8662,311 +8536,312 @@ wire _guard23 = beg_spl_upd0_go_out; wire _guard24 = upd2_go_out; wire _guard25 = _guard23 | _guard24; wire _guard26 = early_reset_cond00_go_out; -wire _guard27 = fsm_out != 1'd0; -wire _guard28 = early_reset_cond00_go_out; -wire _guard29 = _guard27 & _guard28; -wire _guard30 = fsm_out == 1'd0; -wire _guard31 = early_reset_cond00_go_out; -wire _guard32 = _guard30 & _guard31; -wire _guard33 = early_reset_cond00_go_out; +wire _guard27 = fsm_out == 1'd0; +wire _guard28 = ~_guard27; +wire _guard29 = early_reset_cond00_go_out; +wire _guard30 = _guard28 & _guard29; +wire _guard31 = fsm_out == 1'd0; +wire _guard32 = early_reset_cond00_go_out; +wire _guard33 = _guard31 & _guard32; wire _guard34 = early_reset_cond00_go_out; -wire _guard35 = beg_spl_upd0_done_out; -wire _guard36 = ~_guard35; -wire _guard37 = fsm0_out == 2'd0; -wire _guard38 = _guard36 & _guard37; -wire _guard39 = tdcc_go_out; -wire _guard40 = _guard38 & _guard39; -wire _guard41 = upd2_go_out; +wire _guard35 = early_reset_cond00_go_out; +wire _guard36 = beg_spl_upd0_done_out; +wire _guard37 = ~_guard36; +wire _guard38 = fsm0_out == 2'd0; +wire _guard39 = _guard37 & _guard38; +wire _guard40 = tdcc_go_out; +wire _guard41 = _guard39 & _guard40; wire _guard42 = upd2_go_out; -wire _guard43 = invoke2_done_out; -wire _guard44 = ~_guard43; -wire _guard45 = fsm1_out == 2'd1; -wire _guard46 = _guard44 & _guard45; -wire _guard47 = tdcc0_go_out; -wire _guard48 = _guard46 & _guard47; -wire _guard49 = fsm1_out == 2'd2; -wire _guard50 = early_reset_cond00_go_out; +wire _guard43 = upd2_go_out; +wire _guard44 = invoke2_done_out; +wire _guard45 = ~_guard44; +wire _guard46 = fsm1_out == 2'd1; +wire _guard47 = _guard45 & _guard46; +wire _guard48 = tdcc0_go_out; +wire _guard49 = _guard47 & _guard48; +wire _guard50 = fsm1_out == 2'd2; wire _guard51 = early_reset_cond00_go_out; -wire _guard52 = fsm1_out == 2'd2; -wire _guard53 = fsm1_out == 2'd0; -wire _guard54 = beg_spl_upd1_done_out; -wire _guard55 = _guard53 & _guard54; -wire _guard56 = tdcc0_go_out; -wire _guard57 = _guard55 & _guard56; -wire _guard58 = _guard52 | _guard57; -wire _guard59 = fsm1_out == 2'd1; -wire _guard60 = invoke2_done_out; -wire _guard61 = _guard59 & _guard60; -wire _guard62 = tdcc0_go_out; -wire _guard63 = _guard61 & _guard62; -wire _guard64 = _guard58 | _guard63; -wire _guard65 = fsm1_out == 2'd0; -wire _guard66 = beg_spl_upd1_done_out; -wire _guard67 = _guard65 & _guard66; -wire _guard68 = tdcc0_go_out; -wire _guard69 = _guard67 & _guard68; -wire _guard70 = fsm1_out == 2'd2; -wire _guard71 = fsm1_out == 2'd1; -wire _guard72 = invoke2_done_out; -wire _guard73 = _guard71 & _guard72; -wire _guard74 = tdcc0_go_out; -wire _guard75 = _guard73 & _guard74; -wire _guard76 = pd_out; -wire _guard77 = tdcc_done_out; -wire _guard78 = _guard76 | _guard77; -wire _guard79 = ~_guard78; -wire _guard80 = par0_go_out; -wire _guard81 = _guard79 & _guard80; -wire _guard82 = invoke0_done_out; -wire _guard83 = ~_guard82; -wire _guard84 = fsm2_out == 3'd0; -wire _guard85 = _guard83 & _guard84; -wire _guard86 = tdcc1_go_out; -wire _guard87 = _guard85 & _guard86; -wire _guard88 = fsm0_out == 2'd2; -wire _guard89 = fsm0_out == 2'd0; -wire _guard90 = beg_spl_upd0_done_out; -wire _guard91 = _guard89 & _guard90; -wire _guard92 = tdcc_go_out; -wire _guard93 = _guard91 & _guard92; -wire _guard94 = _guard88 | _guard93; -wire _guard95 = fsm0_out == 2'd1; -wire _guard96 = invoke1_done_out; -wire _guard97 = _guard95 & _guard96; -wire _guard98 = tdcc_go_out; -wire _guard99 = _guard97 & _guard98; -wire _guard100 = _guard94 | _guard99; -wire _guard101 = fsm0_out == 2'd0; -wire _guard102 = beg_spl_upd0_done_out; -wire _guard103 = _guard101 & _guard102; -wire _guard104 = tdcc_go_out; -wire _guard105 = _guard103 & _guard104; -wire _guard106 = fsm0_out == 2'd2; -wire _guard107 = fsm0_out == 2'd1; -wire _guard108 = invoke1_done_out; -wire _guard109 = _guard107 & _guard108; -wire _guard110 = tdcc_go_out; -wire _guard111 = _guard109 & _guard110; -wire _guard112 = fsm2_out == 3'd6; -wire _guard113 = fsm2_out == 3'd0; -wire _guard114 = invoke0_done_out; -wire _guard115 = _guard113 & _guard114; -wire _guard116 = tdcc1_go_out; -wire _guard117 = _guard115 & _guard116; -wire _guard118 = _guard112 | _guard117; -wire _guard119 = fsm2_out == 3'd1; -wire _guard120 = wrapper_early_reset_cond00_done_out; -wire _guard121 = comb_reg_out; -wire _guard122 = _guard120 & _guard121; -wire _guard123 = _guard119 & _guard122; -wire _guard124 = tdcc1_go_out; -wire _guard125 = _guard123 & _guard124; -wire _guard126 = _guard118 | _guard125; -wire _guard127 = fsm2_out == 3'd5; -wire _guard128 = wrapper_early_reset_cond00_done_out; -wire _guard129 = comb_reg_out; -wire _guard130 = _guard128 & _guard129; -wire _guard131 = _guard127 & _guard130; -wire _guard132 = tdcc1_go_out; -wire _guard133 = _guard131 & _guard132; -wire _guard134 = _guard126 | _guard133; -wire _guard135 = fsm2_out == 3'd2; -wire _guard136 = par0_done_out; -wire _guard137 = _guard135 & _guard136; -wire _guard138 = tdcc1_go_out; -wire _guard139 = _guard137 & _guard138; -wire _guard140 = _guard134 | _guard139; -wire _guard141 = fsm2_out == 3'd3; -wire _guard142 = upd2_done_out; -wire _guard143 = _guard141 & _guard142; -wire _guard144 = tdcc1_go_out; -wire _guard145 = _guard143 & _guard144; -wire _guard146 = _guard140 | _guard145; -wire _guard147 = fsm2_out == 3'd4; -wire _guard148 = invoke3_done_out; -wire _guard149 = _guard147 & _guard148; -wire _guard150 = tdcc1_go_out; -wire _guard151 = _guard149 & _guard150; -wire _guard152 = _guard146 | _guard151; -wire _guard153 = fsm2_out == 3'd1; -wire _guard154 = wrapper_early_reset_cond00_done_out; -wire _guard155 = comb_reg_out; -wire _guard156 = ~_guard155; -wire _guard157 = _guard154 & _guard156; -wire _guard158 = _guard153 & _guard157; -wire _guard159 = tdcc1_go_out; -wire _guard160 = _guard158 & _guard159; -wire _guard161 = _guard152 | _guard160; -wire _guard162 = fsm2_out == 3'd5; -wire _guard163 = wrapper_early_reset_cond00_done_out; -wire _guard164 = comb_reg_out; -wire _guard165 = ~_guard164; -wire _guard166 = _guard163 & _guard165; -wire _guard167 = _guard162 & _guard166; -wire _guard168 = tdcc1_go_out; -wire _guard169 = _guard167 & _guard168; -wire _guard170 = _guard161 | _guard169; -wire _guard171 = fsm2_out == 3'd1; -wire _guard172 = wrapper_early_reset_cond00_done_out; -wire _guard173 = comb_reg_out; -wire _guard174 = ~_guard173; -wire _guard175 = _guard172 & _guard174; -wire _guard176 = _guard171 & _guard175; -wire _guard177 = tdcc1_go_out; -wire _guard178 = _guard176 & _guard177; -wire _guard179 = fsm2_out == 3'd5; -wire _guard180 = wrapper_early_reset_cond00_done_out; -wire _guard181 = comb_reg_out; -wire _guard182 = ~_guard181; -wire _guard183 = _guard180 & _guard182; -wire _guard184 = _guard179 & _guard183; -wire _guard185 = tdcc1_go_out; -wire _guard186 = _guard184 & _guard185; -wire _guard187 = _guard178 | _guard186; -wire _guard188 = fsm2_out == 3'd4; -wire _guard189 = invoke3_done_out; -wire _guard190 = _guard188 & _guard189; -wire _guard191 = tdcc1_go_out; -wire _guard192 = _guard190 & _guard191; -wire _guard193 = fsm2_out == 3'd1; -wire _guard194 = wrapper_early_reset_cond00_done_out; -wire _guard195 = comb_reg_out; -wire _guard196 = _guard194 & _guard195; -wire _guard197 = _guard193 & _guard196; -wire _guard198 = tdcc1_go_out; -wire _guard199 = _guard197 & _guard198; -wire _guard200 = fsm2_out == 3'd5; -wire _guard201 = wrapper_early_reset_cond00_done_out; -wire _guard202 = comb_reg_out; -wire _guard203 = _guard201 & _guard202; -wire _guard204 = _guard200 & _guard203; -wire _guard205 = tdcc1_go_out; -wire _guard206 = _guard204 & _guard205; -wire _guard207 = _guard199 | _guard206; -wire _guard208 = fsm2_out == 3'd3; -wire _guard209 = upd2_done_out; -wire _guard210 = _guard208 & _guard209; -wire _guard211 = tdcc1_go_out; -wire _guard212 = _guard210 & _guard211; -wire _guard213 = fsm2_out == 3'd0; -wire _guard214 = invoke0_done_out; -wire _guard215 = _guard213 & _guard214; -wire _guard216 = tdcc1_go_out; -wire _guard217 = _guard215 & _guard216; -wire _guard218 = fsm2_out == 3'd6; -wire _guard219 = fsm2_out == 3'd2; -wire _guard220 = par0_done_out; -wire _guard221 = _guard219 & _guard220; -wire _guard222 = tdcc1_go_out; -wire _guard223 = _guard221 & _guard222; -wire _guard224 = pd0_out; -wire _guard225 = tdcc0_done_out; -wire _guard226 = _guard224 | _guard225; -wire _guard227 = ~_guard226; -wire _guard228 = par0_go_out; -wire _guard229 = _guard227 & _guard228; -wire _guard230 = pd_out; -wire _guard231 = pd0_out; -wire _guard232 = _guard230 & _guard231; -wire _guard233 = invoke1_done_out; -wire _guard234 = ~_guard233; -wire _guard235 = fsm0_out == 2'd1; -wire _guard236 = _guard234 & _guard235; -wire _guard237 = tdcc_go_out; -wire _guard238 = _guard236 & _guard237; -wire _guard239 = beg_spl_upd1_done_out; -wire _guard240 = ~_guard239; -wire _guard241 = fsm1_out == 2'd0; -wire _guard242 = _guard240 & _guard241; -wire _guard243 = tdcc0_go_out; -wire _guard244 = _guard242 & _guard243; -wire _guard245 = early_reset_cond00_go_out; +wire _guard52 = early_reset_cond00_go_out; +wire _guard53 = fsm1_out == 2'd2; +wire _guard54 = fsm1_out == 2'd0; +wire _guard55 = beg_spl_upd1_done_out; +wire _guard56 = _guard54 & _guard55; +wire _guard57 = tdcc0_go_out; +wire _guard58 = _guard56 & _guard57; +wire _guard59 = _guard53 | _guard58; +wire _guard60 = fsm1_out == 2'd1; +wire _guard61 = invoke2_done_out; +wire _guard62 = _guard60 & _guard61; +wire _guard63 = tdcc0_go_out; +wire _guard64 = _guard62 & _guard63; +wire _guard65 = _guard59 | _guard64; +wire _guard66 = fsm1_out == 2'd0; +wire _guard67 = beg_spl_upd1_done_out; +wire _guard68 = _guard66 & _guard67; +wire _guard69 = tdcc0_go_out; +wire _guard70 = _guard68 & _guard69; +wire _guard71 = fsm1_out == 2'd2; +wire _guard72 = fsm1_out == 2'd1; +wire _guard73 = invoke2_done_out; +wire _guard74 = _guard72 & _guard73; +wire _guard75 = tdcc0_go_out; +wire _guard76 = _guard74 & _guard75; +wire _guard77 = pd_out; +wire _guard78 = tdcc_done_out; +wire _guard79 = _guard77 | _guard78; +wire _guard80 = ~_guard79; +wire _guard81 = par0_go_out; +wire _guard82 = _guard80 & _guard81; +wire _guard83 = invoke0_done_out; +wire _guard84 = ~_guard83; +wire _guard85 = fsm2_out == 3'd0; +wire _guard86 = _guard84 & _guard85; +wire _guard87 = tdcc1_go_out; +wire _guard88 = _guard86 & _guard87; +wire _guard89 = fsm0_out == 2'd2; +wire _guard90 = fsm0_out == 2'd0; +wire _guard91 = beg_spl_upd0_done_out; +wire _guard92 = _guard90 & _guard91; +wire _guard93 = tdcc_go_out; +wire _guard94 = _guard92 & _guard93; +wire _guard95 = _guard89 | _guard94; +wire _guard96 = fsm0_out == 2'd1; +wire _guard97 = invoke1_done_out; +wire _guard98 = _guard96 & _guard97; +wire _guard99 = tdcc_go_out; +wire _guard100 = _guard98 & _guard99; +wire _guard101 = _guard95 | _guard100; +wire _guard102 = fsm0_out == 2'd0; +wire _guard103 = beg_spl_upd0_done_out; +wire _guard104 = _guard102 & _guard103; +wire _guard105 = tdcc_go_out; +wire _guard106 = _guard104 & _guard105; +wire _guard107 = fsm0_out == 2'd2; +wire _guard108 = fsm0_out == 2'd1; +wire _guard109 = invoke1_done_out; +wire _guard110 = _guard108 & _guard109; +wire _guard111 = tdcc_go_out; +wire _guard112 = _guard110 & _guard111; +wire _guard113 = fsm2_out == 3'd6; +wire _guard114 = fsm2_out == 3'd0; +wire _guard115 = invoke0_done_out; +wire _guard116 = _guard114 & _guard115; +wire _guard117 = tdcc1_go_out; +wire _guard118 = _guard116 & _guard117; +wire _guard119 = _guard113 | _guard118; +wire _guard120 = fsm2_out == 3'd1; +wire _guard121 = wrapper_early_reset_cond00_done_out; +wire _guard122 = comb_reg_out; +wire _guard123 = _guard121 & _guard122; +wire _guard124 = _guard120 & _guard123; +wire _guard125 = tdcc1_go_out; +wire _guard126 = _guard124 & _guard125; +wire _guard127 = _guard119 | _guard126; +wire _guard128 = fsm2_out == 3'd5; +wire _guard129 = wrapper_early_reset_cond00_done_out; +wire _guard130 = comb_reg_out; +wire _guard131 = _guard129 & _guard130; +wire _guard132 = _guard128 & _guard131; +wire _guard133 = tdcc1_go_out; +wire _guard134 = _guard132 & _guard133; +wire _guard135 = _guard127 | _guard134; +wire _guard136 = fsm2_out == 3'd2; +wire _guard137 = par0_done_out; +wire _guard138 = _guard136 & _guard137; +wire _guard139 = tdcc1_go_out; +wire _guard140 = _guard138 & _guard139; +wire _guard141 = _guard135 | _guard140; +wire _guard142 = fsm2_out == 3'd3; +wire _guard143 = upd2_done_out; +wire _guard144 = _guard142 & _guard143; +wire _guard145 = tdcc1_go_out; +wire _guard146 = _guard144 & _guard145; +wire _guard147 = _guard141 | _guard146; +wire _guard148 = fsm2_out == 3'd4; +wire _guard149 = invoke3_done_out; +wire _guard150 = _guard148 & _guard149; +wire _guard151 = tdcc1_go_out; +wire _guard152 = _guard150 & _guard151; +wire _guard153 = _guard147 | _guard152; +wire _guard154 = fsm2_out == 3'd1; +wire _guard155 = wrapper_early_reset_cond00_done_out; +wire _guard156 = comb_reg_out; +wire _guard157 = ~_guard156; +wire _guard158 = _guard155 & _guard157; +wire _guard159 = _guard154 & _guard158; +wire _guard160 = tdcc1_go_out; +wire _guard161 = _guard159 & _guard160; +wire _guard162 = _guard153 | _guard161; +wire _guard163 = fsm2_out == 3'd5; +wire _guard164 = wrapper_early_reset_cond00_done_out; +wire _guard165 = comb_reg_out; +wire _guard166 = ~_guard165; +wire _guard167 = _guard164 & _guard166; +wire _guard168 = _guard163 & _guard167; +wire _guard169 = tdcc1_go_out; +wire _guard170 = _guard168 & _guard169; +wire _guard171 = _guard162 | _guard170; +wire _guard172 = fsm2_out == 3'd1; +wire _guard173 = wrapper_early_reset_cond00_done_out; +wire _guard174 = comb_reg_out; +wire _guard175 = ~_guard174; +wire _guard176 = _guard173 & _guard175; +wire _guard177 = _guard172 & _guard176; +wire _guard178 = tdcc1_go_out; +wire _guard179 = _guard177 & _guard178; +wire _guard180 = fsm2_out == 3'd5; +wire _guard181 = wrapper_early_reset_cond00_done_out; +wire _guard182 = comb_reg_out; +wire _guard183 = ~_guard182; +wire _guard184 = _guard181 & _guard183; +wire _guard185 = _guard180 & _guard184; +wire _guard186 = tdcc1_go_out; +wire _guard187 = _guard185 & _guard186; +wire _guard188 = _guard179 | _guard187; +wire _guard189 = fsm2_out == 3'd4; +wire _guard190 = invoke3_done_out; +wire _guard191 = _guard189 & _guard190; +wire _guard192 = tdcc1_go_out; +wire _guard193 = _guard191 & _guard192; +wire _guard194 = fsm2_out == 3'd1; +wire _guard195 = wrapper_early_reset_cond00_done_out; +wire _guard196 = comb_reg_out; +wire _guard197 = _guard195 & _guard196; +wire _guard198 = _guard194 & _guard197; +wire _guard199 = tdcc1_go_out; +wire _guard200 = _guard198 & _guard199; +wire _guard201 = fsm2_out == 3'd5; +wire _guard202 = wrapper_early_reset_cond00_done_out; +wire _guard203 = comb_reg_out; +wire _guard204 = _guard202 & _guard203; +wire _guard205 = _guard201 & _guard204; +wire _guard206 = tdcc1_go_out; +wire _guard207 = _guard205 & _guard206; +wire _guard208 = _guard200 | _guard207; +wire _guard209 = fsm2_out == 3'd3; +wire _guard210 = upd2_done_out; +wire _guard211 = _guard209 & _guard210; +wire _guard212 = tdcc1_go_out; +wire _guard213 = _guard211 & _guard212; +wire _guard214 = fsm2_out == 3'd0; +wire _guard215 = invoke0_done_out; +wire _guard216 = _guard214 & _guard215; +wire _guard217 = tdcc1_go_out; +wire _guard218 = _guard216 & _guard217; +wire _guard219 = fsm2_out == 3'd6; +wire _guard220 = fsm2_out == 3'd2; +wire _guard221 = par0_done_out; +wire _guard222 = _guard220 & _guard221; +wire _guard223 = tdcc1_go_out; +wire _guard224 = _guard222 & _guard223; +wire _guard225 = pd0_out; +wire _guard226 = tdcc0_done_out; +wire _guard227 = _guard225 | _guard226; +wire _guard228 = ~_guard227; +wire _guard229 = par0_go_out; +wire _guard230 = _guard228 & _guard229; +wire _guard231 = pd_out; +wire _guard232 = pd0_out; +wire _guard233 = _guard231 & _guard232; +wire _guard234 = invoke1_done_out; +wire _guard235 = ~_guard234; +wire _guard236 = fsm0_out == 2'd1; +wire _guard237 = _guard235 & _guard236; +wire _guard238 = tdcc_go_out; +wire _guard239 = _guard237 & _guard238; +wire _guard240 = beg_spl_upd1_done_out; +wire _guard241 = ~_guard240; +wire _guard242 = fsm1_out == 2'd0; +wire _guard243 = _guard241 & _guard242; +wire _guard244 = tdcc0_go_out; +wire _guard245 = _guard243 & _guard244; wire _guard246 = early_reset_cond00_go_out; -wire _guard247 = fsm_out == 1'd0; -wire _guard248 = signal_reg_out; -wire _guard249 = _guard247 & _guard248; -wire _guard250 = fsm_out == 1'd0; -wire _guard251 = signal_reg_out; -wire _guard252 = ~_guard251; -wire _guard253 = _guard250 & _guard252; -wire _guard254 = wrapper_early_reset_cond00_go_out; -wire _guard255 = _guard253 & _guard254; -wire _guard256 = _guard249 | _guard255; -wire _guard257 = fsm_out == 1'd0; -wire _guard258 = signal_reg_out; -wire _guard259 = ~_guard258; -wire _guard260 = _guard257 & _guard259; -wire _guard261 = wrapper_early_reset_cond00_go_out; -wire _guard262 = _guard260 & _guard261; -wire _guard263 = fsm_out == 1'd0; -wire _guard264 = signal_reg_out; -wire _guard265 = _guard263 & _guard264; -wire _guard266 = fsm2_out == 3'd6; -wire _guard267 = invoke2_go_out; +wire _guard247 = early_reset_cond00_go_out; +wire _guard248 = fsm_out == 1'd0; +wire _guard249 = signal_reg_out; +wire _guard250 = _guard248 & _guard249; +wire _guard251 = fsm_out == 1'd0; +wire _guard252 = signal_reg_out; +wire _guard253 = ~_guard252; +wire _guard254 = _guard251 & _guard253; +wire _guard255 = wrapper_early_reset_cond00_go_out; +wire _guard256 = _guard254 & _guard255; +wire _guard257 = _guard250 | _guard256; +wire _guard258 = fsm_out == 1'd0; +wire _guard259 = signal_reg_out; +wire _guard260 = ~_guard259; +wire _guard261 = _guard258 & _guard260; +wire _guard262 = wrapper_early_reset_cond00_go_out; +wire _guard263 = _guard261 & _guard262; +wire _guard264 = fsm_out == 1'd0; +wire _guard265 = signal_reg_out; +wire _guard266 = _guard264 & _guard265; +wire _guard267 = fsm2_out == 3'd6; wire _guard268 = invoke2_go_out; -wire _guard269 = pd_out; -wire _guard270 = pd0_out; -wire _guard271 = _guard269 & _guard270; -wire _guard272 = tdcc_done_out; -wire _guard273 = par0_go_out; -wire _guard274 = _guard272 & _guard273; -wire _guard275 = _guard271 | _guard274; -wire _guard276 = tdcc_done_out; -wire _guard277 = par0_go_out; -wire _guard278 = _guard276 & _guard277; -wire _guard279 = pd_out; -wire _guard280 = pd0_out; -wire _guard281 = _guard279 & _guard280; -wire _guard282 = pd_out; -wire _guard283 = pd0_out; -wire _guard284 = _guard282 & _guard283; -wire _guard285 = tdcc0_done_out; -wire _guard286 = par0_go_out; -wire _guard287 = _guard285 & _guard286; -wire _guard288 = _guard284 | _guard287; -wire _guard289 = tdcc0_done_out; -wire _guard290 = par0_go_out; -wire _guard291 = _guard289 & _guard290; -wire _guard292 = pd_out; -wire _guard293 = pd0_out; -wire _guard294 = _guard292 & _guard293; -wire _guard295 = wrapper_early_reset_cond00_done_out; -wire _guard296 = ~_guard295; -wire _guard297 = fsm2_out == 3'd1; -wire _guard298 = _guard296 & _guard297; -wire _guard299 = tdcc1_go_out; -wire _guard300 = _guard298 & _guard299; -wire _guard301 = wrapper_early_reset_cond00_done_out; -wire _guard302 = ~_guard301; -wire _guard303 = fsm2_out == 3'd5; -wire _guard304 = _guard302 & _guard303; -wire _guard305 = tdcc1_go_out; -wire _guard306 = _guard304 & _guard305; -wire _guard307 = _guard300 | _guard306; -wire _guard308 = fsm_out == 1'd0; -wire _guard309 = signal_reg_out; -wire _guard310 = _guard308 & _guard309; -wire _guard311 = fsm0_out == 2'd2; -wire _guard312 = upd2_done_out; -wire _guard313 = ~_guard312; -wire _guard314 = fsm2_out == 3'd3; -wire _guard315 = _guard313 & _guard314; -wire _guard316 = tdcc1_go_out; -wire _guard317 = _guard315 & _guard316; -wire _guard318 = invoke3_done_out; -wire _guard319 = ~_guard318; -wire _guard320 = fsm2_out == 3'd4; -wire _guard321 = _guard319 & _guard320; -wire _guard322 = tdcc1_go_out; -wire _guard323 = _guard321 & _guard322; -wire _guard324 = invoke1_go_out; +wire _guard269 = invoke2_go_out; +wire _guard270 = pd_out; +wire _guard271 = pd0_out; +wire _guard272 = _guard270 & _guard271; +wire _guard273 = tdcc_done_out; +wire _guard274 = par0_go_out; +wire _guard275 = _guard273 & _guard274; +wire _guard276 = _guard272 | _guard275; +wire _guard277 = tdcc_done_out; +wire _guard278 = par0_go_out; +wire _guard279 = _guard277 & _guard278; +wire _guard280 = pd_out; +wire _guard281 = pd0_out; +wire _guard282 = _guard280 & _guard281; +wire _guard283 = pd_out; +wire _guard284 = pd0_out; +wire _guard285 = _guard283 & _guard284; +wire _guard286 = tdcc0_done_out; +wire _guard287 = par0_go_out; +wire _guard288 = _guard286 & _guard287; +wire _guard289 = _guard285 | _guard288; +wire _guard290 = tdcc0_done_out; +wire _guard291 = par0_go_out; +wire _guard292 = _guard290 & _guard291; +wire _guard293 = pd_out; +wire _guard294 = pd0_out; +wire _guard295 = _guard293 & _guard294; +wire _guard296 = wrapper_early_reset_cond00_done_out; +wire _guard297 = ~_guard296; +wire _guard298 = fsm2_out == 3'd1; +wire _guard299 = _guard297 & _guard298; +wire _guard300 = tdcc1_go_out; +wire _guard301 = _guard299 & _guard300; +wire _guard302 = wrapper_early_reset_cond00_done_out; +wire _guard303 = ~_guard302; +wire _guard304 = fsm2_out == 3'd5; +wire _guard305 = _guard303 & _guard304; +wire _guard306 = tdcc1_go_out; +wire _guard307 = _guard305 & _guard306; +wire _guard308 = _guard301 | _guard307; +wire _guard309 = fsm_out == 1'd0; +wire _guard310 = signal_reg_out; +wire _guard311 = _guard309 & _guard310; +wire _guard312 = fsm0_out == 2'd2; +wire _guard313 = upd2_done_out; +wire _guard314 = ~_guard313; +wire _guard315 = fsm2_out == 3'd3; +wire _guard316 = _guard314 & _guard315; +wire _guard317 = tdcc1_go_out; +wire _guard318 = _guard316 & _guard317; +wire _guard319 = invoke3_done_out; +wire _guard320 = ~_guard319; +wire _guard321 = fsm2_out == 3'd4; +wire _guard322 = _guard320 & _guard321; +wire _guard323 = tdcc1_go_out; +wire _guard324 = _guard322 & _guard323; wire _guard325 = invoke1_go_out; -wire _guard326 = par0_done_out; -wire _guard327 = ~_guard326; -wire _guard328 = fsm2_out == 3'd2; -wire _guard329 = _guard327 & _guard328; -wire _guard330 = tdcc1_go_out; -wire _guard331 = _guard329 & _guard330; +wire _guard326 = invoke1_go_out; +wire _guard327 = par0_done_out; +wire _guard328 = ~_guard327; +wire _guard329 = fsm2_out == 3'd2; +wire _guard330 = _guard328 & _guard329; +wire _guard331 = tdcc1_go_out; +wire _guard332 = _guard330 & _guard331; assign i0_write_en = _guard3; assign i0_clk = clk; assign i0_reset = reset; @@ -8980,129 +8855,121 @@ assign add1_left = i0_out; assign add1_right = const2_out; assign done = _guard9; assign B0_write_en = 1'd0; -assign Sum0_addr0 = - _guard10 ? bit_slice_out : - 3'd0; +assign Sum0_addr0 = bit_slice_out; assign A0_write_en = 1'd0; -assign B0_addr0 = - _guard13 ? bit_slice_out : - 3'd0; +assign B0_addr0 = bit_slice_out; assign B0_content_en = _guard16; -assign A0_addr0 = - _guard19 ? bit_slice_out : - 3'd0; +assign A0_addr0 = bit_slice_out; assign Sum0_write_en = _guard20; assign Sum0_content_en = _guard21; -assign Sum0_write_data = - _guard22 ? add0_out : - 32'd0; +assign Sum0_write_data = add0_out; assign A0_content_en = _guard25; assign fsm_write_en = _guard26; assign fsm_clk = clk; assign fsm_reset = reset; assign fsm_in = - _guard29 ? adder_out : - _guard32 ? 1'd0 : + _guard30 ? adder_out : + _guard33 ? 1'd0 : 1'd0; assign adder_left = - _guard33 ? fsm_out : + _guard34 ? fsm_out : 1'd0; -assign adder_right = _guard34; -assign beg_spl_upd0_go_in = _guard40; +assign adder_right = _guard35; +assign beg_spl_upd0_go_in = _guard41; assign add0_left = B_read0_0_out; assign add0_right = A_read0_0_out; -assign invoke2_go_in = _guard48; -assign tdcc0_done_in = _guard49; -assign comb_reg_write_en = _guard50; +assign invoke2_go_in = _guard49; +assign tdcc0_done_in = _guard50; +assign comb_reg_write_en = _guard51; assign comb_reg_clk = clk; assign comb_reg_reset = reset; assign comb_reg_in = - _guard51 ? le0_out : + _guard52 ? le0_out : 1'd0; assign early_reset_cond00_done_in = ud_out; -assign fsm1_write_en = _guard64; +assign fsm1_write_en = _guard65; assign fsm1_clk = clk; assign fsm1_reset = reset; assign fsm1_in = - _guard69 ? 2'd1 : - _guard70 ? 2'd0 : - _guard75 ? 2'd2 : + _guard70 ? 2'd1 : + _guard71 ? 2'd0 : + _guard76 ? 2'd2 : 2'd0; -assign tdcc_go_in = _guard81; -assign invoke0_go_in = _guard87; +assign tdcc_go_in = _guard82; +assign invoke0_go_in = _guard88; assign beg_spl_upd0_done_in = A0_done; assign bit_slice_in = i0_out; -assign fsm0_write_en = _guard100; +assign fsm0_write_en = _guard101; assign fsm0_clk = clk; assign fsm0_reset = reset; assign fsm0_in = - _guard105 ? 2'd1 : - _guard106 ? 2'd0 : - _guard111 ? 2'd2 : + _guard106 ? 2'd1 : + _guard107 ? 2'd0 : + _guard112 ? 2'd2 : 2'd0; -assign fsm2_write_en = _guard170; +assign fsm2_write_en = _guard171; assign fsm2_clk = clk; assign fsm2_reset = reset; assign fsm2_in = - _guard187 ? 3'd6 : - _guard192 ? 3'd5 : - _guard207 ? 3'd2 : - _guard212 ? 3'd4 : - _guard217 ? 3'd1 : - _guard218 ? 3'd0 : - _guard223 ? 3'd3 : + _guard188 ? 3'd6 : + _guard193 ? 3'd5 : + _guard208 ? 3'd2 : + _guard213 ? 3'd4 : + _guard218 ? 3'd1 : + _guard219 ? 3'd0 : + _guard224 ? 3'd3 : 3'd0; -assign tdcc0_go_in = _guard229; +assign tdcc0_go_in = _guard230; assign invoke3_done_in = i0_done; -assign par0_done_in = _guard232; +assign par0_done_in = _guard233; assign invoke0_done_in = i0_done; -assign invoke1_go_in = _guard238; -assign beg_spl_upd1_go_in = _guard244; +assign invoke1_go_in = _guard239; +assign beg_spl_upd1_go_in = _guard245; assign le0_left = - _guard245 ? i0_out : + _guard246 ? i0_out : 4'd0; assign le0_right = - _guard246 ? const1_out : + _guard247 ? const1_out : 4'd0; -assign signal_reg_write_en = _guard256; +assign signal_reg_write_en = _guard257; assign signal_reg_clk = clk; assign signal_reg_reset = reset; assign signal_reg_in = - _guard262 ? 1'd1 : - _guard265 ? 1'd0 : + _guard263 ? 1'd1 : + _guard266 ? 1'd0 : 1'd0; assign invoke2_done_in = B_read0_0_done; -assign tdcc1_done_in = _guard266; +assign tdcc1_done_in = _guard267; assign beg_spl_upd1_done_in = B0_done; -assign B_read0_0_write_en = _guard267; +assign B_read0_0_write_en = _guard268; assign B_read0_0_clk = clk; assign B_read0_0_reset = reset; assign B_read0_0_in = B0_read_data; -assign pd_write_en = _guard275; +assign pd_write_en = _guard276; assign pd_clk = clk; assign pd_reset = reset; assign pd_in = - _guard278 ? 1'd1 : - _guard281 ? 1'd0 : + _guard279 ? 1'd1 : + _guard282 ? 1'd0 : 1'd0; -assign pd0_write_en = _guard288; +assign pd0_write_en = _guard289; assign pd0_clk = clk; assign pd0_reset = reset; assign pd0_in = - _guard291 ? 1'd1 : - _guard294 ? 1'd0 : - 1'd0; -assign wrapper_early_reset_cond00_go_in = _guard307; -assign wrapper_early_reset_cond00_done_in = _guard310; -assign tdcc_done_in = _guard311; -assign upd2_go_in = _guard317; -assign invoke3_go_in = _guard323; + _guard292 ? 1'd1 : + _guard295 ? 1'd0 : + 1'd0; +assign wrapper_early_reset_cond00_go_in = _guard308; +assign wrapper_early_reset_cond00_done_in = _guard311; +assign tdcc_done_in = _guard312; +assign upd2_go_in = _guard318; +assign invoke3_go_in = _guard324; assign invoke1_done_in = A_read0_0_done; assign tdcc1_go_in = go; -assign A_read0_0_write_en = _guard324; +assign A_read0_0_write_en = _guard325; assign A_read0_0_clk = clk; assign A_read0_0_reset = reset; assign A_read0_0_in = A0_read_data; -assign par0_go_in = _guard331; +assign par0_go_in = _guard332; // COMPONENT END: main endmodule