diff --git a/custom_components/powercalc/data/osram/PAR16 50 TW/color_temp.csv.gz b/custom_components/powercalc/data/osram/PAR16 50 TW/color_temp.csv.gz new file mode 100644 index 000000000..b3fbe8411 Binary files /dev/null and b/custom_components/powercalc/data/osram/PAR16 50 TW/color_temp.csv.gz differ diff --git a/custom_components/powercalc/data/osram/PAR16 50 TW/model.json b/custom_components/powercalc/data/osram/PAR16 50 TW/model.json new file mode 100644 index 000000000..cbb4bc592 --- /dev/null +++ b/custom_components/powercalc/data/osram/PAR16 50 TW/model.json @@ -0,0 +1,13 @@ +{ + "calculation_strategy": "lut", + "measure_description": "Measured with utils/measure script", + "measure_device": "TP-Link Tapo P115", + "measure_method": "script", + "measure_settings": { + "SAMPLE_COUNT": 2, + "SLEEP_TIME": 3, + "VERSION": "master" + }, + "name": "Osram LIGHTIFY 6W GU10", + "standby_power": 0.3 +} \ No newline at end of file diff --git a/custom_components/powercalc/data/yeelight/YLDP02YL/color_temp.csv.gz b/custom_components/powercalc/data/yeelight/YLDP02YL/color_temp.csv.gz new file mode 100644 index 000000000..ea341af50 Binary files /dev/null and b/custom_components/powercalc/data/yeelight/YLDP02YL/color_temp.csv.gz differ diff --git a/custom_components/powercalc/data/yeelight/YLDP02YL/hs.csv.gz b/custom_components/powercalc/data/yeelight/YLDP02YL/hs.csv.gz new file mode 100644 index 000000000..1e0c91a0a Binary files /dev/null and b/custom_components/powercalc/data/yeelight/YLDP02YL/hs.csv.gz differ diff --git a/custom_components/powercalc/data/yeelight/YLDP02YL/model.json b/custom_components/powercalc/data/yeelight/YLDP02YL/model.json new file mode 100644 index 000000000..e354e30b9 --- /dev/null +++ b/custom_components/powercalc/data/yeelight/YLDP02YL/model.json @@ -0,0 +1,13 @@ +{ + "calculation_strategy": "lut", + "measure_description": "Measured with utils/measure script", + "measure_device": "TP-Link Tapo P115", + "measure_method": "script", + "measure_settings": { + "SAMPLE_COUNT": 2, + "SLEEP_TIME": 3, + "VERSION": "master" + }, + "name": "Yeelight RGBW E27 Smart LED Bulb", + "standby_power": 1.29 +} \ No newline at end of file