-
Notifications
You must be signed in to change notification settings - Fork 0
/
makefile
477 lines (426 loc) · 30.6 KB
/
makefile
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
##############################################################################
# ECE 337 General Makefile
#
# Set tab spacing to 2 spaces per tab for best viewing results
##############################################################################
# Include the common/shared variables for the course to minimize potentially stale values
include /home/ecegrid/a/ece337/Course_Prod/course_make_vars
##############################################################################
# File Related Variables
##############################################################################
# List internal component/block files here (separate the filenames with spaces)
# (do not include the source folder in the name)
# NOTE: YOU WILL NEED TO SET THIS VARIABLE'S VALUE WHEN WORKING WITH HEIRARCHICAL DESIGNS
# AND THE AUTOMATED GRADING SYSTEM
COMPONENT_FILES := move_block.sv flex_fifo.sv flex_indexer.sv scheduling_logic.sv dependancy_reg.sv instruction_parser.sv decode.sv decodeLayer.sv encode.sv encodeLayer.sv DataSelMux.sv regMuxLayer.sv registerFile.sv outSel.sv StateMemory.sv dependency_remove.sv
COMPONENT_FILES += signMul.sv mul24.sv exponentAdd.sv normalizeMul.sv sticky.sv roundMul.sv exponentUp.sv apb_clk_detect.sv
COMPONENT_FILES += CLA_24bit.sv exp_diff.sv exp_mux.sv inversion_mux.sv bit_inversion.sv round.sv shifter.sv LOP_nbit.sv LOD.sv LOD_2bit.sv LOD_nbit.sv comparator.sv swap.sv Sign.sv exception_handling.sv barrel_mux.sv
COMPONENT_FILES += absolution.sv negation.sv load_block.sv store.sv multiply.sv add_block.sv sine.sv upDownCounter.sv scheduler.sv APB_slave_interface.sv subtract_block.sv
COMPONENT_FILES += Floating_point_co_processor_design.sv
# Specify the name of the top level file (do not include the source folder in the name)
# NOTE: YOU WILL NEED TO SET THIS VARIABLE'S VALUE WHEN WORKING WITH HEIRARCHICAL DESIGNS
# AND THE AUTOMATED GRADING SYSTEM
TOP_LEVEL_FILE := Floating_point_co_processor_top.sv
# Specify the filepath of the test bench you want to use (ie. tb_top_level.sv)
# (do not include the source folder in the name)
TEST_BENCH := tb_Floating_point_co_processor.sv
# Fill in the names of any test bench helper code files (code files referenced by your testbenches
# other than the actual design files)( do not include the 'source/')
# If you are not using one of the sram models simply remove the correspoinding
# filename from this variable
TB_HELPER_FILES := on_chip_sram_wrapper.vhd
# Get the top level design and test_bench module names
TB_MODULE := $(notdir $(basename $(TEST_BENCH)))
TOP_MODULE := $(notdir $(basename $(TOP_LEVEL_FILE)))
# Select the Cell Library to use with simulations
GATE_LIB := $(AMI_05_LIB)
S_WORK_LIB := source_work
M_WORK_LIB := mapped_work
# Automatically handle whether to running on the grid or not
LIB_CREATE := vlib
VLOG_COMPILE := vlog -sv
VHDL_COMPILE := vcom
SIMULATE := vsim -Lf $(LABS_IP_LIB) -L $(GATE_LIB) -L $(GOLD_LIB) +no_glitch_msg -coverage
DC_SHELL := dc_shell-t
##############################################################################
# Designate that all "intermediate" files created during chaing make rules
# should not be deleted (otherwise automatically compiled or synthesized files
# will be automatically deleted by make after it's done with them).
##############################################################################
.SECONDARY:
##############################################################################
# Designate targets that do not correspond directly to files so that they are
# run every time they are called
##############################################################################
.phony: help clean veryclean
.phony: print_vars
.phony: sim_full_source sim_full_mapped
.phony: syn_mapped
##############################################################################
# Make the default target (the one called when no specific one is invoked) to
# output the proper usage of this makefile
##############################################################################
help:
@echo "----------------------------------------------------------------"
@echo "Administrative targets:"
@echo " clean - removes the temporary files"
@echo " veryclean - removes the mapped files as well"
@echo " print_vars - prints the contents of the variables"
@echo
@echo "Compilation targets:"
@echo " source_% - compiles the source version of an indivudal file"
@echo " with the basename of % and outputs the feedback"
@echo " to the terminal instead of to a log file"
@echo " mapped_% - compiles the mapped version of an indivudal file"
@echo " with the basename of % and outputs the feedback"
@echo " to the terminal instead of to a log file"
@echo " mapped_tb_% - compiles the testbench for an indivudal mapped file"
@echo " with the basename of % and outputs the feedback"
@echo " to the terminal instead of to a log file"
@echo
@echo "Simulation targets:"
@echo " sim_full_source - compiles and simulates the source version"
@echo " of a full design including its top level"
@echo " test bench"
@echo " sim_full_mapped - compiles and simulates the mapped version"
@echo " of a full design including its top level"
@echo " test bench"
@echo " sim_%_source - compiles and simulates the source version"
@echo " of an individual file with basename %"
@echo " without a testbench"
@echo " sim_%_mapped - compiles and simulates the mapped version"
@echo " of an individual file with basename %"
@echo " without a testbench"
@echo " tbsim_%_source - compiles and simulates the source version"
@echo " of an individual file with basename %"
@echo " with its testbench"
@echo " tbsim_%_mapped - compiles and simulates the mapped version"
@echo " of an individual file with basename %"
@echo " with its testbench"
@echo
@echo "Synthesis targets:"
@echo " mapped/%.v - synthesizes the mapped version of an individual file"
@echo " additionally the DEP_SUB_FILES variabl can be used "
@echo " to define dependant submodule files needed for "
@echo " synthesis and the CLOCK_NAME variable can be used"
@echo " to define the clock signal name to use for synthesis"
@echo " of sequential/clocked designs"
@echo "----------------------------------------------------------------"
##############################################################################
# Administrative Targets
##############################################################################
clean:
@echo -e "Removing temporary files"
@rm -rf *_work
@rm -rf analyzed/ARCH analyzed/ENTI
@rm -f analyzed/*
@rm -f schematic/*
@rm -f *.wlf *.svf transcript
@rm -f *.tran
@rm -f *.scomp *.mcomp
@echo -e "Done\n\n"
veryclean:
@$(MAKE) --no-print-directory clean
@echo -e "Removing synthesized files, synthesis logs, and synthesis reports"
@rm -f mapped/*
@rm -f reports/*
@rm -f *.log
@echo -e "Done\n\n"
print_vars:
@echo -e "Component Files: \n $(foreach file, $(COMPONENT_FILES), $(file)\n)"
@echo -e "Top level File: $(TOP_LEVEL_FILE)"
@echo -e "Testbench: $(TEST_BENCH)"
@echo -e "Top level module: $(TOP_MODULE)"
@echo -e "Testbench module: $(TB_MODULE)"
@echo -e "Gate Library: '$(GATE_LIB)'"
@echo -e "Gold Library: '$(GOLD_LIB)'"
@echo -e "Course Library: '$(LABS_IP_LIB)'"
@echo -e "Source work library: '$(S_WORK_LIB)'"
@echo -e "Mapped work library: '$(M_WORK_LIB)'"
##############################################################################
# Compilation Targets
##############################################################################
# Define a pattern rule to automatically create the work library for a design source compile
$(S_WORK_LIB):
@echo -e "Creating work library: $@"
@rm -rf $@
@$(LIB_CREATE) $@
# Define a pattern rule to automatically compile updated source files for a design
$(S_WORK_LIB)/%: source/%.sv $(S_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $@
@$(VLOG_COMPILE) -work $(word 2, $^) $< > $*.scomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
$(S_WORK_LIB)/%: source/%.vhd $(S_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $@
@$(VHDL_COMPILE) -work $(word 2, $^) $< > $*.scomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
# Define a pattern rule to for use at commandline to compile source versions and
# send feedback to terminal instead of log file
source_%: source/%.sv $(S_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $(word 2, $^)/$*
@$(VLOG_COMPILE) -work $(word 2, $^) $< > $*.scomp
@cat $*.scomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
source_%: source/%.vhd $(S_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $(word 2, $^)/$*
@$(VHDL_COMPILE) -work $(word 2, $^) $< > $*.scomp
@cat $*.scomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
# Define a pattern rule to automatically create the work library for a full design mapped compile
$(M_WORK_LIB):
@echo -e "Creating work library: $@"
@rm -rf $@
@$(LIB_CREATE) $@
# Define a pattern rule to automatically compile updated mapped design files for a full mapped design
$(M_WORK_LIB)/%: mapped/%.v $(M_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $@
@$(VLOG_COMPILE) -work $(word 2, $^) $< > $*.mcomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
# Define a pattern rule to automatically compile updated test bench files for a full mapped design
$(M_WORK_LIB)/tb_%: source/tb_%.sv $(M_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $@
@$(VLOG_COMPILE) -work $(word 2, $^) $< > $*.mcomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
$(M_WORK_LIB)/%: source/%.vhd $(M_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $@
@$(VHDL_COMPILE) -work $(word 2, $^) $< > $*.mcomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
# Define a pattern rule to for use at commandline to compile mapped versions and
# send feedback to terminal instead of log file
mapped_%: mapped/%.v $(M_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $(word 2, $^)/$*
@$(VLOG_COMPILE) -work $(word 2, $^) $< > $*.mcomp
@cat $*.mcomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
# Define a pattern rule to for use at commandline to compile testbenches for mapped
# versions and send feedback to terminal instead of log file
mapped_tb_%.sv: source/tb_%.sv $(M_WORK_LIB)
@echo -e "Compiling '$<' into work library '$(word 2, $^)'"
@rm -rf $(word 2, $^)/tb_$*
@$(VLOG_COMPILE) -work $(word 2, $^) $< > tb_$*.mcomp
@cat $*.mcomp
@echo -e "Done compiling '$<' into work library '$(word 2, $^)'"
##############################################################################
# Simulation Targets
##############################################################################
define CONSOLE_SIM_CMDS
"run 15 us; \
quit -f"
endef
# This rule defines how to simulate the source form of the full design
sim_full_source: $(addprefix $(S_WORK_LIB)/, $(basename $(TOP_LEVEL_FILE) $(TEST_BENCH) $(TB_HELPER_FILES) $(COMPONENT_FILES)))
@echo -e "Simulating Source Design"
# Uncomment below if you want to just run the simulation as a console command
# using the commands listed in the CONSOLE_SIM_CMDS definition above instead of
# .do file and have the transcript contents to be saved to a file
# @$(SIMULATE) -c -t ps -do $(CONSOLE_SIM_CMDS) $(S_WORK_LIB).$(TB_MODULE) > source.tran
# Uncomment below if you want run the simulation the normal way and have it
# run the specified .do file
# @$(SIMULATE) -t ps -do s_waves.do $(S_WORK_LIB).$(TB_MODULE)
# This way just runs it like normal and only sets up the simulation but doesn't
# run it or add any waveforms
@$(SIMULATE) -i -t ps $(S_WORK_LIB).$(TB_MODULE)
@cp -f transcript $(basename $(TOP_LEVEL_FILE)).stran
@echo -e "Done simulating the source design\n\n"
# This rule defines how to simulate the mapped form of the full design
sim_full_mapped: $(addprefix $(M_WORK_LIB)/, $(basename $(TOP_LEVEL_FILE) $(TEST_BENCH) $(TB_HELPER_FILES)))
@echo -e "Simulating Mapped Design"
# Uncomment below if you want to just run the simulation as a console command
# using the commands listed in the CONSOLE_SIM_CMDS definition above instead of
# .do file and have the transcript contents to be saved to a file
# @$(SIMULATE) -c -t ps -do $(CONSOLE_SIM_CMDS) $(M_WORK_LIB).$(TB_MODULE) > mapped.tran
# Uncomment below if you want run the simulation the normal way and have it
# run the specified .do file
# @$(SIMULATE) -t ps -do s_waves.do $(M_WORK_LIB).$(TB_MODULE)
# This way just runs it like normal and only sets up the simulation but doesn't
# run it or add any waveforms
@$(SIMULATE) -i -t ps $(M_WORK_LIB).$(TB_MODULE)
@cp -f transcript $(basename $(TOP_LEVEL_FILE)).mtran
@echo -e "Done simulating the mapped design\n\n"
# Define a pattern rule for simulating the source version of individual files without a testbench
sim_%_source: $(S_WORK_LIB)/%
@$(SIMULATE) -i -t ps $(dir $<).$*
@cp -f transcript $*.stran
# Define a pattern rule for simulating the mapped version of individual files without a testbench
sim_%_mapped: $(M_WORK_LIB)/%
@$(SIMULATE) -i -t ps $(dir $<).$*
@cp -f transcript $*.mtran
# Define a pattern rule for simulating the source version of individual files with a testbench
tbsim_%_source: $(S_WORK_LIB)/% $(S_WORK_LIB)/tb_%
@$(SIMULATE) -i -t ps $(dir $<).tb_$*
@cp -f transcript $*.stran
# Define a pattern rule for simulating the mapped version of individual files with a testbench
tbsim_%_mapped: $(M_WORK_LIB)/% $(M_WORK_LIB)/tb_%
@$(SIMULATE) -i -t ps $(dir $<).tb_$*
@cp -f transcript $*.mtran
##############################################################################
# Define the synthesis target specific variables to use
##############################################################################
# Set the default value of the clock name and clock period to an empty string so that clock timing will
# only be activated in the SYN_CMDS definition if they were overwritten at invocation
CLOCK_NAME := clk
CLOCK_PERIOD := 7
# Set the default value of the source files for sub modules to be an empty string so that
# it will only be used if overwritten at invocation
DEP_SUB_FILES :=
# Set the default value of the main source file to an empty string since it will be
# overwritten by each rule where it is used anyways
MAIN_FILE :=
# Set the module's name to always be the same as the basename of the file
# (a.k.a. the file name without the file extension)
MOD_NAME := $(basename $(MAIN_FILE))
##############################################################################
# Synthesis Targets
##############################################################################
# A customized make target for the top level file for complex designs
# Note: The CLOCK_NAME variable override below will need to be set to the actual
# clock signal name for sequential/clocked designs.
# Also have to specify to run with the c shell to force it to use the course config (.cshrc) and call course scripts
mapped/$(TOP_MODULE).v: SHELL := /usr/local/bin/tcsh
mapped/$(TOP_MODULE).v: source/$(TOP_LEVEL_FILE) $(addprefix source/,$(COMPONENT_FILES))
@echo "Synthesizing design: $@\n"
@$(MAKE) --no-print-directory syn_mapped MAIN_FILE='$(TOP_LEVEL_FILE)' DEP_SUB_FILES='$(COMPONENT_FILES)' CLOCK_NAME='$(CLOCK_NAME)' CLOCK_PERIOD='$(CLOCK_PERIOD)' > $(TOP_MODULE).log
@echo "Synthesis run attempt for $@ complete"
@echo "Checking synthesis attempt for errors"
@syschk -w $(TOP_MODULE)
@echo "\nCheck for synthesis attempt errors complete, open $(TOP_MODULE).log for details if errors were found"
@echo "\nRemember to check $(TOP_MODULE).log for latches and timing arcs"
@echo "Synthesis run complete for design: $@\n\n"
# A pattern rule target to synthesize any design that does not already have one defined earlier,
# as long as the desired mapped file has a corresponding source file with the same basename,
# (which is grabbed by the '%' and accessed via the $* automatic variable).
# Additionally it will include any specified dependant submodule source files in the target's
# dependencies and thus will resynthesize if any of them are newer as well.
# It will pass on any of the related variables values if they were overwritten at runtime.
# Also have to specify to run with the c shell to force it to use the course config (.cshrc) and call course scripts
mapped/%.v: SHELL := /usr/local/bin/tcsh
mapped/%.v: source/%.sv $(addprefix source/,$(DEP_SUB_FILES))
@echo "Synthesizing and Compiling design: $@\n"
@$(MAKE) --no-print-directory syn_mapped MAIN_FILE='$*.sv' DEP_SUB_FILES='$(DEP_SUB_FILES)' CLOCK_NAME='$(CLOCK_NAME)' CLOCK_PERIOD='$(CLOCK_PERIOD)' > $*.log
@echo "Synthesis run attempt for $@ complete"
@echo "Checking synthesis attempt for errors (errors will be printed if found)"
@syschk -w $*
@echo "\nCheck for synthesis attempt errors complete, open $*.log for details if errors were found"
@echo "\nRemember to check $*.log for latches and timing arcs"
@echo "Synthesis run complete for design: $@\n\n"
##############################################################################
# Define the synthesis commands to use
##############################################################################
define SYN_CMDS
'# Step 1: Read in the source file \n\
analyze -format sverilog -lib WORK {$(DEP_SUB_FILES) $(MAIN_FILE)} \n\
elaborate $(MOD_NAME) -lib WORK -update \n\
\n\
uniquify \n\
# Step 2: Set design constraints \n\
# Uncomment below to set timing, area, power, etc. constraints \n\
# set_max_delay <delay> -from "<input>" -to "<output>" \n\
# set_max_area <area> \n\
# set_max_total_power <power> mW \n\
$(if $(and $(CLOCK_NAME), $(CLOCK_PERIOD)), create_clock "$(CLOCK_NAME)" -name "$(CLOCK_NAME)" -period $(CLOCK_PERIOD)) \n\
set_max_delay 2.0 -from "FP_PROCESSOR/MULT/MUL/a[2]" -to "FP_PROCESSOR/MULT/MUL/result[47]" \n\
set_max_delay 0.5 -from "FP_PROCESSOR/MULT/NORM/result[47]" -to "FP_PROCESSOR/MULT/NORM/mantissa[22]" \n\
set_max_delay 1.2 -from "FP_PROCESSOR/MULT/STICK/rest[0]" -to "FP_PROCESSOR/MULT/STICK/S" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/MULT/RND/L" -to "FP_PROCESSOR/MULT/RND/rounded[22]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/MULT/EADD/exp1[0]" -to "FP_PROCESSOR/MULT/EADD/result[7]" \n\
set_max_delay 0.8 -from "FP_PROCESSOR/ADD/MANT_CMPR/b[0]" -to "FP_PROCESSOR/ADD/MANT_CMPR/gt" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/ADD/LRGEMANT_INV/inversion_control" -to "FP_PROCESSOR/ADD/LRGEMANT_INV/updated_mantissa[23]" \n\
set_max_delay 0.4 -from "FP_PROCESSOR/ADD/SMLLMANT_SHIFT/mant_shift[7]" -to "FP_PROCESSOR/ADD/SMLLMANT_SHIFT/shifted_mantissa[17]" \n\
set_max_delay 0.9 -from "FP_PROCESSOR/ADD/MANT_ADD/a[0]" -to "FP_PROCESSOR/ADD/MANT_ADD/sum[23]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/ADD/LZC_PREDICT/op1[19]" -to "FP_PROCESSOR/ADD/LZC_PREDICT/shift[4]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/ADD/ROUND_RES/eop" -to "FP_PROCESSOR/ADD/ROUND_RES/rnd_result[22]" \n\
set_max_delay 0.94 -from "FP_PROCESSOR/ADD/EXCPETIONS/ovf" -to "FP_PROCESSOR/ADD/EXCPETIONS/exp[7]" \n\
set_max_delay 0.8 -from "FP_PROCESSOR/SUB/MANT_CMPR/b[0]" -to "FP_PROCESSOR/SUB/MANT_CMPR/gt" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SUB/LRGEMANT_INV/inversion_control" -to "FP_PROCESSOR/SUB/LRGEMANT_INV/updated_mantissa[23]" \n\
set_max_delay 0.4 -from "FP_PROCESSOR/SUB/SMLLMANT_SHIFT/mant_shift[7]" -to "FP_PROCESSOR/SUB/SMLLMANT_SHIFT/shifted_mantissa[17]" \n\
set_max_delay 0.9 -from "FP_PROCESSOR/SUB/MANT_ADD/a[0]" -to "FP_PROCESSOR/SUB/MANT_ADD/sum[23]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/SUB/LZC_PREDICT/op1[19]" -to "FP_PROCESSOR/SUB/LZC_PREDICT/shift[4]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/SUB/ROUND_RES/eop" -to "FP_PROCESSOR/SUB/ROUND_RES/rnd_result[22]" \n\
set_max_delay 0.94 -from "FP_PROCESSOR/SUB/EXCPETIONS/ovf" -to "FP_PROCESSOR/SUB/EXCPETIONS/exp[7]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX2/MUL/a[2]" -to "FP_PROCESSOR/SIN/MULX2/MUL/result[47]" \n\
set_max_delay 0.5 -from "FP_PROCESSOR/SIN/MULX2/NORM/result[47]" -to "FP_PROCESSOR/SIN/MULX2/NORM/mantissa[22]" \n\
set_max_delay 1.2 -from "FP_PROCESSOR/SIN/MULX2/STICK/rest[0]" -to "FP_PROCESSOR/SIN/MULX2/STICK/S" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX2/RND/L" -to "FP_PROCESSOR/SIN/MULX2/RND/rounded[22]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX2/EADD/exp1[0]" -to "FP_PROCESSOR/SIN/MULX2/EADD/result[7]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX3/MUL/a[2]" -to "FP_PROCESSOR/SIN/MULX3/MUL/result[47]" \n\
set_max_delay 0.5 -from "FP_PROCESSOR/SIN/MULX3/NORM/result[47]" -to "FP_PROCESSOR/SIN/MULX3/NORM/mantissa[22]" \n\
set_max_delay 1.2 -from "FP_PROCESSOR/SIN/MULX3/STICK/rest[0]" -to "FP_PROCESSOR/SIN/MULX3/STICK/S" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX3/RND/L" -to "FP_PROCESSOR/SIN/MULX3/RND/rounded[22]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX3/EADD/exp1[0]" -to "FP_PROCESSOR/SIN/MULX3/EADD/result[7]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX5/MUL/a[2]" -to "FP_PROCESSOR/SIN/MULX5/MUL/result[47]" \n\
set_max_delay 0.5 -from "FP_PROCESSOR/SIN/MULX5/NORM/result[47]" -to "FP_PROCESSOR/SIN/MULX5/NORM/mantissa[22]" \n\
set_max_delay 1.2 -from "FP_PROCESSOR/SIN/MULX5/STICK/rest[0]" -to "FP_PROCESSOR/SIN/MULX5/STICK/S" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX5/RND/L" -to "FP_PROCESSOR/SIN/MULX5/RND/rounded[22]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX5/EADD/exp1[0]" -to "FP_PROCESSOR/SIN/MULX5/EADD/result[7]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX3FAC/MUL/a[2]" -to "FP_PROCESSOR/SIN/MULX3FAC/MUL/result[47]" \n\
set_max_delay 0.5 -from "FP_PROCESSOR/SIN/MULX3FAC/NORM/result[47]" -to "FP_PROCESSOR/SIN/MULX3FAC/NORM/mantissa[22]" \n\
set_max_delay 1.2 -from "FP_PROCESSOR/SIN/MULX3FAC/STICK/rest[0]" -to "FP_PROCESSOR/SIN/MULX3FAC/STICK/S" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX3FAC/RND/L" -to "FP_PROCESSOR/SIN/MULX3FAC/RND/rounded[22]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX3FAC/EADD/exp1[0]" -to "FP_PROCESSOR/SIN/MULX3FAC/EADD/result[7]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX7/MUL/a[2]" -to "FP_PROCESSOR/SIN/MULX7/MUL/result[47]" \n\
set_max_delay 0.5 -from "FP_PROCESSOR/SIN/MULX7/NORM/result[47]" -to "FP_PROCESSOR/SIN/MULX7/NORM/mantissa[22]" \n\
set_max_delay 1.2 -from "FP_PROCESSOR/SIN/MULX7/STICK/rest[0]" -to "FP_PROCESSOR/SIN/MULX7/STICK/S" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX7/RND/L" -to "FP_PROCESSOR/SIN/MULX7/RND/rounded[22]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX7/EADD/exp1[0]" -to "FP_PROCESSOR/SIN/MULX7/EADD/result[7]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX5FAC/MUL/a[2]" -to "FP_PROCESSOR/SIN/MULX5FAC/MUL/result[47]" \n\
set_max_delay 0.5 -from "FP_PROCESSOR/SIN/MULX5FAC/NORM/result[47]" -to "FP_PROCESSOR/SIN/MULX5FAC/NORM/mantissa[22]" \n\
set_max_delay 1.2 -from "FP_PROCESSOR/SIN/MULX5FAC/STICK/rest[0]" -to "FP_PROCESSOR/SIN/MULX5FAC/STICK/S" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX5FAC/RND/L" -to "FP_PROCESSOR/SIN/MULX5FAC/RND/rounded[22]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX5FAC/EADD/exp1[0]" -to "FP_PROCESSOR/SIN/MULX5FAC/EADD/result[7]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX7FAC/MUL/a[2]" -to "FP_PROCESSOR/SIN/MULX7FAC/MUL/result[47]" \n\
set_max_delay 0.5 -from "FP_PROCESSOR/SIN/MULX7FAC/NORM/result[47]" -to "FP_PROCESSOR/SIN/MULX7FAC/NORM/mantissa[22]" \n\
set_max_delay 1.2 -from "FP_PROCESSOR/SIN/MULX7FAC/STICK/rest[0]" -to "FP_PROCESSOR/SIN/MULX7FAC/STICK/S" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX7FAC/RND/L" -to "FP_PROCESSOR/SIN/MULX7FAC/RND/rounded[22]" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/MULX7FAC/EADD/exp1[0]" -to "FP_PROCESSOR/SIN/MULX7FAC/EADD/result[7]" \n\
set_max_delay 0.8 -from "FP_PROCESSOR/SIN/ADDX3/MANT_CMPR/b[0]" -to "FP_PROCESSOR/SIN/ADDX3/MANT_CMPR/gt" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/ADDX3/LRGEMANT_INV/inversion_control" -to "FP_PROCESSOR/SIN/ADDX3/LRGEMANT_INV/updated_mantissa[23]" \n\
set_max_delay 0.4 -from "FP_PROCESSOR/SIN/ADDX3/SMLLMANT_SHIFT/mant_shift[7]" -to "FP_PROCESSOR/SIN/ADDX3/SMLLMANT_SHIFT/shifted_mantissa[17]" \n\
set_max_delay 0.9 -from "FP_PROCESSOR/SIN/ADDX3/MANT_ADD/a[0]" -to "FP_PROCESSOR/SIN/ADDX3/MANT_ADD/sum[23]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/SIN/ADDX3/LZC_PREDICT/op1[19]" -to "FP_PROCESSOR/SIN/ADDX3/LZC_PREDICT/shift[4]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/SIN/ADDX3/ROUND_RES/eop" -to "FP_PROCESSOR/SIN/ADDX3/ROUND_RES/rnd_result[22]" \n\
set_max_delay 0.94 -from "FP_PROCESSOR/SIN/ADDX3/EXCPETIONS/ovf" -to "FP_PROCESSOR/SIN/ADDX3/EXCPETIONS/exp[7]" \n\
set_max_delay 0.8 -from "FP_PROCESSOR/SIN/ADDX5/MANT_CMPR/b[0]" -to "FP_PROCESSOR/SIN/ADDX5/MANT_CMPR/gt" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/ADDX5/LRGEMANT_INV/inversion_control" -to "FP_PROCESSOR/SIN/ADDX5/LRGEMANT_INV/updated_mantissa[23]" \n\
set_max_delay 0.4 -from "FP_PROCESSOR/SIN/ADDX5/SMLLMANT_SHIFT/mant_shift[7]" -to "FP_PROCESSOR/SIN/ADDX5/SMLLMANT_SHIFT/shifted_mantissa[17]" \n\
set_max_delay 0.9 -from "FP_PROCESSOR/SIN/ADDX5/MANT_ADD/a[0]" -to "FP_PROCESSOR/SIN/ADDX5/MANT_ADD/sum[23]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/SIN/ADDX5/LZC_PREDICT/op1[19]" -to "FP_PROCESSOR/SIN/ADDX5/LZC_PREDICT/shift[4]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/SIN/ADDX5/ROUND_RES/eop" -to "FP_PROCESSOR/SIN/ADDX5/ROUND_RES/rnd_result[22]" \n\
set_max_delay 0.94 -from "FP_PROCESSOR/SIN/ADDX5/EXCPETIONS/ovf" -to "FP_PROCESSOR/SIN/ADDX5/EXCPETIONS/exp[7]" \n\
set_max_delay 0.8 -from "FP_PROCESSOR/SIN/ADDX7/MANT_CMPR/b[0]" -to "FP_PROCESSOR/SIN/ADDX7/MANT_CMPR/gt" \n\
set_max_delay 2.0 -from "FP_PROCESSOR/SIN/ADDX7/LRGEMANT_INV/inversion_control" -to "FP_PROCESSOR/SIN/ADDX7/LRGEMANT_INV/updated_mantissa[23]" \n\
set_max_delay 0.4 -from "FP_PROCESSOR/SIN/ADDX7/SMLLMANT_SHIFT/mant_shift[7]" -to "FP_PROCESSOR/SIN/ADDX7/SMLLMANT_SHIFT/shifted_mantissa[17]" \n\
set_max_delay 0.9 -from "FP_PROCESSOR/SIN/ADDX7/MANT_ADD/a[0]" -to "FP_PROCESSOR/SIN/ADDX7/MANT_ADD/sum[23]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/SIN/ADDX7/LZC_PREDICT/op1[19]" -to "FP_PROCESSOR/SIN/ADDX7/LZC_PREDICT/shift[4]" \n\
set_max_delay 1.0 -from "FP_PROCESSOR/SIN/ADDX7/ROUND_RES/eop" -to "FP_PROCESSOR/SIN/ADDX7/ROUND_RES/rnd_result[22]" \n\
set_max_delay 0.94 -from "FP_PROCESSOR/SIN/ADDX7/EXCPETIONS/ovf" -to "FP_PROCESSOR/SIN/ADDX7/EXCPETIONS/exp[7]" \n\
\n\
# Step 3: Compile the design \n\
compile -map_effort medium \n\
\n\
# Step 4: Output reports \n\
report_timing -path full -delay max -max_paths 1 -nworst 1 > reports/$(MOD_NAME).rep \n\
report_area >> reports/$(MOD_NAME).rep \n\
report_power -hier >> reports/$(MOD_NAME).rep \n\
\n\
# Step 5: Output final VHDL and Verilog files \n\
write -format verilog -hierarchy -output "mapped/$(MOD_NAME).v" \n\
echo "\\nScript Done\\n" \n\
echo "\\nChecking Design\\n" \n\
check_design \n\
exit'
endef
# Define the target that will actuall invoke the synthesis commands through design compiler
# Use of the .ONESHELL will cause all of the lines after the DC_SHELL invocation line to be
# run on the design compiler shell instance created by the DC_SHELL invocation line.
syn_mapped:
@echo -e "Synthesizing design: $(MAIN_FILE)"
@echo -e $(SYN_CMDS) | $(DC_SHELL)
@echo -e "Done\n\n"