-
Notifications
You must be signed in to change notification settings - Fork 0
/
test_caesar.v
88 lines (73 loc) · 1.57 KB
/
test_caesar.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 20:15:45 11/30/2020
// Design Name: ceasar_decryption
// Module Name: G:/334AB/Semestrul I/AC/Tema2/decryption_skel/test_caesar.v
// Project Name: decryption_skel
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: ceasar_decryption
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////
module test_caesar;
// Inputs
reg clk;
reg rst_n;
reg [7:0] data_i;
reg valid_i;
reg [15:0] key;
// Outputs
wire [7:0] data_o;
wire valid_o;
wire busy;
// Instantiate the Unit Under Test (UUT)
ceasar_decryption uut (
.clk(clk),
.rst_n(rst_n),
.data_i(data_i),
.valid_i(valid_i),
.key(key),
.busy(busy),
.data_o(data_o),
.valid_o(valid_o)
);
initial begin
// Initialize Inputs
clk = 0;
rst_n = 0;
data_i = 0;
valid_i = 0;
key = 0;
repeat(5)
@(posedge clk);
rst_n = 1;
// Wait 100 ns for global reset to finish
repeat(5)
@(posedge clk);
@(posedge clk);
data_i = 1;
valid_i = 1;
@(posedge clk);
data_i = 2;
valid_i = 1;
@(posedge clk);
valid_i = 0;
data_i = 2;
@(posedge clk);
valid_i = 1;
data_i = 20;
// Add stimulus here
end
always #10 clk = ~clk;
endmodule