From 879c660827192bfa0c9aa9b5b77b42a45a48969e Mon Sep 17 00:00:00 2001 From: skellytz <46245689+skellytz@users.noreply.github.com> Date: Sun, 15 Dec 2019 00:08:33 +0100 Subject: [PATCH] v1.21 * Restored the original BG1:TotSC spawns * Restored Semaj's final battle behavior (thanks to chuft) * Fixed the double spawns in the ambush encounters (thanks to chuft) * Added missing x86-64 binaries (thanks to Dunedan_) * Fixed Standard Attack and Passive AI scripts (thanks to chuft) * Restored Sarevok's death dialogue box message * Restored a patrolling Watcher in Candlekeep * Fixed closing the barracks door by the patrolling Watcher in Candlekeep * Restored Beregost extended main day ambient (thanks to lynx) * Restored Ragefast's colors and greeting line (thanks to Salk) * Restored morale lines: Aldeth, Davaeorn, Greywolf, Husam, Krystin, Mulahey, Nimbul, Slythe, Volo * Restored rare selection lines: Cadderly, Irlentree, Silke, Watcher * Restored damage and dying sounds: Gellana, Hurgan, Surgeon * Cleaned up invalid soundset strings: Gorion, Nikolai * Amplified Tranzig's voice * Fixed Cadderly's dying sound (thanks to Sam.) --- bgt/backup/songlist/_keepme.txt | 1 - bgt/backup/songlist/place | 0 bgt/base/are/ar3200.are | Bin 7948 -> 7948 bytes bgt/base/are/ar3499.are | Bin 11972 -> 11972 bytes bgt/base/are/ar3800.are | Bin 13992 -> 13992 bytes bgt/base/are/ar4300.are | Bin 14480 -> 14480 bytes bgt/base/are/ar4600.are | Bin 10088 -> 10088 bytes bgt/base/are/ar4700.are | Bin 9904 -> 656 bytes bgt/base/are/ar4701.are | Bin 5280 -> 656 bytes bgt/base/are/ar4800.are | Bin 8272 -> 656 bytes bgt/base/are/ar4801.are | Bin 3648 -> 656 bytes bgt/base/are/ar4900.are | Bin 8424 -> 1080 bytes bgt/base/are/ar4901.are | Bin 4888 -> 1080 bytes bgt/base/are/ar5100.are | Bin 9904 -> 656 bytes bgt/base/are/ar5101.are | Bin 5552 -> 656 bytes bgt/base/are/ar5300.are | Bin 1956 -> 868 bytes bgt/base/are/ar5301.are | Bin 2016 -> 656 bytes bgt/base/are/ar5400.are | Bin 11264 -> 656 bytes bgt/base/are/ar6600.are | Bin 9852 -> 9852 bytes bgt/base/are/ar7324.are | Bin 14420 -> 14420 bytes bgt/base/are/ar7325.are | Bin 15376 -> 15376 bytes bgt/base/are/ar7326.are | Bin 15616 -> 15616 bytes bgt/base/are/ar8900.are | Bin 9412 -> 9412 bytes bgt/base/are/ar9000.are | Bin 9080 -> 9080 bytes bgt/base/are/ar9100.are | Bin 8348 -> 8348 bytes bgt/base/are/ar9400.are | Bin 12452 -> 12452 bytes bgt/base/are/ar9600.are | Bin 16852 -> 16852 bytes bgt/base/are/ar9798.are | Bin 7580 -> 7580 bytes bgt/base/are/arw000.are | Bin 22788 -> 22788 bytes bgt/base/baf/ar0015.baf | 1 + bgt/base/baf/ar4700.baf | 122 +++++ bgt/base/baf/ar4701.baf | 110 +++++ bgt/base/baf/ar4800.baf | 118 +++++ bgt/base/baf/ar4801.baf | 86 ++++ bgt/base/baf/ar4900.baf | 108 ++++ bgt/base/baf/ar4901.baf | 84 ++++ bgt/base/baf/ar5100.baf | 125 +++++ bgt/base/baf/ar5101.baf | 106 ++++ bgt/base/baf/ar5300.baf | 11 + bgt/base/baf/ar5301.baf | 29 ++ bgt/base/baf/ar5400.baf | 121 +++++ bgt/base/d/sardead.d | 5 - bgt/bgt.ini | 34 +- bgt/bgt.tp2 | 195 ++++++-- bgt/desktop.ini | 2 +- bgt/fixpack/cader09.wav | Bin 0 -> 80334 bytes bgt/fixpack/tranz01.wav | Bin 0 -> 204354 bytes bgt/fixpack/tranz02.wav | Bin 0 -> 134340 bytes bgt/fixpack/tranz03.wav | Bin 0 -> 12834 bytes bgt/fixpack/tranz04.wav | Bin 0 -> 102844 bytes bgt/help/[castilian]bgtreadme.htm | 646 ++++++++++++------------ bgt/help/[castilian]postinstall.htm | 184 +++---- bgt/help/[english]bgtreadme.htm | 23 +- bgt/help/[english]compatibility.htm | 24 +- bgt/help/[french]bgtreadme.htm | 680 +++++++++++++------------- bgt/help/[french]postinstall.htm | 250 +++++----- bgt/help/[german]bgtreadme.htm | 452 ++++++++--------- bgt/help/[german]postinstall.htm | 160 +++--- bgt/help/[italian]bgtreadme.htm | 450 ++++++++--------- bgt/help/[italian]postinstall.htm | 124 ++--- bgt/help/[korean]bgtreadme.htm | 86 ++-- bgt/help/[korean]postinstall.htm | 18 +- bgt/help/[polish]bgtreadme.htm | 352 ++++++------- bgt/help/[polish]postinstall.htm | 88 ++-- bgt/help/[russian]bgtreadme.htm | 156 +++--- bgt/help/[russian]postinstall.htm | 46 +- bgt/help/fixeslist.htm | 174 ++++--- bgt/install/osx/amd64/mosunpack | Bin 0 -> 123032 bytes bgt/install/osx/amd64/tis2bg2 | Bin 0 -> 26988 bytes bgt/install/osx/amd64/tisunpack | Bin 0 -> 123232 bytes bgt/install/osx/backup.sh | 8 + bgt/install/osx/deltis.sh | 5 + bgt/install/osx/deltis2.sh | 7 + bgt/install/osx/linkbg1.sh | 10 + bgt/install/osx/restore.sh | 8 + bgt/install/osx/x86/mosunpack | Bin 0 -> 144064 bytes bgt/install/osx/x86/tis2bg2 | Bin 0 -> 26988 bytes bgt/install/osx/x86/tisunpack | Bin 0 -> 144440 bytes bgt/install/unix/amd64/mosunpack | Bin 0 -> 931976 bytes bgt/install/unix/amd64/tis2bg2 | Bin 0 -> 17956 bytes bgt/install/unix/amd64/tisunpack | Bin 0 -> 972872 bytes bgt/install/unix/casefix.sh | 8 + bgt/install/unix/delcre.sh | 449 +++++++++++++++++ bgt/install/unix/delend.sh | 2 + bgt/install/unix/delsongs.sh | 2 + bgt/install/unix/deltis.sh | 4 + bgt/install/unix/deltis2.sh | 7 + bgt/install/unix/delwav.sh | 3 + bgt/install/unix/delwav2.sh | 3 + bgt/install/unix/linkbg1.sh | 84 ++++ bgt/install/unix/uninstal.sh | 5 + bgt/install/unix/x86/mosunpack | Bin 0 -> 654660 bytes bgt/install/unix/x86/tis2bg2 | Bin 0 -> 17956 bytes bgt/install/unix/x86/tisunpack | Bin 0 -> 690272 bytes bgt/install/win32/amd64/mosunpack.exe | Bin 0 -> 77312 bytes bgt/install/win32/amd64/tis2bg2.exe | Bin 0 -> 79360 bytes bgt/install/win32/amd64/tisunpack.exe | Bin 0 -> 94208 bytes bgt/language/castilian/dialog.tra | 3 +- bgt/language/czech/dialog.tra | 3 +- bgt/language/english/dialog.tra | 3 +- bgt/language/french/dialog.tra | 3 +- bgt/language/german/dialog.tra | 3 +- bgt/language/italian/dialog.tra | 3 +- bgt/language/korean/dialog.tra | 5 +- bgt/language/polish/dialog.tra | 3 +- bgt/language/russian/dialog.tra | 3 +- bgt/language/schinese/dialog.tra | 3 +- bgt/language/tchinese/dialog.tra | 3 +- bgt/modify/are/ar3100.are | Bin 12468 -> 12468 bytes bgt/modify/are/ar3300.are | Bin 10636 -> 10636 bytes bgt/modify/are/ar3400.are | Bin 9316 -> 9316 bytes bgt/modify/are/ar3401.are | Bin 10764 -> 10764 bytes bgt/modify/are/ar3498.are | Bin 10084 -> 10084 bytes bgt/modify/are/ar3900.are | Bin 10124 -> 10124 bytes bgt/modify/are/ar4100.are | Bin 11436 -> 11436 bytes bgt/modify/are/ar4200.are | Bin 13752 -> 13752 bytes bgt/modify/are/ar4400.are | Bin 8920 -> 8920 bytes bgt/modify/are/ar6519.are | Bin 17536 -> 17536 bytes bgt/modify/are/ar6900.are | Bin 9980 -> 9980 bytes bgt/modify/are/ar7000.are | Bin 13272 -> 13272 bytes bgt/modify/are/ar7100.are | Bin 9212 -> 9212 bytes bgt/modify/are/ar7223.are | Bin 9404 -> 9404 bytes bgt/modify/are/ar8300.are | Bin 17564 -> 17564 bytes bgt/modify/are/ar8400.are | Bin 15784 -> 15784 bytes bgt/modify/are/ar8500.are | Bin 12276 -> 12276 bytes bgt/modify/are/ar8600.are | Bin 10572 -> 10572 bytes bgt/modify/are/ar8602.are | Bin 24576 -> 24576 bytes bgt/modify/are/ar8700.are | Bin 20116 -> 20116 bytes bgt/modify/are/ar8800.are | Bin 20388 -> 20388 bytes bgt/modify/are/ar9200.are | Bin 13776 -> 13776 bytes bgt/modify/are/ar9300.are | Bin 11016 -> 11016 bytes bgt/modify/are/ar9500.are | Bin 19004 -> 19004 bytes bgt/modify/are/ar9700.are | Bin 11004 -> 11004 bytes bgt/modify/are/ar9799.are | Bin 11224 -> 11224 bytes bgt/modify/are/ar9900.are | Bin 13760 -> 13760 bytes bgt/modify/are/ara100.are | Bin 11016 -> 11016 bytes bgt/modify/are/ard000.are | Bin 13032 -> 13032 bytes bgt/modify/are/ard011.are | Bin 33672 -> 33672 bytes bgt/modify/are/ard014.are | Bin 42048 -> 42048 bytes bgt/modify/are/arw012.are | Bin 4424 -> 4424 bytes bgt/modify/are/arw500.are | Bin 11052 -> 11052 bytes bgt/{backup => style}/bgt.ico | Bin 142 files changed, 3806 insertions(+), 2005 deletions(-) delete mode 100644 bgt/backup/songlist/_keepme.txt delete mode 100644 bgt/backup/songlist/place create mode 100644 bgt/base/baf/ar4700.baf create mode 100644 bgt/base/baf/ar4701.baf create mode 100644 bgt/base/baf/ar4801.baf create mode 100644 bgt/base/baf/ar4901.baf create mode 100644 bgt/base/baf/ar5101.baf create mode 100644 bgt/base/baf/ar5300.baf create mode 100644 bgt/base/baf/ar5301.baf create mode 100644 bgt/fixpack/cader09.wav create mode 100644 bgt/fixpack/tranz01.wav create mode 100644 bgt/fixpack/tranz02.wav create mode 100644 bgt/fixpack/tranz03.wav create mode 100644 bgt/fixpack/tranz04.wav create mode 100644 bgt/install/osx/amd64/mosunpack create mode 100644 bgt/install/osx/amd64/tis2bg2 create mode 100644 bgt/install/osx/amd64/tisunpack create mode 100644 bgt/install/osx/backup.sh create mode 100644 bgt/install/osx/deltis.sh create mode 100644 bgt/install/osx/deltis2.sh create mode 100644 bgt/install/osx/linkbg1.sh create mode 100644 bgt/install/osx/restore.sh create mode 100644 bgt/install/osx/x86/mosunpack create mode 100644 bgt/install/osx/x86/tis2bg2 create mode 100644 bgt/install/osx/x86/tisunpack create mode 100644 bgt/install/unix/amd64/mosunpack create mode 100644 bgt/install/unix/amd64/tis2bg2 create mode 100644 bgt/install/unix/amd64/tisunpack create mode 100644 bgt/install/unix/casefix.sh create mode 100644 bgt/install/unix/delcre.sh create mode 100644 bgt/install/unix/delend.sh create mode 100644 bgt/install/unix/delsongs.sh create mode 100644 bgt/install/unix/deltis.sh create mode 100644 bgt/install/unix/deltis2.sh create mode 100644 bgt/install/unix/delwav.sh create mode 100644 bgt/install/unix/delwav2.sh create mode 100644 bgt/install/unix/linkbg1.sh create mode 100644 bgt/install/unix/uninstal.sh create mode 100644 bgt/install/unix/x86/mosunpack create mode 100644 bgt/install/unix/x86/tis2bg2 create mode 100644 bgt/install/unix/x86/tisunpack create mode 100644 bgt/install/win32/amd64/mosunpack.exe create mode 100644 bgt/install/win32/amd64/tis2bg2.exe create mode 100644 bgt/install/win32/amd64/tisunpack.exe rename bgt/{backup => style}/bgt.ico (100%) diff --git a/bgt/backup/songlist/_keepme.txt b/bgt/backup/songlist/_keepme.txt deleted file mode 100644 index 21e5cf3..0000000 --- a/bgt/backup/songlist/_keepme.txt +++ /dev/null @@ -1 +0,0 @@ -# Do not delete this file: GitHub needs it to version empty folders \ No newline at end of file diff --git a/bgt/backup/songlist/place b/bgt/backup/songlist/place deleted file mode 100644 index e69de29..0000000 diff --git a/bgt/base/are/ar3200.are b/bgt/base/are/ar3200.are index 1e87bcbc2758ea94ac4fedb6eab9efe44fddbd54..cfb1a6ebac4bb72ad6d1244cf4c2191ac6f0f477 100644 GIT binary patch delta 313 zcmeCN>#^J5ASB@J@8s{}67S;S@8s_96z@Foq60e+#b9QEX~%eHCr3XQ&ya~1tvH~n7$$!Z5t(cw5+etZVqk#L z5UmUh;r>2uVU80o8ga0I4Q2*uDPV`XU~;~w%|KBV75WjO}yv?H_2VRPz-E|V?5jxm|Lu1p4`kY@s|w%iV0J~ delta 355 zcmeCN>#^J5AS4jv;^b~%V95Zb9VcFNn0!Evg_(_kVRF2%+la&{K+G_Lsx^YDHFAQAJ5SyqV!*)) zHe5?*@&}OuQHYE&REM#nyN7?M&%}$?P$#HNt``%Xd`2_|>I@U8LKCRbCMeFR7lS$1 z6spb?s?HQeox6CU2E<}BhH!r$w=hQrsCqM~dNZh#&73D*)L@6Wc*16WiN9Sdn9(l6mcOZ9|i^|SH~cyAPDX3IPsztJ2S%x1~vx9$z#X(+B64zqw1fi8Q81&SdR-8D4B)OjFI@WyzXY_zh MfO=;0bQxVC0C5LI#sB~S delta 300 zcmX>Sdn9(l6mdakM+OEbSH~bHA1FOpkx_c`0dXZ(CI&VJhRM&x3l)M~oZJme3>l!b zBb0W6(#{hv8nCl4K-907D3m}`kEG6mof)n!a`FX938>jdP_vDoW*b5E7@?SLF9kE( z7^>bFs@~XX;zd-m6Qm`e?l6I>HG!%%K~Z~Nx=;Y(UQ@UmCSG)4XM(tM^K=DN?9ODZO&G- G6$JpZGZiiX diff --git a/bgt/base/are/ar4300.are b/bgt/base/are/ar4300.are index 5b65731556e2a6a4bedf7041194dedb19b4945bd..08c2963a58abca87d061f7f3fe0b27c2af631a12 100644 GIT binary patch delta 273 zcmbPGIH7RE6d8$#Fvp+}1_lN&9q+^t?Ct8~8WQg~d5(+)I}5`J1~vx9$**M!`Mv#} z{CynbogoSxC(o8~WM_uUOHMu`8^H(F?BwL?8073Y@uCw46GR2W8zBzX zU}3=Em@`d{}GQNN)rcE z6XWC!5(T8_PzeU=5SiQ~86$zqaX?2|a4s z(d^QNau8_~s4q>RzBF+P_xEuN_XHYe1oz{4=|ZTzrcmXkQ01mhlLKTdp#HK71llVy dd5ugA8^rL*feO--H;C)AGeYd$952hs1po`1P`v;E diff --git a/bgt/base/are/ar4700.are b/bgt/base/are/ar4700.are index 41b2805de466a99f47b10b5cf075e99f4e4f0fb1..decec2cc40eaaa87b51703ebfb538475208e21d0 100644 GIT binary patch literal 656 zcmZ<^a&-(d)H84lGBGzWU;qO~An6!nU|?X*z`#r-n;oJROv-=^00Iz=%!Y}oyh7&^k}6rfXJY#0p^qcxbO&cHwnroKBE P89-4EBDuhri)7%Q6vyA}Ix%(}H%UvI6u0?u9EiQUv%76R>NM4EnmSPoPTY^QQ7dR@5~8@V znp7w>Eou=GNL)#zUXXf#15_0fsY5wM2nnGA7d}NlE)_j+fdfL~fMDKk*A8uxja9G@ z_LKfIZ)e`j+P|HdUC&yJ_h?6Ck!tZ>ZIpsxgr!=XQrZT*JY2BN?dnE5=W@R|wkU~r?)LTGy>(kiHI8}9 zd%Ne(u^JCN>2f%h9xR1 zQixI^5D1JpN;Un$`R>^#%3!O@F{@qJbfMd2$Kw#G;7~;#=79WwS2fr z1XUCDl!Yx5_JBVZ}GJBH0FU<(}@R2pd&x?2c1q2zet zI8@dOFYInr1V5BZ{dJwu`0i+2fU?@bex?6pbo`aLe;3&CNbp?*l`9^Zk&+*8|HSlY z-8xXd=KcVb#e;ijz8F9L9^<3qY}wzJ=>V^*VR;%Kc08`|KM_=+@EA%?k7usVquwX{ z84hLfAPWUwB5kz@Y$ws6CszbWj4Y8G?w z$boigFg&=YUac?+;*DX|U*CB&JTL&TxdOJfSL}(gZhZcOc07)eNe)%yVUFRe6{kfTSm_@NbN&iDP+j>lQT6I8BvNK^<>*vWQ9be{VHP-z|)&9Kboyh7&^k}6re+3Y#0p^qcxbO&cHwnroKBE P89-4EBDuhri)g?6nF z+OPkh58I@wFMHlYwM~&wq&-wf`x81v+S{f~OhS8{L^r8B$Hs9MLSYSqN;}fI_dEA| z_uBq^?zMSb2_d#Ds|15xS6?LdF4^@BqJxi$Q+Ai{|t=~X;+OU#zzJR z;`R#6GH``@9;EGP>B@r}cJ%1c7gvl3um#tdOJ)k1)_aM1Xr@MH-~`9z%w>?g%KKg2 zoyt*Y3=I4FM&ex~aRuDocZWNNQzO$0@uR0;GIitD%>2v;n@RGM_>Mqs=}}XrM}z$+ zFN8>sNp4RypNF1fZ}b?#`nWSS@9R(9p2^JIp0)4HM4t)IfZWo9&6(p?eXMV{@@dp0>6&kEhK^m=b8nd85W-7$%(} z9J4Z*bb3VSznM~%UUiywou^=jp z+|q;HGP72FRC}OOeGHYHr!Tu6W9$!se7E#qAkYy4t=L4uXM00uizkW=KqS1*>Fep< zz=2wW4EwQ*obBy7-D|{F1NHw%he9DM!=kCob>DL~%>Zi_$SpmfygsV@z)*cexm}Sj zyB=2sStQ>rJs?nD2h7y~tj-02T9=94PVm>&f`DVp<8*^kTL(f@0|-thD0OpvzYn@T zj`sCAFlxBfHL&P%4gA(M=LY{>SG}{=S?9WQ8UpP;@1a9ppRc_g{I4H5?DKkk?HECz zqvI&rk#@vyQfc@`Q`4J$WIksX1oEODhs(|nJh`eds*ll<`lx2DotVq@+4R)(<}3Xm zyd{zs^;m!Y;(7Xb^SRr}@Uh{jR`UNr>sGSE_$%>NtW>^LP8YfGLI#`Nn9A$AlMQPM`AHa=FxK!vSk?;<6M4a@>?WKOpHS(5_l}a zd1B%2)cmGjTL9cEjJ&AFKJ%@Ut|r27comkoIH|?Q>;?8(kD>I<4>R`dY(NjiXFy)m z$yh>8zg5U)7hNWWP@4mvYNlXwIf*F;~cEr_;01 z8-=x8KB=dZh1sX81r7G;*`&U@Qpo4h2WOLMP0J;-xtw+|udl2;$-=&z-g&>8RY0_mbY_)jEo^mU4NH8665(E&iGz}PSvBt~m6O`U;( V7)*V4FfxFm97J+~F&EiZ007%}LgN4c literal 8272 zcmeHMUrbY182`>ag+jrJz=&w2t+p6x@85#06fi|g>*xj)P@p;o5vidTWd55jYL;#8 z#YHn`VzOjUd)XEflMd3S8L~H%C1k@ji(8g4980>zEPEJ6vz^n+Ems8UL}Ug|@;m1{ z-}%mW&;8x=|GEPn_im9B+<|hZAV4x861xL}AUFW9teQ1e+h~b}G-ahH4)jF6T_S_3 zsXQ}P>lB&VsN16GXG^IrYyfC9(ppbHctd^1!t=QO89oCF%2zU+kduE%pwfKY$(HlS zUSC72UuE(qGoZQ89Mojs{hD0dp((_BH0yDzMvogv`VzqoO$l~tELhT5F|T3qW{rS1 zYRd6WoPn?4a{NAK@q5^U-^L~QEH1*Q@EUv^ufoUh17-mK#T>@JGd=iMrW^mlbm1SF zefT!hhJRuLc#QF5@1d@)?t$>3NRO&fDzP2i1PYmtL;_CI!l6-xk6e}QlZf73wY8qW zbWKkrGCUl=e{XUk8oV_bpNv95Bs89wOvGdPp=cx?e>E~Z8vQgFABqh}AwL@GC1vCB zXiuoucX)g<77s>3!SUXEiE$6)MWVq-Vq!cV3l;YULmp2o7>&g|#qr3*#Ap=qVv(Za zq48KO6!b-+o?xWzYF#KDAMe62P{@2BB=dpLV)1eL&XEdktwRS2QNPxO8S*$DDT z=5s_ojo}-}gaZ36Fq#4E-d!%c2{t&_H#V7F-X;_1z3pbF!?m%=Y;oyfEwO3dUFYPR zTuqfGdtOoz*yOUCO;v5K#zugGJScMJRW=YedUJC+KE8x5pjhEU?!#Zf-$1d#hs?)E z=sHlW@FDY252t`)g%6nz7QP3H6+UD>1eA*?mJ1(k$2$j(%CA0ad>P#biWDDjQnTsx zR=BaS9a-CMcc4Y};04wuK#2q>a7Pjao)tmQ+DHto zcLb3acp{ZTv29CPS($ZgjF)&Tx7h;4gH{{I*{s$vNs`z>NrJVOD8~zSk++pec0u6H z0%xEMtka4aWClE{?`g4JrZLB7Zi4Vpzh9UmJ|3!-=)`N$%TCTFa3s_vIo zOvlGt$cHFoK8U;IcmYuMr^~H>{D62wvBHPkwKgy#K(So-Xy_R@6b|=A<{DVV=mVfo z_(1)#r7~V_%vJF*^$p&D$E!NuF7-As}Y65hcY`Ud;nh9iQoH5gI|6B zfq)_BSOi5R+Fn!ba#Yjoi~yJ_rfjMzw?1M6naLXPB1yJayDFWoWL}BLahV3C*x_&} zB`6&q2Brm3JTpFMJN0t&Afu+b%C*f|lWHw3oX$WAl_5j=ZnWmsxAR&-ro>9yC03lS zjbv7-2LrufkOYM6Tsl5(qw|Pjx$v>4Z(xp_F?j;;qjwO6!UsAn>r>-pVZ#OXGz7fr zsV4cwm#}}N4i=5p|Lh7TIa zBGaw@NGkaP87&kFA24Hm0hqd=SJnA1B3)m6?&%sf(JtA^MqLsC3q;~M@&v&yh?2ws z7J;z|yj>(Ya#d&-fQ))TWM58p_7eG3z=%Xcz>5+`yhtL=lLk^9OS8OaCwqTHY9@7@ zAc&+YNA2w7Bp^b%`}J&;AhU5Iwcf%a->(Coodrmj3n)tme5Ov8bF{!^WdcKV=ml*K zu$fuFtj+~Ss|7aeNYx*8x--72stl6L?XTE*TBqCjTg8za;EwCEwVB#1-BBm-CZnOG z#9%a+_v&_c9+N3Z;KAGxz|wG5v9$K=S(B z&Fb^*Qs1vP_jZT7kIuaxJIQQC6bc{sfc(;_`E+5!1)A$UeoxE()QGX!OwiH31AN{J za!4Z2QXxmDg>~x+z~R^e&4KEqUH5@{IB}vKXsWiQvf7^dJAb}=R=?_c>G)`6IuOMo z^HH1XT2t>oGD6Rc*9k_Z(o4|a|1dx5_edw-y{q`6J})J4@#3TY((zHjoIw@G)RorV46Au12LHT S?qFm9MLCG%0%I<+tpEUQCPK0R literal 3648 zcmd^BPfrs;6o1nOh=sPnASXf_LgHz6rz^BTX<YOwa<1E5Mid>p9w7&>Tx|Fe_HTF#QC z(!_pnA1A{{aidmIt7)|yxB;k=4|s|gLS%i^uUl*45hKN%ll4NWu4_6IC}_IIg+iVR zOVbQ)l3VRKW+ko(}|4 z)<@TuZ>LE;apWWCr~uB}P9{bGn&V$b0lqP9j<@s2S$?F=9L-o(A6@ylNA>|#)`#Fj z)km-SAmGDS2#m63A)QJlQs_1b_%Tq&%+JMJFwoC4-~#IwQpvfQB== zxvi?}s^e*~-jTO}D*1qIgn0NEs((~lGLII>T`AhO2YTB1AiiI~Rjzqk7+7cA>NOwi zq+m=O7xbl5^U2wn^x^5@pmJawZRj(-v;bXPxmTO&p$acsJf-3p&*MHm&?+g4C7wDKR{*SE| zRWiQ;CwO0)zc-zHtB|>srDjImOaM)x9nU5IEwau9qi4;CdD?uHE`@l`~kso BtjGWW diff --git a/bgt/base/are/ar4900.are b/bgt/base/are/ar4900.are index ec5d64f2693bc3e77ad8c2e698d3995675cfd32e..cf206c0f81356843804a6dbdcfc55f73b13f3853 100644 GIT binary patch delta 139 zcmaFixPxOtL>>bW$S^`MjEzo%7-V8;U;tsm#IeyJu@Ghk1`C$S a2j#;iFOcKhd{uTeF7{{O6Ti3OK_`;Mj9Rw(DiMRLMTb(bPn6{Lnt?R5xWz{hlFLji5fug*1 z%9y4a2Tp-a#i(&xmTA;vS4bB>IKJ-X(_ETt^V3+!!iO!{7tE6FoO`)#QAic7oq?15 z?|II1&bcqY^W1Y!&NVtM#&S-NjLtP15CSn#_-J$@gw_Mlvb|2Sr55)eRlrA`EAR=P z$EEL9N+K1+zBiYA3b8JbE7g-@Ra5L>% zir<$+Jc^WVAezeKk8ARL`nY*easth|ji)`a((vBXjj__ztNnY$5*``~G#lQKsvvVR zUrcgXLJnCJs9?b!?;cp3%e=MrWu7U74Az$Ss@=KP?qnb>ce{ae%al#mD4w?%r+>T(*`ucG=@vOXEw%H8t^(h{tb= z!$2hQ7#|0b$M9|WeR|0{cYsLdLA8`@=jb~wRwb<=eXRKqYd6fC9>;2W2H8Y;(>2lS8Lv`6IlJPcea$>`S}-SsGx3PMwz*z9oMA8a|_kD87_3F)dl%OLmXa(Bcx~a zLq$bum8l~=jhuiqQ?(n@(>u&6bxlG3FyeT2H9|~LQBj@p8KWuKX>NTs4VI~ut;S$x z(5z0x<70XNh~{}nA$(mS0MT6G;qo`tM_=A)jKAowfk@^-iR;t2_vH~kFfNDF8h_bT zzb}b+yrR4TL{ExGs@ET`ecqb9690@b^1VV$5y?CtAdO~pK7~KyhxeiF5{K2bC9caBiS&di&hf4qk1Kx(syfmNPKe#yv%!9w82Q)suDx4uFG1JhJFais(u4s4NyE1ZbVPyty*a z9H=bLmOKjW=F;ZoK%lvFQ`V%X*jnBa2(*-!mWm#rH9FnyiVByuAo~T<1Zb6VsjI?O zq0{C|U1-$;ZOLxe?oGN$hfhDV;9y!Va ziYUoEj86-Xdb*q|*dR(WkLdi7>i5M}6{u~htMYpB>TJ4XhTkbr$~84$ z+-9n@p!L!CmTP94%bZDnJV!s`-%sf-qR$ljy*%aiDqt`Dv^^8~e#vQ)m0X;Xi{ry> suutZ%kzW_0%^M^iZxDS`fFz+XunPZ5eE>cFOH<-nnH)8uG_$_Me{H0Y{r~^~ diff --git a/bgt/base/are/ar4901.are b/bgt/base/are/ar4901.are index 09a79755a1eff84192dfed54e95e30cf45cacc01..34ad0c4beb4667d45385cca68dcf57aa2283a0ef 100644 GIT binary patch delta 139 zcmbQCwu56rL>>bW$S^`MjEzo%7-V8;UHiOqWiV;BLPcM?_r literal 4888 zcmeHKZ%i9y7=Nx;Mx?eK%ovG_@L`D`mTRwtZ7>n-SozmNN9QE5o7#kq61pSl_%mRY zlFHH%*0Rx|1(uC47o*9x4~?XW9~M5?xX+`unrNc7LB@xEFfpA=^qxDe*8s{=m}uOS zJpbS4-sk<@d-vYy%zEA18WpWGmsip>a73_DXQpZT5CFNx=0~iT`B0S!?qx5aV7$@yzwv>rHGdFI`_&viT7e_}gUKsLD1Sb3^;JFU> z2wTx}{>t3lJD<pIsnFyy%~>bpF#86Mx09f15-c%;Oo#dh1ZGbG`0pmDE z+#f)0ZHJiZ%=+wE|Lu6RNLv6|cz^JC5QOl&Y&RaXN=2zyf+k8uaYTcG<}Hd+b2jEF zN<~A1hRvX-QmI)_i&3?jbyyD+N+l2|-qi4MHA}QYE|(+Am2gm@U|;y?D7jhHG_9s< ztWIY~7gJi}wDvh{&g@&o*$K%QAZPHH#c&oL;t{j1_DKRYI< zouwl012T_CWD?vscV9nr4?j z5{W0iOD2=?>5-9+{UDb~Z zb8;_A_NdIOe!c3o%8F{<vr>Ne_HzkTZA3LgCNROo8fU-@)aOI!-L-k)zYs4`57KO z9zPL30P_C^54-hjH^1}^MCYTGgdTP?Aq%szl!%4x#hn(rULUrE!eM=Jj9FS*48iU&^J4LQ zA{@3`>Y0$Ir|JuN-? VD!`NQ_Rmjn&o0UWjtg?0;!pebvR?oI diff --git a/bgt/base/are/ar5100.are b/bgt/base/are/ar5100.are index d6042823a1c1014290dc9d5225b1b880ed94eefc..0a5ede4912abdfb0a209b69b71b66c6f9e7d96c0 100644 GIT binary patch literal 656 zcmZ<^a&-(d)H84lGBq?XU;qO~An6!nU|?X*z`#r-o1MW3q8UiafDC}r$ZVJxj7FA& zu|aakZh?toqd{V8fOrBENEh|NeFdi&5W&s3uNR ZXJ8-(qw1mtkC6cs7MV9~o literal 9904 zcmeI2Uu@gP9mjunbWDqu6E}8Z$#Wvfmb_&!$s;+}ZtP@}Wc@L$PO;osan;U?>pu!C zQ)#R;S-f;z`_RYrp%xHy58J~Yx~)xtX*PuRA;{a%VZg9u(2%Xe9t>n#wzmOuFkrhQ zEm7&5DQ&DcLw&%P_q!i|cO*W%I~{fOCqn*7MI!!0zd{HY3DKiJK?oTD;2zNCK{YGW zV;60po94qlQ|tt@Kb}|n$~KERbKbg_vVXgr`F=t5Ua!&gjvvOdGuh1S{hPgs{)m|v zFC)DQ&_IoU)5OY*-NU4@cpx4dv06CSaGcvs)#8!Cw=k2*10kS101&Dd4?tjt7NV-a z5$MuH0!I|ULX z?{A3)S1!{tb~v=(M#E@f#b)d2UR$FJ2RdU)qO{iAYvThe_GZ=q3*#^Q?e>)*Z=3Du zUMGqw_YorY_V&&?3jwo2>IUtO|F@4mbGyiuY*bqIu~=mTR34s7L5 z7^Q>E)sBFNea~f{gC|_#H~ODcMK83-WA5QtqCb`pq1iP)rjDJ7EqpWK{SqY4W@gA}t~hnJUgx#jSQ_ASrJ$mE4w?!sg^Y*&&Tg{`$M18FoKBuT;oEXF@a-oelP7(?FBk!+7LPoh zL`-`;%x?NBr(mYf3XjOynVGrsbiIC`8DyDz8!=UQTrxS8=Tmsd2{ker2!s;W6tUam zr52C(xvyZRN5$jKOYB{mXZ$~e7CNTef7lg6U_;PK3qL{VLvZ~X8lg=a1-BPKi;NCw z9yl`ixl`lbp~$!gx*}8F!GWRfaj$Qv3mi0NVlq4^j}MI>_h_w#BhfRYc|F6YhfbXW zXlsSTgRRHow3#mNL@gdG=%0vbkB8ZZFQ7kRrac}ek9qDEX4>On@^~6+i0QM!BYGw? z?~X5=pR=a;d#p+HbHr5Pan3wl`F**5PxvP;#3PAN)NfVW?fJA8j|J`>%=D;ufNX05 zB-jBp2_QELrrpeg)YJ%=`p|y98N|jWzz6Jrc^<^37l;2I7G91H5AUNT`?>y+6+syJ zbN`FYAiX5m_(r}-$PI$*al4Klb-CRh4@kW|-ENo5?V%-*eLgQuJsxTwOJ>jCXXRl& zvljeI%v9!qjQ2Tfz5cK}Fv)l#V)YL8Pb9PhPvDpcz7{|d0Uu=}so-78&>aNu5@|`I zIa1car4YL=Ns{^|^BhrRHLHt6%O7&&*JzP$FsMXPXt4uanyPA4?d^^Z2Y9qjhtuik z=;&~Q$LVzD^UUsWbi$EZJbsM7jhG%C54y}?X_ww_BkAmgO!Wi$nEe_WK}=;HaM28? z%pVWAK#fG>UyD^;vro}fO;w1h&#2WQoOT3V;HU^qJ+YSJtY# zvdp{qEzDHrf&Yugbu0K&SdplI;+d*?Azi<5qx7%4o3{(8-)@#R3(%&gH|}iSDHRW< z3wo*ab^XT8!jDp=_2P{J94w?4>A8(kVIjR3UE0_zmQs2;wXt~j&PE7Y^+HO&b9b`bM#sPDS-XD5Zz5hSL*^bD6nZ_I}$9fbo-v zsmz0$HE({W`p3jrC>DBV+FwS}*XMy}r-BfT^wUX-daKkd@X*=W1_J}fU?OqCh?|=o zgNqlZfaTS(%&v}S?Y;i`+_vpj*Q>?juQ-92>dd3E1GC%Xr4|nnZ6c;R^9WY@$1cww zwRl`Z*DzC^d06A+G3W6;{42~yN7Ql5%! uhJ;UjiT?np(&VcE diff --git a/bgt/base/are/ar5101.are b/bgt/base/are/ar5101.are index 544b2235fe042d1ca36074f7ffc34bf121220cd8..1b32fbe952df214f5d42d7a65d593602753c2509 100644 GIT binary patch literal 656 zcmZ<^a&-(d)H84lGBq?XU;qO~An6!nU|?X*z`#r-o1MW3q8UiafDC}r$ZVJxj7FA& zu|aZRw-|z0sAi$_L3-8z@dPHYQmPaGi3Eu;lTDUj;)C%~OcULG&@>X$MB^J96TN4Kp%qH_+ps3fNxpl} zIrp49x8Iz(Grcq{(IJ;Zq~R8qD1s`4wKOb>VjBRzPu&P^Et$2|Y=Sb@ciA-H0P5TO zT%WFEjJg(=8lE>#p9gckXSiLV#Xjn`cV=w#dfo8!yZO&J1o8zyNWnbzzkl@) z6V#BqqsVY5*w-7*&Omg5!nTgZXx3W3vN)BsZr%FiifXYX5h!ebNgD#oW^ctF%CNB! zFhaq|Y=i1rPiV% zo_d*DZUr(tBuSOvvUymDwZKLxwc2bv%V(NQZ8R`^d-zgF0#~`m;|&C?HY#7RvS^!) zO-8Bh%+MVcaQSiw+$?|wyp;N*qqDDPm$O5An|#S3G(IvJ?hOoCUeo#5eB5^A?bqR# z>BUPq!IGk^uBQHexUrsy{J4?YNI>C9|GRC8JgGNK}%Nkwh{nRi%{m^|b_)CY8#n z<#aL`jmSzuiYR@HebK%6_=q3j5Kjspp^2HXoZGWQogrleq4NPBVo0_=R-kxC&Osa@ ze^|{h>FQ|R5d){x45(n8x4X0BxlYyA8!-K6xUH>CA0ff9+1as~I^XDQY%(?*&n*pA zZ{WV=5IP_58o=q?`Z(J50Y1NvUJL|-`i1W@#R%|@ZXb6qPhXvwzB(D(?Sb)f27=J| zV5@sqTcN&xXx}4;U$q?a`9nUsH35OMyuo153gBF|S-Wu{?9n@L97HS{IMWO6uff&) zht~{_8t>;ZyAhhr)ipJCdkr+#R5P(N*Ev*}(+p=DYufGh_V&ShM%aswS;hw9xcD%^ zQ-!7;lsQx3wef`0asNR;e(=-J!cxPyxkT=npJOA%P+>N)FlNk~Obzu*OYDya+Yi}Z zywucWGWzC=i*N!AGtSZC;`tt<>3T!`vgmR&m8$;_v51jzE>ny wdvLQK#FIi*cc0gM?(?ee5R@D!<OV diff --git a/bgt/base/are/ar5300.are b/bgt/base/are/ar5300.are index 30b499bc7d912bb118aad1290623c2b6cca3d8a3..01067da23f34fdf57eef119d9b43d226e95d463e 100644 GIT binary patch delta 142 zcmZ3&|AcKqL>>bJBZCYh1H%I#1_5j|NQ?z2_W?+QFbp^bnHn1yKzJ~5bQ+`=B-X&h fz>vZ`xsfewat!O0$&PH|lLOc|KzMUFn=c~(2woSj literal 1956 zcmZ<^a&-(d)H84lGBq|ZU;qO~An6!nU|?X*z`#r-TO6VlOv(TaU}0cnh+$=5P+)^d zV531|EI?@kAPvGWkXVFp3rrlH2I&Qf9RT7b>@c0wrCm!(Qj3xk3viiwjOiXD2!p~4 z49XzXe;@#{U^GOObka2>#5KqnRbMvqKBoIXgLeS2B2>zwG(A-zxF9nnwFp%)ln2rS z!Z3F-RzW0S?lS<#GFGi9f`r1uk|}@*gkknD&^kOop-cgebarDX4Ub}|j!k4}OUVGU zL1M|CJ`6cQp>T1SoV%$7ihYE_qlB5434}+(1Cop^nAb3Y@JI=dkfO}=^wc7Sfc(t7 z5(Pt)yaeIQVMtS22zm5%#w5l$ z45yhkGF)SN#+VC_I#Ahx4>_&{mD0HF0?wviD;%*Y5VMxLvP*ELO@fq@uI WyotoBWCX_vh~xreE@Cxer~?3}?gcUc diff --git a/bgt/base/are/ar5301.are b/bgt/base/are/ar5301.are index dc875aeea661fd8212d791158479d24d1a150a08..c9d0e0c8eb936c6b55ed66eea242bee0ba1eaa4a 100644 GIT binary patch literal 656 zcmZ<^a&-(d)H84lGBq|ZU;qO~An6!nU|?X*z`#r-Tb#iNq8UiafDC}r$ZVJxj7FA& zu|aZRw-|z0sAi$_L3-8z@dPHYQmPaGi3ENd}sd7+1V-AE9Ibg$t%|A$Rt`>2}ljJ<&<_qcUq zkFEKnpY@hWcFDUJBuHojl6%Z8@GZ=eXd(~3cHlW7c%gfQus(ZKJeAg z8C&Gy4UM5iKHgFZ?`a%6j8A;tp$WWWyUph`7!jibmL;3E5W;9QE#U}g)53H|T>+SI9T8Z;qBJ-hv}`eCfQ0F|W(xWgM$AmU zaN08RLKKeLk8hf;EBr6>NbcofynOTe?MiX-M%&KSc*R5R`;pK0Ltl6E`@>%Hp7es_ Qzn!3VLg~Q}gMWR+PlwTeGXMYp diff --git a/bgt/base/are/ar5400.are b/bgt/base/are/ar5400.are index 63092be6725e428c9ed907279b630f709a346c58..8fb4563915b49153bca0cb075b497eded81ac993 100644 GIT binary patch literal 656 zcmZ<^a&-(d)H84lGBq(UU;qO~An6!nU|?X*z`#r-8>9{fWIzT00fHxTVv@tKyD%b&PnDgdxQy544 z&OSYsura59PwKNI;?wmQt9X_TSb?KvzjZ|iqLIOwk9(QipeW>JwATP~nDHNL=%+I= z_TT5GE9eV$x1|Q{%4y){Q<-=~=rxKmc@P4O2LK_DiU$y|LV==bz$s9niwZ1Jfhrmh z70R_yfVdnl7}cO$AB~9OPC>>!DlE1sf++1Ak?jDAD9N8fp(4q$I#D(e5#`9pgk5HH z|C|G?Rc94NmKE6+*JUxPOvEKw)!dbC$agv>H7yg5KgcLSJt;goq65RxfyfRpS#{|3F?oEVkqv@E$5U)pmzO$anN~ zX9RI`-q^DJPw$g67>`w zvY-Y!eZDoJREe1H`y~^P&$;I)YOZ)3KX8<>=aK(KC}8(==?4|v1S|xKnez!D7s2r@ zGeJC#z2n?Dgu4(`ntx-9=E5l0&Fa2LvMS%+|$_8;L?kY zK&Yxwce|Q4Hm+X}P*Mzw>x&zL>@XGX4Vie1lfMWm%fp<*d&y4}mE~db7~-x`RF;Ry zV=dJQ>Pg|z(H|Ld28Z`-PnG!FtX}dJK_z+YGIuAxUoPC1e&0?o5L(mWO%0pxdYXyH zFn5xo=86Z%mOLPW6-b@{a;{+7`8-H@xjw{vI6CK5c767{oXDd?r3US zz&z`&+O}~)X#2T#cRon_1Ph!>72+Hs65QDrSUDk^$>ku5&ST=oK4Q&g3?W#G^?O=l`C zwUybxrI*|6c3W9lnH^kqyFDI9yUbP&OEU5Jm>wahx#Pi>8QA9O`PSDzI=Ex%87=)f zC2T)gM^FhK&~NTZ)(^OuNPVE*=Uvy<`Fv{ViWM%{)aQqeKrNeuES{!(frs+)5~!trZuWWI6*e|%A86^d4)d&zJm6$)GGHRi8s2GCT+2sB*LHM!0V zh-^Ufce-}Dp@k*L5=$s*eYdss?WUGYJl?0}1eN7s&f$Ncf}*lKOdfvr+bt@~!{l)l zj#1R3<>56?#5LA|j$>Rw5 z6;Lztc(`Bq?_8haTJg*ofL-_;owhc;)871gs~6Q&e)qgP$C-as+IH$3H`y{9&zosI z_7c4XsJY_txPQNVO+TclEDv)|-GJ{YD$B#<@g-a(s3(O-qO#!g``wy%t#9iZb#?bt rzpjZ5G;B>bW14Qn632T~eY}SKi{U+J_Rmj@8j4%?l8#Au;8Xk$n|GEn diff --git a/bgt/base/are/ar6600.are b/bgt/base/are/ar6600.are index abf4d022e31ee580ba6c1596d72206e815a2b1dd..870471c5b504f1791b1dcd4fec0eaf5871998606 100644 GIT binary patch delta 188 zcmez4^T%hy41viHRD)Pk7}yvXC%+dc6m$1gV*@J$aYZJ_2*>cDnB_U~q7yq4)GU6H lLRKeN#~`Q4iAvIwKS&y}o&dW9WXD^PXl!zu=ZoGI006ozHj4lN delta 220 zcmez4^T%hy3<3Ti7bkZEOLIpkJ@KL=I}-yV0~-UwhiWzlX@(nKFC;oSMmPql#uBQ=5=9Na nNFfWz?8yhkq$fTwoNS=R!VGfQd{MEYUvyuUNVoJgR$LMBUQph25w%azIk06X~~rvLx| delta 77 zcmbPGF`;6^4jJ|!7bkZ^W7Elw{GJ?)3``7M42+DE1!N1L5@sL?W}w96*>aMT-^j*5 O#m!;jn`g_F$^rlYQxgXO diff --git a/bgt/base/are/ar7326.are b/bgt/base/are/ar7326.are index bafa4588616c0d8e9e768f35d4dd91cf368c5448..3d9ab7ba15f3f8c54288c6f459b27d346915d482 100644 GIT binary patch delta 81 zcmZpuYN*<fAU6QFLp+T7tCx7jFb5#3sHqnFhGPwC%=)5!6LPJzEq+T E0L4)jF#rGn delta 156 zcmZpuYN*<pwSBw_#n diff --git a/bgt/base/are/ar8900.are b/bgt/base/are/ar8900.are index 94835e05753195b2f7e962c4f90d8b5dd0bf13ef..7aa9fff98bfdd07aa3160e3768740603584eca67 100644 GIT binary patch delta 542 zcmX@&dBk%=3WtJ|qo0ds2m=F*cJX%)_hevT@C@?zbM^5InRwBFgN5M)0~-S~!{j|2 z1#k_)0iG@%p$rTl+8w9^Ndr4X1LNdo&O$MmfgsuuXfQA z>#6v#g6v|PEX-X9x5~-YG04dW=tdA7gklxKZFjf}M9~$3Obwr`$S6JWfdU8AI)=$h zcnTEUJ)N9ffkqMbHY3C29A1P54}T|je delta 341 zcmX@&dBk%=3I}_Ti<7&dx$(q{o*aw}Obl!c|Nc+j!%+YgHvx*XL&O;-H**$3g-wx# zy}6*mMi$7z!rU;`W(dO{{hoY>8)mpULR_G3@)91HILH{NV zFgJp=O=e`$2AKy+_Bn6U_ZR8{5V0M6m04W6FcEX~Iar0~WUSzw%p*Td?3?gl5=m_Rp8cw`u z$<7Q`CNTN6yxinM@n8;!QgepMN5nm#k}8v>B?_TdSYTSgFgaRIc9LWWJKSUv=fx?Jn^Ch)B=Xd*3y!bd!!;@YQdHusdYrC jdJ2rEHq@mlFEp*cB$gJZIbqyszHWkwbg zFM3W6P+(zZV_=wkTN36XbEu2Vp)N8CZ46Icl&!{i6j1(SP}1t+UAicdB$&|-t=1PY5x-Xjw;IYh-~;sX&5 zR+#bv*#cIu&65>XohLrv;9!QySjZKq!`ud=!73RT7~Fh3{ak=F#LYklXu?gLBwwKJ l*qP(uT*6>Dg0o}eHi003tAQaJzs delta 209 zcmZ3IxFm7I0V(z%7bkZkLxYJIJvkT|m>Ad?mbp%n7n!Uh9RrmzL`bRBPJSR=02Vj2 zFh__VvI2^WOx_~{(_@5?bKsv`APdoBWN3^KU*k5}LJlTwf)IZoI!PWTY>E&*Fbm|W TJMu6a%n(xVqBc)Z5D@?Xxs5hq diff --git a/bgt/base/are/ar9600.are b/bgt/base/are/ar9600.are index 28bce84bd51768fa34280e5808f0d4b16059efa3..8d7aa77dfde55a2fecc4e0022883054a109cee35 100644 GIT binary patch delta 379 zcmcc8%y^}laf67NLa?{1k84Q0W0b$Ilcy^KgOjUckdqGs16163;za`v7KRfHYz)i{ zlXJ`p1d`Ir^K;S|7$6$rT_!s?I&d(9RWLG4HZd>YfT;k=c(Fls{xL6*fLR4I8cI7& zyl8}{ zAa@2J0Qu6{apFZQgvBz}1rknxF)6YBzAcMtziU?4HLdpHJ% YOuXpK!2}C{H8z;C>`>Xw#kPqo06)QGEC2ui delta 249 zcmcc8%y^}laf65%dytEhyOEL6#EYIBj0{W+Yz$gvlXJ`ppyI{|@jH(uo0vnz4a^bZ zPS%tEz{HJA5aK6JPCjA*GshGm9wITh#}X!Ph7cD~og88X6Gt-tiOOUdYnZqNLjAI3 flb=Av4GfU%70H^s#s;DuBF+x+7sKXa+e8)s5RX6M diff --git a/bgt/base/are/ar9798.are b/bgt/base/are/ar9798.are index 146c3efe7399af7acc5a150d10c012c6e58c0637..8c00e2205a449ef194b61c54e7cda4044263b963 100644 GIT binary patch delta 159 zcmbPZJ;!>(1P->~08bZ>(1{nl*clm4Ft9N&PF~OHI9Y?!0IN(PTe!cEn~Nu^daa2f z%9DGzc_v@rl0Yb61{yfon!9w8Y!EBVWYNhoJTX84#uJlI^PtJB;f2U-j^=aZ2LN}7 BF1`Q& delta 329 zcmbPZJ;!>(1P<{a7bkZ^a{~r2ZDawV4NWF*WRjn#AjQtiz{J4Dz%Y3|r{iP|P6Jfs zh9-_sZ4)nAA}KAD00|qJSb|Lh(qLsk+G*lN3ud5^lUH-&LFLxm qr4Tiy5QiF>8bFLRH9#^_a4KG2nHb?V0@&f?$lTlXy diff --git a/bgt/base/are/arw000.are b/bgt/base/are/arw000.are index 4c8f9634e71a723d51154a3d7caeae2374e08162..da13c06f6754518ced7bd9a89b92295692fea8db 100644 GIT binary patch delta 72 zcmZqK#MrWlal;2qJ||blAg3S(1_(WQBcl^L6T=AxHU`GY?plQqX&-FToB6fB>H+}s C#}h~Z delta 71 zcmZqK#MrWlal;2q_8=E0cO!G7$@{guS(zBv7#JqIYZZ!tL=7#>8Jt`lgPejGAhgfq Sjf__8%nV>H+}M9}*1! diff --git a/bgt/base/baf/ar0015.baf b/bgt/base/baf/ar0015.baf index b787bf0..df7b19b 100644 --- a/bgt/base/baf/ar0015.baf +++ b/bgt/base/baf/ar0015.baf @@ -93,6 +93,7 @@ IF OnCreation() THEN RESPONSE #100 + StartTimer(3,30) SetGlobalTimer("Tethtoril","GLOBAL",60) MoveViewObject(Player1,INSTANT) Continue() diff --git a/bgt/base/baf/ar4700.baf b/bgt/base/baf/ar4700.baf new file mode 100644 index 0000000..5c499aa --- /dev/null +++ b/bgt/base/baf/ar4700.baf @@ -0,0 +1,122 @@ +IF + Global("A6WaylaidSpawn","AR4700",0) + OR(4) + Time(1) + Time(2) + Time(13) + Time(14) +THEN + RESPONSE #100 + CreateCreature("HOBGOBA",[495.310],0) // Hobgoblin + CreateCreature("HOBGOBA",[779.308],0) // Hobgoblin + CreateCreature("HOBGOBA",[340.528],0) // Hobgoblin + CreateCreature("HOBGOBA",[939.563],0) // Hobgoblin + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + +IF + Global("A6WaylaidSpawn","AR4700",0) + OR(4) + Time(3) + Time(4) + Time(15) + Time(16) +THEN + RESPONSE #100 + CreateCreature("KOBOLDA",[385.391],0) // Kobold + CreateCreature("KOBOLDA",[472.673],0) // Kobold + CreateCreature("KOBOLDA",[651.271],0) // Kobold + CreateCreature("KOBOLDA",[894.392],0) // Kobold + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + +IF + Global("A6WaylaidSpawn","AR4700",0) + TimeGT(4) + TimeLT(DAWN_END) +THEN + RESPONSE #100 + CreateCreature("BGBASILL",[566.234],0) // Lesser Basilisk + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + +IF + Global("A6WaylaidSpawn","AR4700",0) + TimeGT(DAWN_START) + TimeLT(9) +THEN + RESPONSE #100 + CreateCreature("BGWOLF",[422.315],0) // Wolf + CreateCreature("BGWOLF",[414.602],0) // Wolf + CreateCreature("BGWOLF",[876.318],0) // Wolf + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + +IF + Global("A6WaylaidSpawn","AR4700",0) + TimeGT(8) + TimeLT(11) +THEN + RESPONSE #100 + CreateCreature("WOLFDI",[718.246],0) // Dire Wolf + CreateCreature("WOLFDI",[929.474],0) // Dire Wolf + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + +IF + Global("A6WaylaidSpawn","AR4700",0) + TimeGT(10) + TimeLT(13) +THEN + RESPONSE #100 + CreateCreature("WORG",[954.625],0) // Worg + CreateCreature("WORG",[348.448],0) // Worg + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + +IF + Global("A6WaylaidSpawn","AR4700",0) + TimeGT(16) + TimeLT(DUSK_START) +THEN + RESPONSE #100 + CreateCreature("GIBBER",[441.240],0) // Gibberling + CreateCreature("GIBBER",[332.307],0) // Gibberling + CreateCreature("GIBBER",[345.619],0) // Gibberling + CreateCreature("GIBBER",[428.696],0) // Gibberling + CreateCreature("GIBBER",[761.741],0) // Gibberling + CreateCreature("GIBBER",[918.717],0) // Gibberling + CreateCreature("GIBBER",[802.222],0) // Gibberling + CreateCreature("GIBBER",[962.322],0) // Gibberling + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + +IF + Global("A6WaylaidSpawn","AR4700",0) + TimeGT(20) + TimeLT(23) +THEN + RESPONSE #100 + CreateCreature("XVART",[879.265],0) // Xvart + CreateCreature("XVART",[372.259],0) // Xvart + CreateCreature("XVART",[509.731],0) // Xvart + CreateCreature("XVART",[967.708],0) // Xvart + CreateCreature("XVART",[341.697],0) // Xvart + CreateCreature("XVART",[950.426],0) // Xvart + CreateCreature("XVART",[317.388],0) // Xvart + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + +IF + Global("A6WaylaidSpawn","AR4700",0) + OR(2) + Time(23) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("GNOLL",[661.201],0) // Gnoll + CreateCreature("GNOLL",[477.220],0) // Gnoll + CreateCreature("GNOLL",[858.756],0) // Gnoll + SetGlobal("A6WaylaidSpawn","AR4700",1) +END + diff --git a/bgt/base/baf/ar4701.baf b/bgt/base/baf/ar4701.baf new file mode 100644 index 0000000..169e530 --- /dev/null +++ b/bgt/base/baf/ar4701.baf @@ -0,0 +1,110 @@ +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(MIDNIGHT) + TimeLT(3) +THEN + RESPONSE #100 + CreateCreature("WOLFDR",[845.300],0) // Dread Wolf + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(2) + TimeLT(5) +THEN + RESPONSE #100 + CreateCreature("WOLFVA",[987.592],0) // Vampiric Wolf + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(4) + TimeLT(DAWN_END) +THEN + RESPONSE #100 + CreateCreature("BASILG",[641.255],0) // Greater Basilisk + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + OR(4) + Time(DAWN_END) + Time(8) + Time(23) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("OGRE",[334.400],0) // Ogre + CreateCreature("OGRE",[946.763],0) // Ogre + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(8) + TimeLT(11) +THEN + RESPONSE #100 + CreateCreature("OGREGR",[770.301],0) // Ogrillon + CreateCreature("OGREGR",[334.758],0) // Ogrillon + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(10) + TimeLT(13) +THEN + RESPONSE #100 + CreateCreature("OGREHA",[324.530],0) // Half Ogre + CreateCreature("OGREHA",[606.788],0) // Half Ogre + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(NOON) + TimeLT(15) +THEN + RESPONSE #100 + CreateCreature("OGREMA",[404.596],0) // Ogre Mage + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(14) + TimeLT(17) +THEN + RESPONSE #100 + CreateCreature("ANKHEG",[709.819],0) // Ankheg + CreateCreature("ANKHEG",[549.282],0) // Ankheg + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(16) + TimeLT(DUSK_START) +THEN + RESPONSE #100 + CreateCreature("WYVERN",[404.340],0) // Wyvern + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + +IF + Global("A6WaylaidSpawn","AR4701",0) + TimeGT(20) + TimeLT(23) +THEN + RESPONSE #100 + CreateCreature("GHAST",[816.742],0) // Ghast + CreateCreature("GHOUL",[923.255],0) // Ghoul + CreateCreature("GHOUL",[533.279],0) // Ghoul + CreateCreature("GHOUL",[346.625],0) // Ghoul + SetGlobal("A6WaylaidSpawn","AR4701",1) +END + diff --git a/bgt/base/baf/ar4800.baf b/bgt/base/baf/ar4800.baf index e69de29..bdcc1b2 100644 --- a/bgt/base/baf/ar4800.baf +++ b/bgt/base/baf/ar4800.baf @@ -0,0 +1,118 @@ +IF + Global("A6WaylaidSpawn","AR4800",0) + TimeGT(MIDNIGHT) + TimeLT(3) +THEN + RESPONSE #100 + CreateCreature("GHOUL",[226.171],0) // Ghoul + CreateCreature("GHOUL",[265.236],0) // Ghoul + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + +IF + Global("A6WaylaidSpawn","AR4800",0) + TimeGT(2) + TimeLT(5) +THEN + RESPONSE #100 + CreateCreature("GIBBER",[345.203],0) // Gibberling + CreateCreature("GIBBER",[134.194],0) // Gibberling + CreateCreature("GIBBER",[191.98],0) // Gibberling + CreateCreature("GIBBER",[196.248],0) // Gibberling + CreateCreature("GIBBER",[412.177],0) // Gibberling + CreateCreature("GIBBER",[75.122],0) // Gibberling + CreateCreature("GIBBER",[45.218],0) // Gibberling + CreateCreature("GIBBER",[304.268],0) // Gibberling + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + +IF + Global("A6WaylaidSpawn","AR4800",0) + OR(6) + Time(5) + Time(DAWN_START) + Time(15) + Time(16) + Time(17) + Time(18) +THEN + RESPONSE #100 + CreateCreature("XVART",[404.252],0) // Xvart + CreateCreature("XVART",[462.253],0) // Xvart + CreateCreature("XVART",[285.340],0) // Xvart + CreateCreature("XVART",[386.328],0) // Xvart + CreateCreature("XVART",[477.303],0) // Xvart + CreateCreature("XVART",[541.209],0) // Xvart + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + +IF + Global("A6WaylaidSpawn","AR4800",0) + TimeGT(DAWN_START) + TimeLT(9) +THEN + RESPONSE #100 + CreateCreature("OGREGR",[281.147],0) // Ogrillon + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + +IF + Global("A6WaylaidSpawn","AR4800",0) + OR(4) + Time(9) + Time(10) + Time(19) + Time(20) +THEN + RESPONSE #100 + CreateCreature("GNOLL",[577.271],0) // Gnoll + CreateCreature("GNOLL",[543.340],0) // Gnoll + CreateCreature("GNOLL",[476.391],0) // Gnoll + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + +IF + Global("A6WaylaidSpawn","AR4800",0) + TimeGT(10) + TimeLT(13) +THEN + RESPONSE #100 + CreateCreature("WORG",[332.389],0) // Worg + CreateCreature("WORG",[400.383],0) // Worg + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + +IF + Global("A6WaylaidSpawn","AR4800",0) + TimeGT(NOON) + TimeLT(15) +THEN + RESPONSE #100 + CreateCreature("SKELET_A",[632.310],0) // Skeleton + CreateCreature("SKELET_B",[597.346],0) // Skeleton + CreateCreature("SKELET_C",[565.382],0) // Skeleton + CreateCreature("SKELET_B",[653.363],0) // Skeleton + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + +IF + Global("A6WaylaidSpawn","AR4800",0) + TimeGT(20) + TimeLT(23) +THEN + RESPONSE #100 + CreateCreature("OGREHA",[397.476],0) // Half Ogre + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + +IF + Global("A6WaylaidSpawn","AR4800",0) + OR(2) + Time(23) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("GHAST",[604.415],0) // Ghast + SetGlobal("A6WaylaidSpawn","AR4800",1) +END + diff --git a/bgt/base/baf/ar4801.baf b/bgt/base/baf/ar4801.baf new file mode 100644 index 0000000..e616785 --- /dev/null +++ b/bgt/base/baf/ar4801.baf @@ -0,0 +1,86 @@ +IF + Global("A6WaylaidSpawn","AR4801",0) + OR(6) + Time(1) + Time(2) + Time(13) + Time(14) + Time(17) + Time(18) +THEN + RESPONSE #100 + CreateCreature("WOLFWI",[239.171],0) // Winter Wolf + SetGlobal("A6WaylaidSpawn","AR4801",1) +END + +IF + Global("A6WaylaidSpawn","AR4801",0) + OR(4) + Time(3) + Time(4) + Time(15) + Time(16) +THEN + RESPONSE #100 + CreateCreature("BEARCA",[186.205],0) // Cave Bear + SetGlobal("A6WaylaidSpawn","AR4801",1) +END + +IF + Global("A6WaylaidSpawn","AR4801",0) + TimeGT(4) + TimeLT(DAWN_END) +THEN + RESPONSE #100 + CreateCreature("OGRE",[82.88],0) // Ogre + SetGlobal("A6WaylaidSpawn","AR4801",1) +END + +IF + Global("A6WaylaidSpawn","AR4801",0) + TimeGT(DAWN_START) + TimeLT(9) +THEN + RESPONSE #100 + CreateCreature("OGREMA",[404.340],0) // Ogre Mage + SetGlobal("A6WaylaidSpawn","AR4801",1) +END + +IF + Global("A6WaylaidSpawn","AR4801",0) + TimeGT(8) + TimeLT(11) +THEN + RESPONSE #100 + CreateCreature("OGREGR",[76.184],0) // Ogrillon + CreateCreature("OGREGR",[363.186],0) // Ogrillon + SetGlobal("A6WaylaidSpawn","AR4801",1) +END + +IF + Global("A6WaylaidSpawn","AR4801",0) + OR(4) + Time(11) + Time(NOON) + Time(19) + Time(20) +THEN + RESPONSE #100 + CreateCreature("GNOLL",[456.193],0) // Gnoll + CreateCreature("GNOLL",[513.253],0) // Gnoll + CreateCreature("GNOLL",[330.335],0) // Gnoll + CreateCreature("GNOLL",[617.326],0) // Gnoll + SetGlobal("A6WaylaidSpawn","AR4801",1) +END + +IF + Global("A6WaylaidSpawn","AR4801",0) + OR(2) + TimeGT(20) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("BEARPO",[406.415],0) // Mountain Bear + SetGlobal("A6WaylaidSpawn","AR4801",1) +END + diff --git a/bgt/base/baf/ar4900.baf b/bgt/base/baf/ar4900.baf index e69de29..03037c1 100644 --- a/bgt/base/baf/ar4900.baf +++ b/bgt/base/baf/ar4900.baf @@ -0,0 +1,108 @@ +IF + Global("A6WaylaidSpawn","AR4900",0) + OR(4) + Time(1) + Time(2) + Time(17) + Time(18) +THEN + RESPONSE #100 + CreateCreature("KOBOLD",[592.50],0) // Kobold + CreateCreature("KOBOLD",[672.62],0) // Kobold + CreateCreature("KOBOLDA",[724.48],0) // Kobold + CreateCreature("KOBOLDA",[799.63],0) // Kobold + CreateCreature("KOBOLDA",[869.62],0) // Kobold + SetGlobal("A6WaylaidSpawn","AR4900",1) +END + +IF + Global("A6WaylaidSpawn","AR4900",0) + TimeGT(2) + TimeLT(5) +THEN + RESPONSE #100 + CreateCreature("BGWOLF",[974.98],0) // Wolf + CreateCreature("BGWOLF",[1062.91],0) // Wolf + CreateCreature("BGWOLF",[1115.95],0) // Wolf + SetGlobal("A6WaylaidSpawn","AR4900",1) +END + +IF + Global("A6WaylaidSpawn","AR4900",0) + TimeGT(4) + TimeLT(DAWN_END) +THEN + RESPONSE #100 + CreateCreature("GHOUL",[842.112],0) // Ghoul + CreateCreature("GHOUL",[740.133],0) // Ghoul + SetGlobal("A6WaylaidSpawn","AR4900",1) +END + +IF + Global("A6WaylaidSpawn","AR4900",0) + TimeGT(DAWN_START) + TimeLT(9) +THEN + RESPONSE #100 + CreateCreature("JELLGR",[1196.106],0) // Gray Ooze + SetGlobal("A6WaylaidSpawn","AR4900",1) +END + +IF + Global("A6WaylaidSpawn","AR4900",0) + OR(6) + Time(9) + Time(10) + Time(11) + Time(NOON) + Time(19) + Time(20) +THEN + RESPONSE #100 + CreateCreature("HOBGOBA",[579.143],0) // Hobgoblin + CreateCreature("HOBGOBA",[821.193],0) // Hobgoblin + CreateCreature("HOBGOBA",[919.150],0) // Hobgoblin + CreateCreature("HOBGOBA",[688.180],0) // Hobgoblin + SetGlobal("A6WaylaidSpawn","AR4900",1) +END + +IF + Global("A6WaylaidSpawn","AR4900",0) + TimeGT(NOON) + TimeLT(15) +THEN + RESPONSE #100 + CreateCreature("WORG",[1009.186],0) // Worg + CreateCreature("WORG",[1083.181],0) // Worg + SetGlobal("A6WaylaidSpawn","AR4900",1) +END + +IF + Global("A6WaylaidSpawn","AR4900",0) + TimeGT(14) + TimeLT(17) +THEN + RESPONSE #100 + CreateCreature("SKELET_C",[971.282],0) // Skeleton + CreateCreature("SKELET_B",[948.340],0) // Skeleton + CreateCreature("SKELET_A",[1050.291],0) // Skeleton + CreateCreature("SKELET_B",[871.342],0) // Skeleton + CreateCreature("SKELET_C",[1101.291],0) // Skeleton + SetGlobal("A6WaylaidSpawn","AR4900",1) +END + +IF + Global("A6WaylaidSpawn","AR4900",0) + OR(2) + TimeGT(20) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("DOGWI",[717.265],0) // Wild Dog + CreateCreature("DOGWI",[788.271],0) // Wild Dog + CreateCreature("DOGWI",[637.244],0) // Wild Dog + CreateCreature("DOGWI",[851.265],0) // Wild Dog + CreateCreature("DOGWI",[683.304],0) // Wild Dog + SetGlobal("A6WaylaidSpawn","AR4900",1) +END + diff --git a/bgt/base/baf/ar4901.baf b/bgt/base/baf/ar4901.baf new file mode 100644 index 0000000..b9a8a81 --- /dev/null +++ b/bgt/base/baf/ar4901.baf @@ -0,0 +1,84 @@ +IF + Global("A6WaylaidSpawn","AR4901",0) + OR(4) + Time(1) + Time(2) + Time(17) + Time(18) +THEN + RESPONSE #100 + CreateCreature("WOLFDR",[896.73],0) // Dread Wolf + SetGlobal("A6WaylaidSpawn","AR4901",1) +END + +IF + Global("A6WaylaidSpawn","AR4901",0) + TimeGT(2) + TimeLT(4) +THEN + RESPONSE #100 + CreateCreature("JELLMU",[851.122],0) // Mustard Jelly + SetGlobal("A6WaylaidSpawn","AR4901",1) +END + +IF + Global("A6WaylaidSpawn","AR4901",0) + TimeGT(4) + TimeLT(9) +THEN + RESPONSE #100 + CreateCreature("JELLOC",[990.105],0) // Ochre Jelly + SetGlobal("A6WaylaidSpawn","AR4901",1) +END + +IF + Global("A6WaylaidSpawn","AR4901",0) + OR(4) + Time(9) + Time(10) + Time(19) + Time(20) +THEN + RESPONSE #100 + CreateCreature("OGRE",[539.100],0) // Ogre + CreateCreature("OGRE",[1105.81],0) // Ogre + SetGlobal("A6WaylaidSpawn","AR4901",1) +END + +IF + Global("A6WaylaidSpawn","AR4901",0) + TimeGT(10) + TimeLT(15) +THEN + RESPONSE #100 + CreateCreature("CARRIO",[741.140],0) // Carrion Crawler + SetGlobal("A6WaylaidSpawn","AR4901",1) +END + +IF + Global("A6WaylaidSpawn","AR4901",0) + TimeGT(14) + TimeLT(17) +THEN + RESPONSE #100 + CreateCreature("GNOLL",[814.202],0) // Gnoll + CreateCreature("GNOLL",[899.226],0) // Gnoll + CreateCreature("GNOLL",[714.262],0) // Gnoll + CreateCreature("GNOLL",[570.198],0) // Gnoll + CreateCreature("GNOLL",[1086.201],0) // Gnoll + CreateCreature("GNOLL",[483.223],0) // Gnoll + SetGlobal("A6WaylaidSpawn","AR4901",1) +END + +IF + Global("A6WaylaidSpawn","AR4901",0) + OR(2) + TimeGT(20) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("GHAST",[980.249],0) // Ghast + CreateCreature("GHAST",[630.244],0) // Ghast + SetGlobal("A6WaylaidSpawn","AR4901",1) +END + diff --git a/bgt/base/baf/ar5100.baf b/bgt/base/baf/ar5100.baf index e69de29..25fa767 100644 --- a/bgt/base/baf/ar5100.baf +++ b/bgt/base/baf/ar5100.baf @@ -0,0 +1,125 @@ +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(MIDNIGHT) + TimeLT(3) +THEN + RESPONSE #100 + CreateCreature("KOBOLD",[469.735],0) // Kobold + CreateCreature("KOBOLD",[620.731],0) // Kobold + CreateCreature("KOBOLD",[501.366],0) // Kobold + CreateCreature("KOBOLD",[729.384],0) // Kobold + CreateCreature("KOBOLDA",[937.673],0) // Kobold + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(2) + TimeLT(5) +THEN + RESPONSE #100 + CreateCreature("HOBGOB",[302.672],0) // Hobgoblin + CreateCreature("HOBGOB",[429.431],0) // Hobgoblin + CreateCreature("HOBGOB",[784.432],0) // Hobgoblin + CreateCreature("HOBGOB",[953.533],0) // Hobgoblin + CreateCreature("HOBGOB",[761.749],0) // Hobgoblin + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(4) + TimeLT(DAWN_START) +THEN + RESPONSE #100 + CreateCreature("BGWOLF",[628.346],0) // Wolf + CreateCreature("BGWOLF",[554.355],0) // Wolf + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(DAWN_START) + TimeLT(13) +THEN + RESPONSE #100 + CreateCreature("DOGWI",[382.656],0) // Wild Dog + CreateCreature("DOGWI",[468.374],0) // Wild Dog + CreateCreature("DOGWI",[662.342],0) // Wild Dog + CreateCreature("DOGWI",[898.561],0) // Wild Dog + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(NOON) + TimeLT(15) +THEN + RESPONSE #100 + CreateCreature("GIBBER",[883.425],0) // Gibberling + CreateCreature("GIBBER",[955.576],0) // Gibberling + CreateCreature("GIBBER",[379.504],0) // Gibberling + CreateCreature("GIBBER",[373.737],0) // Gibberling + CreateCreature("GIBBER",[870.731],0) // Gibberling + CreateCreature("GIBBER",[589.307],0) // Gibberling + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(14) + TimeLT(17) +THEN + RESPONSE #100 + CreateCreature("OGREHA",[342.457],0) // Half Ogre + CreateCreature("OGREHA",[871.685],0) // Half Ogre + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(16) + TimeLT(19) +THEN + RESPONSE #100 + CreateCreature("WORG",[525.718],0) // Worg + CreateCreature("WORG",[683.383],0) // Worg + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(18) + TimeLT(DUSK_START) +THEN + RESPONSE #100 + CreateCreature("SKELET_A",[514.310],0) // Skeleton + CreateCreature("SKELET_B",[747.338],0) // Skeleton + CreateCreature("SKELET_C",[301.505],0) // Skeleton + CreateCreature("SKELET_A",[431.687],0) // Skeleton + CreateCreature("SKELET_B",[668.721],0) // Skeleton + CreateCreature("SKELET_C",[911.628],0) // Skeleton + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + TimeGT(20) + TimeLT(23) +THEN + RESPONSE #100 + CreateCreature("GHOUL",[387.324],0) // Ghoul + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + +IF + Global("A6WaylaidSpawn","AR5100",0) + OR(2) + Time(23) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("GHAST",[762.667],0) // Ghast + SetGlobal("A6WaylaidSpawn","AR5100",1) +END + diff --git a/bgt/base/baf/ar5101.baf b/bgt/base/baf/ar5101.baf new file mode 100644 index 0000000..7236f8a --- /dev/null +++ b/bgt/base/baf/ar5101.baf @@ -0,0 +1,106 @@ +IF + Global("A6WaylaidSpawn","AR5101",0) + TimeGT(MIDNIGHT) + TimeLT(3) +THEN + RESPONSE #100 + CreateCreature("WOLFDR",[829.663],0) // Dread Wolf + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + +IF + Global("A6WaylaidSpawn","AR5101",0) + OR(4) + Time(3) + Time(4) + Time(17) + Time(18) +THEN + RESPONSE #100 + CreateCreature("ANKHEG",[394.703],0) // Ankheg + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + +IF + Global("A6WaylaidSpawn","AR5101",0) + TimeGT(4) + TimeLT(DAWN_END) +THEN + RESPONSE #100 + CreateCreature("GHAST",[383.685],0) // Ghast + CreateCreature("GHAST",[914.604],0) // Ghast + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + +IF + Global("A6WaylaidSpawn","AR5101",0) + OR(4) + Time(DAWN_END) + Time(8) + Time(19) + Time(20) +THEN + RESPONSE #100 + CreateCreature("OGRE",[370.274],0) // Ogre + CreateCreature("OGRE",[754.743],0) // Ogre + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + +IF + Global("A6WaylaidSpawn","AR5101",0) + TimeGT(8) + TimeLT(11) +THEN + RESPONSE #100 + CreateCreature("OGREGR",[817.257],0) // Ogrillon + CreateCreature("OGREGR",[325.469],0) // Ogrillon + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + +IF + Global("A6WaylaidSpawn","AR5101",0) + TimeGT(10) + TimeLT(13) +THEN + RESPONSE #100 + CreateCreature("BGBASILL",[547.710],0) // Lesser Basilisk + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + +IF + Global("A6WaylaidSpawn","AR5101",0) + TimeGT(NOON) + TimeLT(15) +THEN + RESPONSE #100 + CreateCreature("HOBGOBA",[575.260],0) // Hobgoblin + CreateCreature("HOBGOBA",[371.575],0) // Hobgoblin + CreateCreature("HOBGOBA",[451.714],0) // Hobgoblin + CreateCreature("HOBGOBA",[646.733],0) // Hobgoblin + CreateCreature("HOBGOBA",[960.545],0) // Hobgoblin + CreateCreature("HOBGOBA",[945.395],0) // Hobgoblin + CreateCreature("HOBGOBA",[840.335],0) // Hobgoblin + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + +IF + Global("A6WaylaidSpawn","AR5101",0) + TimeGT(14) + TimeLT(17) +THEN + RESPONSE #100 + CreateCreature("OGREMA",[404.468],0) // Ogre Mage + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + +IF + Global("A6WaylaidSpawn","AR5101",0) + OR(2) + TimeGT(20) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("WOLFVA",[444.272],0) // Vampiric Wolf + SetGlobal("A6WaylaidSpawn","AR5101",1) +END + diff --git a/bgt/base/baf/ar5300.baf b/bgt/base/baf/ar5300.baf new file mode 100644 index 0000000..2caa433 --- /dev/null +++ b/bgt/base/baf/ar5300.baf @@ -0,0 +1,11 @@ +IF + Global("A6WaylaidSpawn","AR5300",0) +THEN + RESPONSE #100 + CreateCreature("ETTERC",[710.478],0) // Ettercap + CreateCreature("ETTERC",[569.592],0) // Ettercap + CreateCreature("ETTERC",[884.525],0) // Ettercap + CreateCreature("ETTERC",[824.684],0) // Ettercap + SetGlobal("A6WaylaidSpawn","AR5300",1) +END + diff --git a/bgt/base/baf/ar5301.baf b/bgt/base/baf/ar5301.baf new file mode 100644 index 0000000..bdeb03c --- /dev/null +++ b/bgt/base/baf/ar5301.baf @@ -0,0 +1,29 @@ +IF + Global("A6WaylaidSpawn","AR5301",0) + OR(7) + Time(MIDNIGHT) + Time(1) + Time(2) + Time(3) + Time(4) + Time(5) + TimeGT(17) +THEN + RESPONSE #100 + CreateCreature("WYVERN",[788.596],0) // Wyvern + CreateCreature("WYVERN",[404.340],0) // Wyvern + SetGlobal("A6WaylaidSpawn","AR5301",1) +END + +IF + Global("A6WaylaidSpawn","AR5301",0) + TimeGT(5) + TimeLT(18) +THEN + RESPONSE #100 + CreateCreature("SPIDGI",[592.413],0) // Giant Spider + CreateCreature("SPIDGI",[957.460],0) // Giant Spider + CreateCreature("SPIDGI",[720.771],0) // Giant Spider + SetGlobal("A6WaylaidSpawn","AR5301",1) +END + diff --git a/bgt/base/baf/ar5400.baf b/bgt/base/baf/ar5400.baf index e69de29..c9d75a7 100644 --- a/bgt/base/baf/ar5400.baf +++ b/bgt/base/baf/ar5400.baf @@ -0,0 +1,121 @@ +IF + Global("A6WaylaidSpawn","AR5400",0) + TimeGT(MIDNIGHT) + TimeLT(3) +THEN + RESPONSE #100 + CreateCreature("KOBOLD",[469.735],0) // Kobold + CreateCreature("KOBOLD",[620.731],0) // Kobold + CreateCreature("KOBOLD",[501.366],0) // Kobold + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + +IF + Global("A6WaylaidSpawn","AR5400",0) + TimeGT(2) + TimeLT(5) +THEN + RESPONSE #100 + CreateCreature("HOBGOB",[302.672],0) // Hobgoblin + CreateCreature("HOBGOB",[429.431],0) // Hobgoblin + CreateCreature("HOBGOB",[784.432],0) // Hobgoblin + CreateCreature("HOBGOB",[953.533],0) // Hobgoblin + CreateCreature("HOBGOBA",[761.749],0) // Hobgoblin + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + +IF + Global("A6WaylaidSpawn","AR5400",0) + TimeGT(4) + TimeLT(DAWN_END) +THEN + RESPONSE #100 + CreateCreature("BGWOLF",[628.346],0) // Wolf + CreateCreature("BGWOLF",[554.355],0) // Wolf + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + +IF + Global("A6WaylaidSpawn","AR5400",0) + TimeGT(DAWN_START) + TimeLT(13) +THEN + RESPONSE #100 + CreateCreature("DOGWI",[382.656],0) // Wild Dog + CreateCreature("DOGWI",[468.374],0) // Wild Dog + CreateCreature("DOGWI",[662.342],0) // Wild Dog + CreateCreature("DOGWI",[898.561],0) // Wild Dog + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + +IF + Global("A6WaylaidSpawn","AR5400",0) + TimeGT(NOON) + TimeLT(15) +THEN + RESPONSE #100 + CreateCreature("GIBBER",[883.425],0) // Gibberling + CreateCreature("GIBBER",[955.576],0) // Gibberling + CreateCreature("GIBBER",[379.504],0) // Gibberling + CreateCreature("GIBBER",[373.737],0) // Gibberling + CreateCreature("GIBBER",[870.731],0) // Gibberling + CreateCreature("GIBBER",[589.307],0) // Gibberling + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + +IF + Global("A6WaylaidSpawn","AR5400",0) + TimeGT(14) + TimeLT(17) +THEN + RESPONSE #100 + CreateCreature("OGREHA",[342.457],0) // Half Ogre + CreateCreature("OGREHA",[871.685],0) // Half Ogre + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + +IF + Global("A6WaylaidSpawn","AR5400",0) + TimeGT(16) + TimeLT(19) +THEN + RESPONSE #100 + CreateCreature("WORG",[525.718],0) // Worg + CreateCreature("WORG",[683.383],0) // Worg + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + +IF + Global("A6WaylaidSpawn","AR5400",0) + TimeGT(18) + TimeLT(DUSK_START) +THEN + RESPONSE #100 + CreateCreature("BANDIT",[383.235],0) // Bandit + CreateCreature("BANDIT",[618.244],0) // Bandit + CreateCreature("BANDIT",[716.678],0) // Bandit + CreateCreature("BANDIT",[223.730],0) // Bandit + CreateCreature("BANDIT",[190.472],0) // Bandit + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + +IF + Global("A6WaylaidSpawn","AR5400",0) + OR(2) + TimeGT(20) + Time(MIDNIGHT) +THEN + RESPONSE #100 + CreateCreature("BANDIT",[323.334],0) // Bandit + CreateCreature("BANDIT",[691.763],0) // Bandit + CreateCreature("BANDIT",[674.282],0) // Bandit + CreateCreature("BANDIT",[250.540],0) // Bandit + CreateCreature("BANDIT",[323.735],0) // Bandit + CreateCreature("BANDITA",[216.647],0) // Bandit + CreateCreature("BANDITA",[830.648],0) // Bandit + CreateCreature("BANDITA",[773.378],0) // Bandit + CreateCreature("BANDITA",[826.502],0) // Bandit + CreateCreature("BANDITA",[244.412],0) // Bandit + SetGlobal("A6WaylaidSpawn","AR5400",1) +END + diff --git a/bgt/base/d/sardead.d b/bgt/base/d/sardead.d index 30759f9..5594d08 100644 --- a/bgt/base/d/sardead.d +++ b/bgt/base/d/sardead.d @@ -2,10 +2,5 @@ BEGIN ~sardead~ IF ~True()~ THEN BEGIN 0 SAY @202200 - IF ~~ THEN GOTO 1 -END - -IF ~~ THEN BEGIN 1 - SAY @202201 IF ~~ THEN DO ~SetGlobal("SarevokBoutToDie","GLOBAL",2)~ EXIT END diff --git a/bgt/bgt.ini b/bgt/bgt.ini index 462ce5a..32aff23 100644 --- a/bgt/bgt.ini +++ b/bgt/bgt.ini @@ -1,13 +1,10 @@ -# File encoding: preferred UTF8 (no BOM) if unicode characters are present, it can be ANSI for English-only -# File format: ini as default and then HJSON/JSON5/JSONC/JSON (JSON doesn't allow comments) for advanced modders who want to use benefits of those file formats -# File name: it must be the same as tp2 name: MyMod.tp2 => MyMod.ini (.hjson/.json5/.jsonc/.json) -# File location: the same folder where .tp2 file is present -# File content: every key=value pair is optional, key names are case insensitive +# Filename must be the same as tp2 basename, placed at the same folder where +# .tp2 file is located, use "UTF8 without BOM" encoding, everything is optional # ini section header is required to avoid false detection [Metadata] -# Full name of the mod, without version number +# Full name of the mod, without the version number Name = Baldur's Gate Trilogy # Author name or nick, don't use email address @@ -16,20 +13,15 @@ Author = Ascension64 (project lead), with permission from Bardez # Short description of the mod, main goals, features etc Description = Baldur's Gate Trilogy is a modification originally created by Bardez that aimed to achieve two major goals: to play Baldur's Gate and Tales of the Sword Coast expansion using the much improved Baldur's Gate II engine, and to generate some kind of continuity between the events of Baldur's Gate, Tales of the Sword Coast, Shadows of Amn, and Throne of Bhaal. Baldur's Gate Trilogy-WeiDU takes this a step further by converting the modification to WeiDU format, which has improved compatibility with other modifications. -# Web address of mod Homepage -Homepage = http://www.spellholdstudios.net/ +# Web address of mod readme file (filename is case-sensitive!) You can link to txt, md, html, pdf etc. +Readme = https://spellholdstudios.github.io/readmes/bgt/[english]bgtreadme.htm # Web address of mod dedicated forum or forum thread -Forum = http://www.shsforums.net/index.php?showforum=261 - -# if you use Github.com (preferred hosting site), simply use github.com/AccountOrOrgName/RepositoryName -# If you use other hosting sites, please check requirements and put direct download link -Download = http://www.shsforums.net/files/download/54-baldurs-gate-trilogy-weidu/ - -# Requirements for other hosting sites: -# - forum attachments won't work because the download links will be changed every time when you update mod package -# - mod package should be downloaded using 'wget' commandline tool: wget.exe --no-check-certificate 'link' -# - it's possible to preform file size check using 'wget' commandline tool: wget.exe --no-check-certificate --spider 'link' -# - links do not expire after 30 or more days without download ( speeedyshare, mediafire etc has forced expiration dates) -# - hosting site won't require user interaction or captcha ( googledrive, mediafire etc require user interaction) -# - hosting site don't advertise any kind of adware/crapware etc \ No newline at end of file +Forum = http://www.shsforums.net/forum/261-bgt-weidu/ + +# Web address of mod personal Homepage, no need to duplicate with a mod dedicated forum +Homepage = http://www.spellholdstudios.net/ie/bgt + +# if you use Github.com, simply use https://github.com/AccountOrOrgName/RepositoryName +# read more about Delta Updates https://github.com/ALIENQuake/ProjectInfinity/wiki/Delta-Updates-for-mods-hosted-at-Github +Download = https://github.com/SpellholdStudios/BGT-WeiDU \ No newline at end of file diff --git a/bgt/bgt.tp2 b/bgt/bgt.tp2 index f81ac8e..69532fa 100644 --- a/bgt/bgt.tp2 +++ b/bgt/bgt.tp2 @@ -19,9 +19,9 @@ BACKUP ~bgt/backup~ -AUTHOR ~http://forums.spellholdstudios.net/index.php?showforum=261~ +SUPPORT ~http://www.shsforums.net/forum/261-bgt-weidu/~ -VERSION ~1.20 (20 Feb 19)~ +VERSION ~v1.21~ README ~bgt/help/[%LANGUAGE%]bgtreadme.htm~ ~bgt/help/[english]bgtreadme.htm~ @@ -2140,6 +2140,19 @@ Global("Chapter","GLOBAL",8)~ COPY_EXISTING ~semaj.bcs~ ~override/semaj.bcs~ DECOMPILE_BCS_TO_BAF + REPLACE_TEXTUALLY ~IF[%newline%]*StateCheck(Myself,STATE_SILENCED)~ ~IF +Global("SemajDim","GLOBAL",0) +Global("SarevokBehavior","GLOBAL",3) +THEN +RESPONSE #100 +ForceSpellPoint([821.966],WIZARD_DIMENSION_DOOR) +SetGlobal("SemajDim","GLOBAL",1) +END + +IF +StateCheck(Myself,STATE_SILENCED)~ + REPLACE_TEXTUALLY ~DisplayString(Myself,24097)[%newline%]*ReallyForceSpell(NearestEnemyOf(Myself),WIZARD_DEATH_SPELL)~ ~~ + REPLACE_TEXTUALLY ~ReallyForceSpell(\[PC\],WIZARD_FLESH_TO_STONE)~ ~~ REPLACE ~24097~ @401112 REPLACE ~24098~ @401113 REPLACE ~24099~ @401114 @@ -4846,6 +4859,15 @@ COPY_EXISTING + ~poghm9.cre~ ~bg1cre/poghm9.cre~ ~poghma7.cre~ ~bg1cre/poghma7.cre~ SAY 0xa4 #4982 SAY 0x110 #4982 +COPY_EXISTING + ~ragefa.cre~ ~bg1cre/ragefa.cre~ // different colours + WRITE_BYTE 0x2c 25 //metal + WRITE_BYTE 0x2d 67 //minor + WRITE_BYTE 0x2e 71 //major + WRITE_BYTE 0x2f 12 //skin + WRITE_BYTE 0x30 58 //leather + WRITE_BYTE 0x31 63 //armor + WRITE_BYTE 0x32 0 //hair + SAY 0xa4 #4788 COPY_EXISTING + ~rat2.cre~ ~bg1cre/rat2.cre~ WRITE_BYTE 0x53 0 //number of attacks SAY 0xc8 #20285 @@ -6967,6 +6989,17 @@ END PRINT ~~ PRINT @100022 +//////////////////////////////////////////////////////// +//Areas +//////////////////////////////////////////////////////// + +// Restore Beregost extended main day ambient +COPY_EXISTING ~ar6700.are~ ~override~ + READ_LONG 0xbc sng_off + WRITE_ASCII (sng_off + 0x28) ~amb_m14~ #8 // main day ambient 1 + WRITE_ASCII (sng_off + 0x30) ~amb_m14~ #8 // main day ambient 2 +BUT_ONLY + //////////////////////////////////////////////////////// //Creatures //////////////////////////////////////////////////////// @@ -7102,6 +7135,10 @@ COPY_EXISTING ~aasim.cre~ ~override/aasim.cre~ END BUT_ONLY +COPY_EXISTING ~aldeth.cre~ ~override~ + WRITE_LONG MORALE 4481 // aldet02 "Come now, old chaps, can't we be friends?" +BUT_ONLY + COPY_EXISTING ~amaran.cre~ ~override/amaran.cre~ READ_LONG 0x2bc "it_off" READ_LONG 0x2c0 "it_cnt" @@ -7168,6 +7205,10 @@ COPY_EXISTING ~brage.cre~ ~override/brage.cre~ END BUT_ONLY +COPY_EXISTING ~cadder.cre~ ~override~ + WRITE_LONG SELECT_ACTION4 4531 // cader07 "One is not forced to walk in the steps of their father." +BUT_ONLY + COPY_EXISTING ~cander.cre~ ~override/cander.cre~ ADD_KNOWN_SPELL ~SPPR110~ #0 ~priest~ WRITE_BYTE 0x54 10 @@ -7219,6 +7260,10 @@ COPY_EXISTING ~dead2.cre~ ~override/dead2.cre~ END BUT_ONLY +COPY_EXISTING ~davaeo.cre~ ~override~ + WRITE_LONG MORALE 4474 // daveo02 "You meddling fools, your victory shall be short lived." +BUT_ONLY + COPY_EXISTING ~fahrin.cre~ ~override/fahrin.cre~ WRITE_SHORT 0x24 16 //current HP WRITE_SHORT 0x26 16 //max HP @@ -7271,6 +7316,8 @@ COPY_EXISTING ~gellan.cre~ ~override/gellan.cre~ WRITE_BYTE 0x56 12 WRITE_BYTE 0x57 15 WRITE_BYTE 0x58 14 + WRITE_LONG DAMAGE 12453 // gelln03 + WRITE_LONG DYING 12454 // gelln04 BUT_ONLY COPY_EXISTING ~golemf.cre~ ~override/golemf.cre~ @@ -7287,11 +7334,25 @@ BUT_ONLY COPY_EXISTING ~gorion.cre~ ~override/gorion.cre~ WRITE_BYTE 0x273 1 //change Class to Mage + WRITE_LONG SELECT_COMMON5 "-1" + WRITE_LONG SELECT_COMMON6 "-1" + WRITE_LONG SELECT_ACTION4 "-1" + WRITE_LONG SELECT_ACTION5 "-1" + WRITE_LONG SELECT_ACTION6 "-1" + WRITE_LONG SELECT_ACTION7 "-1" BUT_ONLY COPY_EXISTING ~gorion3.cre~ ~override/gorion3.cre~ READ_LONG 0x10 flags WRITE_LONG 0x10 (flags & 0b00000100) + WRITE_LONG SELECT_COMMON2 "-1" + WRITE_LONG SELECT_COMMON3 "-1" + WRITE_LONG SELECT_COMMON4 "-1" + WRITE_LONG SELECT_COMMON6 "-1" +BUT_ONLY + +COPY_EXISTING ~greywo.cre~ ~override~ + WRITE_LONG MORALE 4547 // greyw02 "You've not heard the last of this, ye worthless priggers!" BUT_ONLY // fix cloak of the nymph costing 40x its listed price due to being overcharged @@ -7321,6 +7382,20 @@ COPY_EXISTING ~hunter.cre~ ~override/hunter.cre~ SAY NAME2 @500001 BUT_ONLY +COPY_EXISTING ~hurgan.cre~ ~override~ + WRITE_LONG DAMAGE 23860 // hungn_05 + WRITE_LONG DYING 23861 // hungn_06 +BUT_ONLY + +COPY_EXISTING ~husam.cre~ ~override~ + ~husam2.cre~ ~override~ + WRITE_LONG MORALE 4560 // husam02 "There are too many, retreat!" +BUT_ONLY + +COPY_EXISTING ~irlent.cre~ ~override~ + WRITE_LONG SELECT_ACTION4 4712 // irlen02 "I have no wish to speak with you, meat." +BUT_ONLY + COPY_EXISTING ~iron13.cre~ ~override/iron13.cre~ ~iron14.cre~ ~override/iron14.cre~ WRITE_ASCII 0x248 "" #8 //override script @@ -7379,6 +7454,10 @@ COPY_EXISTING ~keldda.cre~ ~override/keldda.cre~ WRITE_BYTE 0x58 9 BUT_ONLY +COPY_EXISTING ~krysti.cre~ ~override~ + WRITE_LONG MORALE 4540 // kryst02 "I can't take this, I can't! I'm sorry darling." +BUT_ONLY + COPY_EXISTING ~leaggu4.cre~ ~override/leaggu4.cre~ SAY 0xa4 #4964 SAY 0xec #12566 @@ -7397,10 +7476,25 @@ COPY_EXISTING ~lothan.cre~ ~override/lothan.cre~ END BUT_ONLY +COPY_EXISTING ~mulahe.cre~ ~override~ + WRITE_LONG MORALE 4456 // mulah02 "I yield, I yield to thee!" +BUT_ONLY + COPY_EXISTING ~natash.cre~ ~override/natash.cre~ WRITE_BYTE 0x27b 19 //Alignment BUT_ONLY +COPY_EXISTING ~nikola.cre~ ~override~ + WRITE_LONG SELECT_COMMON1 4898 // mtown02 "Yer a long-tongued lout, ain't ya?" + WRITE_LONG SELECT_COMMON2 "-1" + WRITE_LONG SELECT_ACTION4 4900 // mtown04 "Wife's been gettin' prickly on my arse." + WRITE_LONG SELECT_ACTION5 "-1" +BUT_ONLY + +COPY_EXISTING ~nimbul.cre~ ~override~ + WRITE_LONG MORALE 4450 // nimbl02 "So be it then. Another day you'll die!" +BUT_ONLY + COPY_EXISTING ~nobl9.cre~ ~override/nobl9.cre~ WRITE_LONG 0x1c 2 //Gold BUT_ONLY @@ -7437,12 +7531,22 @@ BUT_ONLY COPY_EXISTING ~silke.cre~ ~override/silke.cre~ WRITE_ASCII 0x248 "" #8 //override script + WRITE_LONG SELECT_ACTION4 4697 // silke02 "I am a musician, not a chatter box." BUT_ONLY COPY_EXISTING ~skelets.cre~ ~override/skelets.cre~ ADD_CRE_ITEM ~RING99~ #0 #0 #0 ~UNSTEALABLE&UNDROPPABLE~ ~LRING~ BUT_ONLY +COPY_EXISTING ~slythe.cre~ ~override~ + WRITE_LONG MORALE 4533 // slyth02 "It looks like tough times for us honey." +BUT_ONLY + +COPY_EXISTING ~surgeo.cre~ ~override~ + SAY DAMAGE ~~ [surgn03] + SAY DYING ~~ [surgn04] +BUT_ONLY + COPY_EXISTING ~taerom.cre~ ~override/taerom.cre~ WRITE_BYTE 0x52 16 //THAC0 WRITE_BYTE 0x234 5 //Level (class 1) @@ -7482,6 +7586,7 @@ BUT_ONLY COPY_EXISTING ~volo.cre~ ~override/volo.cre~ WRITE_BYTE 0x27b 49 //Alignment + WRITE_LONG MORALE 4520 // volo02 "This is not my day at all!" BUT_ONLY COPY_EXISTING ~voltin.cre~ ~override/voltin.cre~ @@ -7493,6 +7598,12 @@ COPY_EXISTING ~vayya.cre~ ~override/vayya.cre~ WRITE_BYTE 0x275 0x02 //Gender flag BUT_ONLY +COPY_EXISTING ~watch.cre~ ~override~ + ~watch2.cre~ ~override~ + WRITE_LONG SELECT_ACTION4 4965 // guarr03 "Today I saw a girl with the biggest pair of diddeys I've ever seen." + WRITE_LONG SELECT_ACTION5 4966 // guarr04 "I could take Drizzt wit both my arms tied behind my back." +BUT_ONLY + //alsao prevents talking if BP installed COPY_EXISTING ~wolfva.cre~ ~override/wolfva.cre~ WRITE_BYTE 0x271 4 //General: UNDEAD @@ -7774,6 +7885,12 @@ EndCutSceneMoxde()~ COMPILE_BAF_TO_BCS +// Fix closing the barracks door by the patrolling Watcher in Candlekeep +COPY_EXISTING ~watch3.bcs~ ~override~ + DECOMPILE_BCS_TO_BAF + REPLACE_TEXTUALLY ~MoveToPoint(\[1572\.2453\])~ ~MoveToPoint([1581.2440])~ + COMPILE_BAF_TO_BCS + COMPILE ~bgt/fixpack/trapdis.baf~ ////////////////////////////////////////////////////////////////// @@ -8220,6 +8337,22 @@ COPY ~override/wolfwegr.cre~ ~override/bgwowegr.cre~ COPY_EXISTING ~spwi946.spl~ ~override/spwi946.spl~ REPLACE_TEXTUALLY ~WOLFWEGR~ ~BGWOWEGR~ +//////////////////////////////////////////////////////// +//Sounds +//////////////////////////////////////////////////////// + +// Fix Cadderly's dying sound +COPY ~bgt/fixpack/cader09.wav~ ~override~ + +// Amplify Tranzig's voice +ACTION_IF (~%LANGUAGE%~ STR_EQ ~english~) || + (~%LANGUAGE%~ STR_EQ ~international~) BEGIN + COPY ~bgt/fixpack/tranz01.wav~ ~override~ + ~bgt/fixpack/tranz02.wav~ ~override~ + ~bgt/fixpack/tranz03.wav~ ~override~ + ~bgt/fixpack/tranz04.wav~ ~override~ +END + /////////////////////////////////////////////////////// // GUI Switcher v2.0 /////////////////////////////////////////////////////// @@ -8228,7 +8361,7 @@ PRINT ~~ PRINT @100004 <<<<<<<>>>>>>> -<<<<<<<>>>>>>> @@ -8310,9 +8443,9 @@ COPY ~GUI_Mods/%gui_slot_name%~ ~override~ BEGIN @100027 SUBCOMPONENT @100024 -COPY_EXISTING ~GUI_Mods/GUI_Mods.2DA~ ~GUI_Mods~ +COPY_EXISTING ~gui_mods/gui_mods.2da~ ~gui_mods~ SET_2DA_ENTRY 1 1 2 "%gui_slot_name%" //CURRENT_ACTIVE_MOD_GUI -COPY ~GUI_Mods/%gui_slot_name%~ ~override~ +COPY ~gui_mods/%gui_slot_name%~ ~override~ >>>>>>>> DEFINE_ACTION_FUNCTION copy_missing STR_VAR origin=~~ destination=~~ BEGIN @@ -8324,7 +8457,7 @@ END //this block goes outside the IF because it must also solve problems of GUIs already installed but for Windows only. ACTION_MATCH ~%WEIDU_OS%~ WITH ~win32~ BEGIN - LAF copy_missing STR_VAR origin=~Setup-BGT.exe~ destination=~Setup-GUI.exe~ END + LAF copy_missing STR_VAR origin=~Setup-BGT.exe~ destination=~setup-gui.exe~ END END ~unix~ BEGIN //if the user is using setup-bgt, create a similar file for the GUI installer ACTION_IF FILE_EXISTS ~setup-bgt~ BEGIN @@ -8340,20 +8473,20 @@ DEFAULT //should never trigger WARN ~OS was not correctly detected. You might experience problems with the GUI mod.~ END -ACTION_IF NOT FILE_EXISTS ~GUI_Mods/GUI_Mods.2DA~ +ACTION_IF NOT FILE_EXISTS ~gui_mods/gui_mods.2da~ THEN BEGIN - MKDIR ~GUI_Mods~ + MKDIR ~gui_mods~ - COPY ~bgt/GUI_Mods.2DA~ ~GUI_Mods/GUI_Mods.2DA~ + COPY ~bgt/gui_mods.2da~ ~gui_mods/gui_mods.2da~ - COPY ~bgt/new_gui_switcher.tp2~ ~Setup-GUI.tp2~ + COPY ~bgt/new_gui_switcher.tp2~ ~setup-gui.tp2~ APPEND_FILE ~bgt/orig_gui.tp2~ END ELSE BEGIN - COPY ~Setup-GUI.tp2~ ~Setup-GUI.tp2~ //linux and OSX compatibility - REPLACE_TEXTUALLY CASE_INSENSITIVE EXACT_MATCH "AT_EXIT ~Setup-GUI.exe --uninstall~" + COPY ~setup-gui.tp2~ ~setup-gui.tp2~ //linux and OSX compatibility + REPLACE_TEXTUALLY CASE_INSENSITIVE EXACT_MATCH "AT_EXIT ~setup-gui.exe --uninstall~" ~~~~~ACTION_IF ~#%#WEIDU_OS#%#~ STRING_EQUAL_CASE ~win32~ BEGIN - AT_EXIT ~Setup-GUI.exe --noautoupdate --skip-at-view --uninstall~ + AT_EXIT ~setup-gui.exe --noautoupdate --skip-at-view --uninstall~ END ELSE BEGIN AT_EXIT ~"#%#WEIDU_EXECUTABLE#%#" setup-gui.tp2 --noautoupdate --no-auto-tp2 --autolog --logapp --skip-at-view --uninstall~ END~~~~~ @@ -8361,9 +8494,9 @@ END~~~~~ REPLACE_TEXTUALLY CASE_SENSITIVE EVALUATE_REGEXP "AUTHOR ~\([^~]*\)~" "AUTHOR ~\1 - non-windows version by Turambar.~" UNLESS ~WEIDU_OS~ //already OS-sensitive // remove following 2 /* */ comments to revert to original behaviour -/* ACTION_IF NOT FILE_CONTAINS ~Setup-GUI.tp2~ ~LANGUAGE~ +/* ACTION_IF NOT FILE_CONTAINS ~setup-gui.tp2~ ~LANGUAGE~ THEN BEGIN*/ - COPY ~Setup-GUI.tp2~ ~Setup-GUI.tp2~ + COPY ~setup-gui.tp2~ ~setup-gui.tp2~ REPLACE_TEXTUALLY CASE_SENSITIVE EVALUATE_REGEXP ~SUBCOMPONENT.*~ ~SUBCOMPONENT @100024~ REPLACE_TEXTUALLY "AUTHOR ~\([^~]*\)~" "AUTHOR ~\1~ @@ -8404,34 +8537,34 @@ LANGUAGE ~ UNLESS ~LANGUAGE~ OUTER_SET active_gui=0 - COPY_EXISTING - ~GUI_Mods/GUI_Mods.2DA~ ~GUI_Mods~ + COPY_EXISTING - ~gui_mods/gui_mods.2da~ ~gui_mods~ READ_2DA_ENTRY 1 1 2 "active_gui" //CURRENT_ACTIVE_MOD_GUI ACTION_IF ("%active_gui%">0) THEN BEGIN - UNINSTALL ~Setup-GUI.tp2~ ~%active_gui%~ + UNINSTALL ~setup-gui.tp2~ ~%active_gui%~ END END -COPY_EXISTING ~GUI_Mods/GUI_Mods.2DA~ ~GUI_Mods~ +COPY_EXISTING ~gui_mods/gui_mods.2da~ ~gui_mods~ READ_2DA_ENTRY 0 1 2 gui_slot_name SET_2DA_ENTRY 0 1 2 gui_slot_name+2 //NEXT_AVAILABLE_MOD_SLOT -MKDIR ~GUI_Mods/%gui_slot_name%~ +MKDIR ~gui_mods/%gui_slot_name%~ -COPY_EXISTING ~Setup-GUI.tp2~ ~Setup-GUI.tp2~ +COPY_EXISTING ~setup-gui.tp2~ ~setup-gui.tp2~ APPEND_FILE_EVALUATE ~bgt/mod_gui1.tp2~ -COPY ~bgt/bgt_menu/common~ ~GUI_Mods/%gui_slot_name%~ -COPY ~bgt/bgt_menu/startold.mos~ ~GUI_Mods/%gui_slot_name%~ +COPY ~bgt/bgt_menu/common~ ~gui_mods/%gui_slot_name%~ +COPY ~bgt/bgt_menu/startold.mos~ ~gui_mods/%gui_slot_name%~ OUTER_SET gui_slot_name = gui_slot_name + 1 -MKDIR ~GUI_Mods/%gui_slot_name%~ +MKDIR ~gui_mods/%gui_slot_name%~ -COPY_EXISTING ~Setup-GUI.tp2~ ~Setup-GUI.tp2~ +COPY_EXISTING ~setup-gui.tp2~ ~setup-gui.tp2~ APPEND_FILE_EVALUATE ~bgt/mod_gui2.tp2~ -COPY ~bgt/bgt_menu/common~ ~GUI_Mods/%gui_slot_name%~ -COPY ~bgt/bgt_menu/startold_alternate.mos~ ~GUI_Mods/%gui_slot_name%/startold.mos~ +COPY ~bgt/bgt_menu/common~ ~gui_mods/%gui_slot_name%~ +COPY ~bgt/bgt_menu/startold_alternate.mos~ ~gui_mods/%gui_slot_name%/startold.mos~ /////////////////////////////////////////////////////// // Music diff --git a/bgt/desktop.ini b/bgt/desktop.ini index 4ef3439..4c16ff1 100644 --- a/bgt/desktop.ini +++ b/bgt/desktop.ini @@ -1,3 +1,3 @@ [.ShellClassInfo] -IconFile=backup\bgt.ico +IconFile=style\bgt.ico IconIndex=0 diff --git a/bgt/fixpack/cader09.wav b/bgt/fixpack/cader09.wav new file mode 100644 index 0000000000000000000000000000000000000000..61309051a17711e36316e2fe59830971b8746053 GIT binary patch literal 80334 zcmZsD1$-1&*Zv({O|o%MfIy(QmE!JDptu!xr$}*^0)^sGpe<6g6t`k+aVYMd5O-Z2 zyZ>jhFCYEh|Cjk~c6WB>&hc~Zo##x~cCB0AsK=0A&3d*PF@9>P073|ZtxQisS{^2Z zB{u9CHhAjbojB^>fBI3MHWo*EH;(8V|6iL>@?9VzHbco~B*y=I@_skqyS|x-xn#2t z3$gys=0o(&m-ykOZ$2fzm`lbGF}8k97WB}PKaHv-n?hwO{b%z~H}&AN2b&w4i#n+T zo1GTZB3eibO1Av}ycb|kA@&#JcPEb3&y}e{HH@!Ii2WZf1>)Uw&OUzja;wY0OP4Mg zudF^w&`alk|JQ%jkJFF$%1|Hiqs+YW(WUlDa^7q5IO@~2K3$1|UKLb(<>2Mq1#Y~2 z+rgW?L;}u|cYUuekB=|?lLOp;I9O?9b;>S|*S>Na7O6N=GL6^LjdR^MO#d)numu_*1b?Nq{TbS3TbgRVI37SFT>m()IaKu4edw?g_f}|M#Z*gzh8y5jve-%5#ZNfAlIZXPmTjFYZ*GfpSb zG5y_ZNgs9aZ_D=5_mN8PyY8jlxT5RG`}Zlb{`aSkNBsN!(=p!2q0{v-et09X9!GSm z()WI{3H`i}f4WC_y~4Xk|K;B=eXsWzFTLIf`@jF|lK;q)eyq-wzDGaGtBX&X_!OCS zt$1zF`~TxNuiU*B<+Z7gp8Ls5yps3&>%Z;kqc?o=)sHb#*Ns?_AdW3%E|BIr3@B8EjUd#BuOnpfVsX{7{ilh{Y!e$^2ngvTP zraANxeN5B90mZjmoaav>a76*vjwhvYjV$^W`;+NidY1l8U(y7cM4#bY9}-Ri@p~wa z4+U5HwF1D0oo3^BU(n^CujvQM;%W@`iX^z?>N2SGBW0n-Vrszu3ZxmSP0Epmq&h5H zByVXVD9@!C^cAjDP~wM$;6m5E2RGTEYNyfEq(5pDdgR{I* zMz;ipxZ#0*B;Y^ZQySL}gwNP8S|+%8KvVFZ3V9@B6iNjmLAR6!m*~VwLP%56jnu{Y z49*Gy#|FscJ*a#Nxp`<9DDOmSf_6VhqCAcuSC9(kr;8KO|KL5)(-xy^UW^ z;EYI6x0-Aw-;u>+Iq6Lz$qkHjl-0D*{S`dvExiv(T%%<`MK$Q89vMgWkS$~=#t_Ldx}PopPcK1RG^q-z zf?*xS@Vu8e`yTz7?xuS&nm=^9g`6RW$$4^>Tq7sRM(FVb?1=NjVZ$xG1r-qOe}L9em)jQ_(4{~$nUVF zp0J2?Ivo=Brwyp0jn`ClqgqP4tMx`q=}wA>fiW=Cm@dpAW&yJcntTH~=g?79)<(d> zKZi6vz;32OkD1z4tu*W>fo_N8?WMKB>k2Xq8rw%Mk@Ad!rB%ir}5rX+5&cS)u zi_ACVJ5XI!yRAm5E7Z-}MMN`ruO@0eL5r%@rEYDBR#R)DJ*1`wN6^TT2cE!i-oUMfwVdL1>J#2(*XA5%XDLS zHh??ImE~`8zp}HKt0WeBcum9UXl;?&O+BGLSI?=L>R7d<7Do5ccruappl$G44?pu| z>a!QQOwNxF zRw{3l_Ot;L#ns?$a}T*e`~qydg_Xh|!fS3GiBersjx<>bqbHad>}b+MJ0oSdfAMrw z9&6cplu`?nFEj_K2hMHW5whux-c`X`5@9^a7T#NLuFp!OeIQomU)dUG0^6 zYy-9~a_v^$Xc%j_%#UFz@|TQOb4$}!<0!Eme~PKZ)ZzZ-2C!q*FI+Y3f4cJI3hHzD ziD#&%ox7FOg@X7xyjYny~#P$Sxzob4sdn(s;ob&@R7zX#$ks323c%rxGt_T zwlm%|#ETR8`dk=WgSjpbcjeeeJ7m{pkIl2owZ+-n(bBcebI$XV+*$jUSea#Pd3Gl^ zQT)*`*!Wx=CayNTF;+4TGL|+EGB-0G6RxpysHTpPOSu|DEA<^q?e_|g7EW@k_x$K- z>e=i0N_j*^a^;18_;@kK*vV)zj1|im){9fbp@z-IDW<C^x%Kn!7o02V?;Il;wS2a(5Gg)0Y%s1i{BBG!yx}+S zHtr0f(HS;g{L4Js+Q~Y~{KSwge8c~)Rg|_nDik%yua?_AZ)m~wLSu0?hvwX>1&a+# z11#&zIfjkKS;oQQEwPhO9Ud_fac#12)F_#USWjE3m>P>SnOVwRcMr$F!Zvy3vO8p7 z&90ZbrpVyQ(K-n^#-}FMa^Aeqa>Hk+wTg)qTaq7?JVnudBA2<|;w3|vd6jv)>7kg% z4x>i-J6E{9QSRW(7Fi>+mgkjr+;=abYsI~W7M2=*hkVBPrut1Z?-l#;&$RV&7kQj= zj1==NUKS=>^1Y`%*k0pvLkYb7L|9` zP>(T(#Z=Q1>p+_+uuZ^zzXRs({6o@9Yo%`2y3sGS1Ef3mlB+Df5!Q0^*;Ztw(#`$8 z(3bOe_J^F~`Ok`vJFmN`+=N+g4Do9h&^c&jK%CzY-`_1=4RKt!Cd&QfLsFE~RGQ>@ zDpN9-A0@UCjx*1+1o<=124_TZ|H7{d*A(us=XmBRPBKUQ&2-e~cmD)G;lL#+(lgSt!P8e+OOK#}6PP8$!gSR$jc`+JAfD!H z@$uYB_BS9ylqUcEv0F@Le!*^TUHtPk6dearG( zL#{M;m_5ieA^vo`wpm-PP1DAyhm`(GBXx>;NVC(HB#(T}_%Zj%Hilu(vPNz&dzSf{ zNkY9DMi*k@)l}`T`l{vBbk$$msBNIVNg=t&>|>TPX7&mb&i=`M%Z77*u`DwBcl4T? zrzWe#O0XKIE>}WTQOnT&rt?TWW)Ks>6qAKGYcOaV&h_W^vG15pwpKDIFv|2~m zp%~RNSaz|}G1QEDDVa`3EnbVPXWp;|_7yvwYsj|6_1ZEisD1skX6hm}L9L6*M^W?D z(wMCbB{_6BB6|zWA3Bf;WEWF~i)0%!ZP?ySZ!(?qq`zoiQycw3TZWpil@^0}&}7Wc z`eQcI9Q9HsvH^9!gWMujnL#Yeoh72H|VfOHx3}S-VX3P}Ksm^Fu)H|AlntL%V)J~~>pdc8uy&{R^C$b2Y z_CIthMtVYap!Ns-sBWXlT@ueUVb-DQ-%U-Rd@3^Db{bEQYNNH4ni*A*KFgF)zh}~} zkkX&zA^8Qe+C%HoMVL?4B|T76+fb>FCKJg{a)TViHIq>Fj-Uf+3)&FA&;a%85>zP} zu!G&?0jkqAsGs#2giP;Xrf>xH+h)|XH!({s2ip3hw%ttDqLz=toV*bA>N+|TBhI4J z;9F-<$=5<9KbrKzk+Ho$v^-{W{Y!rDMLL(%{GCw2r{lL(xY93} z*<@i}!eds(Lb9z@APVzC1pc!HimgBr-wAO>DO@ z|4E|h*dt&@Um4WsBb3ER`np#!Br9P>!2{Yk*q|H#b^fiGt$A0a-1x)3E!O{{P< z#&z^92p(FD8Tx&!R=mS(>@7wq#0;Os>@*ydRK!*ldJ4snMyysCNde~N60YaHZ{);# zGGuTMGq|f*{dhtjg4=A$V3t=Nv*$*n4dyV7@#!Vy!}- z<7?o}f$J9I>Y1P@AN%!nlN#g;tbH`aNDbj(F`!q3b-%{E=?+GC4XQJ6o(CfYfaWl8 zsIT@YC2Ji8;97yi0x(Oc0IP0FT4J2$(6c{g`YQDNx?~P|4J!Z-O6W<0U1Vc_7U*K2 z=R(ltolW{dnqe5FJS^%nykhaqjB7EFbPhPtrFRef{{!9h~;1aJ95Cwg3gsxkT zJc0!=WG^B|MeMtX^(PCiS3n0~jYdKSIe~Mgfy%XH8u)lm?~%z&2pLNEV}>7(NYsg3 z!d$yDeW`7Q7wm%_{e>9R2k{_?mdA=-2IAUlMB2YJKU`@P`4;hL5J@AU%o{}8y-Zs+ ziJ8l^V8)SsG!(01$F-vxN3W<=)fcKm{aUMuS#V3*lWYg~OUV&t65}E3kU0zJ9{M*{ zOX^@{Bb^Sy?|;$R$XmU^!&~UECr#FTsHSGy`U?wV)KM?&#lJAj=S|c{ZW4w-_ zX)tMm?>(?*2)xuEt8$dbBFm5@uuNW+E!VBd|MPMCm_}&1Qn;L!hRZOu<^s zXvm>A_zZ(3EkNe_6EdksThTze0Xe+`;(9%D2jfjZRNsr$nv0A4!&hVT=!#&Fo;FFdEjg-_u)U1D&mvQO(LN z<-F2Fsj8T@18TAoN&HKELSWp%Q8!AQ)zC9_Pg3o8RKH?6^i;7{9G`t zXq$a?aa!?@_OBg{-8bEh9XE@17j<#&U>^E?9zf0Ud?ijaeChvv#N@C_ei~n$1}OV! zf94&XqO6i?xl>$krDVCL+LW8|7MpVQjP73Zts>P1-voAZws ze&?v}IwKEatb9e2#c!Wqf7`Et8GdaI-PrqTr1NA!-NJ&rvFTUR4yWJEtWr?V?NH|m zHO%v@T>^$h4vYn;a|cHKa${8#0G!&Yd;3 zw{9?xHCD8a3|MV@ZFyxoAG9gJ;{RPh1ApG42zB}UY(2Gx^J-qFthyPgA0ECxnRGKn zPUxBVYx>;Gb6ExXOC5DwBji>zlG(vd5ce2c8wQCD41bv?`JWE81y%IrEmsU(gpQ`B zd@n~}Q9D<;qQITTS1s*44%k;~Hya<9=F+ zStQ;i<&=&5UE`mE!@NJFMZjKTCsQYj$&kVg=j`&FVmYr}Zg%d3{G{wI8GEyRa(kt% zPCb#;D$_S@Ue2kafdv(dX3=ZHdBZE8kl>*qgM%l=d{?$}Y-UKKz~+7{jRTp+N(a~a z!h)OuIobKg3r^&&E0W}0w1C+p#u~S9NBP#4mgY#IpDM(_MEp%sKMu%F8>&PtQ$C@sh*IQcq4+!|&eAk#{9%cN}=x@1Z zyeA$rPw`n`?qt2|+t+8WWs7e`-!q13Oun{L3bJ>x_bE`)m!vPue3WX==#e)e|A)Nn zf}o;`#YL`Fa*?O5JJ!{&cxT?8ti`#d3k(G-3LVAooe$h2JsbJx@Uqbp!<^A<>8|1X z12coq1*|vJViJ^k_UYL}GGAo)=DQq!xu+<(+%nTM>ynV~B3^}64LlOOEMSf3OB9mG z{mwMx-Nuo=j)3IQ&C%{SzU;whTiBGKFiRT!(lw&kKR4&Yiua}7Ti-QE9F|cpJF?(! zSARKPUMxo_yQNdkVFi}#Lur4e<|TgpwodY_Oq$Xmt5iN z7K3+yxnfvpVLhIrH)dxQY6g(v!{+ z=CB{6#jY^Vu7ciauhZM75BYHBL;tjgna;e_{KkcQ?G;_0yBWMMwY9fe9;d%3E9<)Ubu5fFTrkH5%ebXLBQ>hvawYo zyM`R_KjU-AQjuNnazN{jf-8ly4!9BgSGXFnCv0t4mGBDwH!S-sxA}C%Ul}b&+Uw^{&)Jt(xp-7jYVlI#4o$`S z`hIPY9OE8b=%2MLy-wQMK9ka#E-kJ3>#-$ugy`4QF z`&in^?02p&=s)}}bGg9!(T_?WC^a>1TshyE)`7hLMayyJc#&M(xL{>gV(y9JO74+L zDbZkC6}UC5PT4hOnYa^WzA4o!!Z&Ps`0Bt_fdhiS3H}gT9PS9aANnx#c}V%d`o4$7 zHKeBJmAz@fKiLzq&S&T5_Q=_kf66|<9W7O&=b4}AbmgKHi#+C^`+f4fS1n#mcsuOX z;Abme#=h&GP$sQowtp_4*FCRGo_}F`sxOZ^DJj!y;Mxu|0zYiV| zJ3jWd?PsAI`z<-ArO4HB4~LPX<>A~m(>+71&w&6rG$f{c^u*AUfgAn1``rsH6?G@9 zaj;LgPjpK77opX{|B3iAc(^|oIN3UutFPoZ6Yar;ow7z{L}v1ta>m`9?S*?>9@iV! z7`4E&wRlFc-FeMD)O|HSH>rJML~`S#&L1`>)lF#s`ug+Smnm;*ycZI)Qu^m^&%2qw z&vDc#@u#!7qjQ4f0ymb8JE>Ax458p!AM7c_f+?n?uMv}#^jI9xtVg|{gL-`-`stX z^V;KwdL`{`dZ(g1SAX{oHBAimITN%srel1Y3TxwhO6`p4 z7S%NDkZ*`!&}O+V6|O26Sa>u4=c2hJ-{RxfEA(FYx`-FCZAxdveI6GPGcNpbOtn&* zBOizDj_4V6D{5JE*NF0gjr=Uu-PUH7Y0OK{4SVClT?OCfpU>W$`!suh&V-^y#c%Ap zowGeXm6?tX1?}@EW=u=@F@0a+?RPgntoV?au;lHem%U$HfByK{?q{Q4oO@OCU2f9u zEOWtS=P@xNpnJgbpeIpRN{uKzE2>pQO4y{3;Q`P5|MEK_EOy^_byvpAW!-XdUH38W zp~+%tV(S}_5VkD3u+*;Dq0x0BwuB##Ia_K-+`2Ma+<^GU@jt~~i<%!Y&2N`^hWLQ2 zRaQD)Ji&hk0b`^8)%+1Y$-=ipZ{NBoupRsW? zrb<-Bpg{9iBtuG;B+o(TFU6-E2VGdnH_q{E7I-&U42uZ65YaPYMR?QbX)zZfFUI^F z7ZP_O?nr!E+1hbuVrGZj_nT#;G{rr+iPQ# z7xHXRRzaui=c(NiroA2dRDJZ|#fIlcUb^2H-~61Io4zD1F6BaU#WX{1c+qF>AoXuj zPN;1v?_V#pVaST0#bIHv!&0FUwwso@%suU_n&RH-+V3WwBWjL#OK2yI^KBV2E5Z|V zxYUT~kr6Y)Qo>(HTnpb3wJpX{s$IFHvh`vo#+Hkm926b6(6EJ_I#}tk{EKY!tW)|ZiH=>x)|{VG+9%r(d;2CldeQPR_xg{7)As^ahm1xz{HS(z%SZ7s& zQf#%110hGnaMv`N?Il;GQQT0>W6N;2m=^4PYjkkA&~>4sqdLUKmi?welM1uqkHw!a zdpG7~H9tiseXNk64;&vWKiE`IGWyGF{rG)aBvY3T{@OervC z`KG*kll!vro8R6Rzgzmg_-*F5{ObsCN?YguS32n-L23_Bm56c!!UD56j4 zugk{8ei_vy>SfHK=%OeooDDi`Z6Y?OzK*duVHxr1%Tx1{t0q-U`5|*d&XdBClB8{- z2Z0|L>1tbWI=g=6jHIe>D?A>pF7+&R7vFSi1UqkzRUf$TWT39 zX!aX#+`{+@qBYF+(09CFhV_o=i1|13Y3{UgQdZ<3Hq2Nc))O1~nL`(br$lF! zv6p&VDzVJGGV^2Fgs%?U8nQ3ozU^D{L@u7B&>o()g}>xIOP`%#&G{!gA5 zyPw}LORy(JCbvrVOzvoPR7O5IqA)FyA*bEXwnwOWSC`~Xo;};m^kAW;T8Eq z?W%&lD8BIJ0;bsdg}e)Y9NHu3e86SjX4Xo!JfGXfI>wELA|c+CtV;8SaQ!*>1{>}7h=NoqZKKOs-fznjw_ZEVu)caxw0`Q+O3zurtvew$q} zzg67yN(Gl$;VUQWQG(Ba7>U2luJ^SQkEUSjAJJX zL%8?MJ;MsiP;0C&>s!-zlHX9@1;$1~I(?;7lpeSjx;8uayZg!<`&z7Ep5Yf0)HrBh zaKqre{#|^gnNILUbfq>_YpO1%pL3Z)zG0^IC(NA;;pX7ewimtwe0LkZRHBQ1$@wR9 zbBgex!@HfYTED9K#wTGzQpdDmna}bnVxDr}Y4*H!FLKYOHZegQYJ6_=HEywN4X}m$ z5gZW`8sy{u*mt013G=1=IouF8Sx4{AIetKRY4mO5$Gao2Sh)(tDG^W~lH z)po7ucl%yXH`yZ(Rg7+{)8h%yvX#ejebp&XQ-*5a$j972QllZq!utK@d){2vlIBCr z8w^YME@}sNHRt%k?A(XB)&gTuTNhPMs*_cT^yVUjKMcNxbAsE*ShkqXh_}TZ#zW?+ zK9$YE{2F#Mv!0$K|KOg{6RaR}YAw2-oy#s}3b;mw$OnjuZo-5Kp={HwKftvL& z^IFE@jJdh9iv8VH=sxkVah9ovg|TQBlmBb~LFTX6;pz-`TUTW{NR5&!Xp7lfbg_Dc z`IQs+JBCXlVf)Y~S_5UE)Jr+8TIg`@H15*>!rsQJ(tC^MQ{B4FI9{m8R>3`uf8??5 zGwx7NXV*PvtY?hmPlgHYja`j?#`|Iyp^o7g}xMYUI8xUsLbME@`3MGk#^ZgC?&E1XDg=irGR=bRuu2%AdI2Ff0 zM^}4O=V5oIdx9Ly?C19w4qKCaA6UCv>zOnayltzNxizU`p`z;MAb|{(t*)Fgv)8%D0Xig{2E_79O^5uCuTwp=ZCdi9O4n;=C(Qps~ym#JpG`Rk&stZmed^ z5P<1WQ?+tHehnAih}Vpw^|a4^pFutyd?)$Dn5zgo=}K34(e)fYvs7m9ocDRZ7TzvS zaaLCE@K4Px&5S8iY%Uo2C+sqHATSgwJ>4A{1wsK^JXfB?)fT1;seCGPn_Vub;t^8^ z(-3|QIij7Hces9XuW{E@E-^!x%4&0Iin^ZZX!zF9gP%f<(tcz%?n9j>Zf-WWoW0AA z1+p*_xX_dAGI6yiu!?e7J;KZvzA^q}SirC1SMa~Gb4U(p#m12_^n-H1kx{s&=w`9a z(~NAxs>yW{%k>qTnhu+Hn(i9f^6SWNQpF-uK}O+MMcKun&JFg;&S3REvr%wbj|N<| zW&57Bq=;$a3R4ABW3DPQkG;kHC@wb67H9KD)A!cD%{Ie9t{Q!+b|Jg9?_E{w2?b4Z z+T;!?>g<^8T;}1Gu5>x;!_TBIWrva|ulFbp#$Lt#!sVkz5H~YHjJDJVB4(v|yYUD9 zEnTlj?wYRG&h>U^UX)5luYyD8KW#jIDE3Jdp7xyzvVlfx3 zd~m*T9J0qdTY6eMgNpwtep>8rpCsksp5GyMmzZn(**MtvR@leH3ENG}#U5NXkVmJa zQEFSVLw%(9YrEt*(r|Se?v=#g4nbeu%y;2`<3qSU;!HDReq@X{ekW!M7scO=M~v4D zUl8fZ>Im9{WrTPxORFZ&^6Yk(a@TV0c1&@aT&z35vtC|6BEBFI zq%jOoA6jXtk9}=X4`;f|V?W~hMVrMBGEMiX;?u^O;G6FI#@xg-*AT>HOE#yy_$T`= z>AliP9<40W{!;Cp^S}c(cHVI=cBB^yacyi61J2bFG*fK*fZxPe^^Hj&NPxejO|dFohHcJ{;r4RZNL6*NbVZ8SY7iT3p`20HXi4%zkDt4lbGgG{ z*9ynxZ!J9PSmf&BNm4TD4CVw^%t!I7g`JSOKV`Hf@pMUv{MzmOs9JnkF5sc?=>rN3$M%3&!{vdFyqiKCHYLGkj!)6OT#D6JCnn29D^ zX%qG+(~`siC4E;jDn80UxkxHh3&}dRDPL1OD>gDETP?mO>j2YUqau{yT98&sP4@st zR^gZVC-RpS&UUo$oTo#DJ*EP4NAou0PP5hLg7pyA_ixKzxK~LN=@Hf{bP+l33;vm< zRM3;4;DEP&cWr0=%{OmuejEF#tUZRB^k39`1o_8XZFtZM=8 z;JzUdn4P5Z24N4s(C~+8rD1@O&8uQ-t8AO(ciH?zbPCJFKMixShW(I#z%(X_OaMEX z8x169yz!{XVW=w{<-Zn=8Elpq%SdB_aGbxxU1g%#a@-H>DpG;w$b+5DivKG7t>}!S z(AC|sy?C%=mHVaVsgxppEnieB%Ud1m3px~SaQM1MJI6YnI9h`K3sQ`9PQAr$P$@XLpGOvJn9AYSBy3rTx3vs@93$dja6Dh0_orYe<8;073y8H?L zfcQw1`Ffm%Yr@C#Cy9^x*ke|v(;1`#73CqaMe9l^?tR|YV$~q|uxo!wW0 zF5CKGSI`6hz5bH}I|cdq_qG-pssax^j_XJ_X-8>)ZJit^b&?Oue@bmU3p{m{Z1guY z)gtK}<}>z3wjI+-TZ8+ipG!Zw8adlLUf|x-CHv2X83iFliABN1+Z>xc!Rq()8dH@o zEi4kgWVdURv^m-U&whJV`x-m%Zl~_W-Q*tZaAOCH$Gpj?ao5Ranx-fiFVMA3T1W@* zZB4t)=PV0+oxb-ijm#4bSGXPIg%a<%?YQBH_e{|Wxc)*Ct8fnuyG$cZ6@ao07y80m zc(o$3_;0emHjJFoLg;9g$6dEIsBY3Z7hjQo&GskpxLZA&oh;5aSGPPh*YPQB8|eSq z*32i<_ygC5w3i>)eF~fBPs*zV5Q-5vpD9hKfmbv*4n-vW~u=^2K#&n)+!?!Qn|+?1Z+KKfSmn)Wl(gLUAJzE#r{miE;= zKxR+WRwxfV8S;1PMP(aZ&rK4jag+JJVVO9`*x9_(c#tn-ZUadWKz^iIS_pHWYs7ue zJg1N3hi;?XS~=~Ga8`ABoME0f@-?LlUC2J=d^nZuDkKVHxzfU7eTL5-L}b~kEYujS zy3$TnX`*UVPt!WgXf9GP31j(&!Z|*XeGFX52=*tYJMOz@k)_Ny?nl195NnujTw*9C zI*e1T6D;%04~$c>_OZ-7+Emdr$z0JS8XAc!#SDH6Gm~VgYvr;^Un$jDyLeRbEyo&H zZE2W1UFs?Cp-yHJ>)^KWmsy@E1!Q?S&7s_o9=TpR8P`z9LwmGik@JLek?V&0iTkwc zo#(z1uN9H6xFg&qwj8^MbkpvE>h10=j+qYDkzqgKuC4|!=lFd{_ZR;z~0W0(5K`{e2J0jlwbg)i7!K!A?q zN(uM)^87dKGUheM@-9|JjG2R|Vl<5BPjW*^VmPMz^p_#UBht z!z}Td_`T_>X{_*$89{mE@g7PNIC<|`_qdcP(*(|Cm@6}j3LOY}`QMaiA{fSOg>&q48)$#}Vxg0I+agTMa zaW!=Ivxho9xa&&8J!hmr${OHz$3q_%B!|32Iq7cZ%6H8NBA!Rj)f9E4_EHN+H&-@y zOnksK7mD}z8^GKu%v2JO`M#!nke^C3r7Oy14G2EQj~mPV$VRbl_9~yrpJOW# zmlmqckyl8SagTVE)Y^60`O^J`GLHU1zGklpEyYvFMq{`d%no$fM9|-r5z-C!0rz`( zmsXD~gEpJ8BUl@(Qb)yR5+jhv4pPj1$xq}Re4wG5;esK^P+BMtYkbIlG-Y-l^wE2x-Rci!+>eB zQUe`9qJcx(qqPFUzm+;yjnmp{p)^6OsUC*Cg=r_%{i>{2c)$T3VzzVnn0eI}e-^$l z6bc);Z<#3SLqoJ#a++te=cPxHeblMwjQN@4FxMR?Sh$n0;6l1pp6Pz${Lxj)J>U7c zYqM0Ino;pDG{%^Qnpzom2p!oTbh46<{+UtsruMatMy^uQ1=XLa#?Lm6H?PIKU=})6 zuCnpeC5?AC0WZ_#sq%Pj7`IaFWNc~l7;WYN({I8m*2;v@_wwKF>h2#sccnDBml{Za zVY3Cs5MkJDC=d&UHoS_;dcIO#_LrV}_R4pZ+3H!klW}9t_!oPhu`+A55AqbrCQX(0 zdR(5lsudlke#}9(Js&9ym%s`T%4wPR>z2yx!e+IgN4$;d@9=DZWA*6{D3>yR& z>!HoGT=e7I2U6&eQck-A+dIqF<`q;|4&f^Q12>S(W6Cq{>G#@aS~+x(^#)e@38}%p zXFIUqhF)!)h}n2;EHk5WB`GQ`HP@E*Zhh zXC-baKa%UsW-()!Wn>kdpbgf}XpOY-K!1x`D*XT))@d3<4*~JrfoNJ&bcYOJBiTgq z8)?gk>|>^oEJf$!4l&8ckwom<$Kz{Mv3tM(k2u4sxoaq0-wrfpFNt9R5dv>a_Ut*6a~b_C`< zlf~@9oZtmf(Sdr7+{UN5z#l#X+RsL70w?M~#ImF3E-^=;2^~peR_dxwYF(`}BpV3{3)BZqB|DNg2%1lRQ2hfmfflxPSQ_&Ha zrn1_4Z6j64SfC99nRU!}%mnmL6fl1>7nm#L7ogy((zDuaZH2~aht;wg{0$V~P8$%s zRe{H!j_Q1c8mwJF|KDTEF!PyE_9wPHcY)i?9YaUPCg8nW(DB;$Sby@URe<8G3T$~p z<|T8PX^qXwd`ISx5x^OSlKa4_m7yC+Yi0tpoDM{09=VUblW{++94$rXYn8Mf=zbiB zRozH>3muq4U>}9#G;o$bk*7d$&qNo(5Unh#t(w|Mpif%^qu!IbgWS-NYtL>59#_wK z4z)9S2!gbOS|{N87SO$wh~&uf)39f^fTH4U5|;(DK>&T#ja<&u$P!&%q_AC2!9`vr}=BI)l+H>XnYzl zbTdf+lT9v=eA1nXVtxTVL+M@gQ5v*oDhsT$jyR76Ui()Zdjj=KI5?@K_EN{FYtgw- z4#;2uoqMZ*)I0@@{6g9r_~*I6!S=vsO2dJxoCVagj;#IwjB*G%Y(1nDGY+WJ-+@LQ z0sQVJ%Fx@|Hth;9qkX6idfW*_X#!~gY-)4(*DR(jEbB7Rhj($UVZe}H0{XHS>4RR_ z9O4h}*Z_>KfU6urmDQYX0V1~y@R$dIs~rgq+y*9qs}Xiv2u_{L$Na$f`4`3fk}rO?-RGz3V=J*b+OX(D>Wv(XhX8#*`(9BD1`H@eEl zpfh6{x>}00Ho)zk04}o$u|qmROkHLX?6wi}41EpLfm&?_`u71V{}85{8Je%<19|&cdku7GRa|!|okB;`cC-=Dpc#8qPnvf^xJ{}5BXoC*v0QhfBd>Rd2=7HKJ!1hjrI-Xt^?aU5qRJRz;K&Uom~MI`4yOBc_r~2sDKL}*Gg5Lio7-JYTTo=y;r~<5S6vi@_pwT7t^4G-JWr4TWdq3WS zpTB`EUj_M$1md>}DicKu2C6&?c3+V;LGSo!SV?_!_b-9HYb2QY9G&7(c$CKr@bne1 z?`B|yZJ<$qwnZoO|E$4rnM6Vqy#miY04=YDC9NXgV2r8Ye?H`~3~1*0u)=oGx-TgN z^8XdCvjx7g1DNEe(18G%27r5}qaS7tkL7=n` z;pqT7;JZ`sd;xT0gWj?DJP9`PEvTOi-x`izCIL~s1yTP9qW|Bpqie_(yWuIz;4>pJ zUi}i?`N2L}bUFk;`q3p4kAvlQgI#xmUFgP_3QT7~Szb(R(#V`&GN8 zC87f>3eOxEi72=R_Hi4M&MWDz@ILv%jR=yDIO34U=?TccsVp%tr%>Ra^Pv08nsY5b}s zp!V;CxUdhX`e(=ye*;^;95G@5`pkwSpY?-h&7-SfFK5wbq(6@$7;>)*fBhCuo45_c zdLYvn&syls41`ohqski2^ke$seJEainE|MY+A;0X@z928h&UI)$fzN9!)`jl-vf~w z_2{5K+u{st{UqY#N!a8PTyqxea(zi=xQpzm;kxB;kowFpm__*(0XXFN28yeeyT@u@4a-WpieHPjF>u%deOE7~1>c@trE zebG750g(T$Wgnk1w!&m5YX^gEc^44rf|2)oQ zFzyJ%=>>>fi(qTRAlKHg$4Er|H26v;WS@t)>H{6dVSh8owO2`w>W?Qwd6&LhvIt^IARu4NL6^$?Ls*WlQ2w9QR>Lm!Ofw6!$d#b-XRPe(g$@rQ(;i0r*^F5A zJ?j6-u#ZtVY7;DU8_qok&Tiv5P_I#kyhUde=8UK%@*q8eEUWh(mO>_}1S*;#26jel z9RT{LAgfNp{}G_+OVC>d6;pXgEDoRBgVJfR>;0(5pOX)W>N%L>UB`@bGh+8Vux1=eImc66Z+D+#sP1<3VRWH`M$cP(Uj z0#)xVcuW#rZ}7SUYd(yOvj{Pu6KcZlcnyYS&xfA2!cMM0caK5&OXRKB$SRqj-wsOk z-sLZ#^Ujd;Fi3a|GW;myz44Iu6lA^WC6d>xg+ZWP&)`*19qRdBx0dR#tQycm1ag@S zx#>@e`UR4hiMn(Yu095p^CZ+G3qbc;NN+2)A7QJyb??Km=V1{Skd1yrpYSng13mTl zb{&?ccPHk+PW?;jgm~C!2YAmwP|*)I*%R?W?^@QgbX#QJ&iLLJ+W^!r!=T+RIJOlm zx;88+9`U3+xcm%s$HI~$pm`s3UFklX2l+jM?DVX47TUf5A3BHkTj1mget8Bf$S>(1 zb-}Cj3SB?D97g+pM4bhgROR=_Z_Mm2EDA_ScL+#Ir*w-Tii)CQfr6k2VxuT2C@PWy z3Q8)7ARyA+AxL+3v%7Qa|M}kgmcotrH-t!KH8vqNV}4(rwBY=k=Im0wyGk1>X}xCXAAS`>hF~)Jb$Z` z$ogl2htxq$YhBCwBwyblUxT5ksJR5W-ydS0TiEowtXOAPDShJ|XZh_~xH6r3&4j+v z>Cf{}_au7oG@q-J&N1#wXPN7<&U)yqlUO)p~ou$3ar&!#Lde5HX)$7?i^&dLRXz(-p49+l6wPod@)kFoONtwe-5DQE?~QL!d9oiS1jac-G#U?5Broe+}Zr$TI8ja2&J<$ z>np3#mh3od2uu z-(A*!i=VoKTok7Pt1j^1r!KZG=n!k35Phy7f69~3#p z<8*lS6Cr($@%zzGr=4Y=?}S!WC^aMD_ucd2Xvx*KUccM z>ecU19Rl^qIpA-d8_&sS=*+fG%zM07C!%%6JV1MiKkvZPSKy{&+?DQncgWkKz*Ri$ z+^j>CDi3G1KodL!H_E?~znP0qHbK=6pXl;QX?&u(M(Vr4HPrnv0srfSd=RcI>dTlw zKF+Y>!%+ArKh?`j@}l$RI@zy&kV)Zc^;yy`KI_c{ZG{lj%TqnA6Ws3!KIa1K)aR=^ zKz`O*3HnuIe=8vc#aLtZ&`wz)wut)vic_>U(L?l9Z-vV|Egrng~wqfyBwXm^VDs)vOT zcy_Mw5d2vQtu4*ehIKr_L#uf##0L7U4UZ1!^fsV}$M`PYrN~7Cv{y9{raE?H`JcAA z$R2Hn0?R_Lb~fwy5z9Lo#PTY~Cy=^E0a&j}??HoXA6+V`lP~MeOMcUZ?1*x_*e~#C_`5aShF$hNQ?gOW&tL zM_F*~m$ZCEu2=(JtIs|+hgPCw6IR-oXM|vC!2jiVT^SzbLP;$fewUvkO_n9pGs4VQ zxR!LlC3g8Fd?ZOdf@B;Ct%7X-ULJdp0qM(wq133GiR{-ERw+qWUtHNC=~xq~m6S#u zG^Ep0xR!KeqI`2wA7I^#ujfDc+i^=hoUaH!cI z;FF{q)xAU=R;1l^y) zuU$=?L~;~$7P-T^)kVb0|) z)B|-sUvoo#Sq|54=DmlY{!Q*$wyzL-ToXB|4;OSm)?dOqc$1h&-_TE!$Mph_r$P>q zma50f>Y@W8kD(MCl^5QUE>?FK@xpobe?R~|4mFhG z8*Rhq8-!B-5IRb6*&Lyis?W6$Le%G4cI^h7D9gGZ^dt-=U41a*QlY5*=%P)mXGysB z-=XEt{I-ylu3^^|Gu#U$g)Y@2NWF$qLS0aXmDXZy@@C}MNGmmhZq-@SBgj{c5Eax# z{v>yb$7t29kiLdcwgUGm4@~&ppg+)EcvE&(op_FiHs=T{753Q9`qcGjC0bJ&{%@%F zSGeE5!xz)>z~-VqOg&Ly<5?6&gP`{qiyr&czr4U@8oI(~pTM^pRTx%OtS{ANg7W=OF#(ZeE zgm}w(9_lZ&4GJDWdkNQQZD&LKsJ_eURh}hL$8pv ze}LBsYve{tNjK+)cZ45vg*rg}(uH5u!{;KaJjYeF`%zzJX|Q8F4)GVEQE5`?1zB$O zdOpIlr~k(>LX+wMt&UCVj;`Goo|Hxro>ONcAC2bmyS}TZw_YO)F0W0U_@$ARD=7`X z$h)l{>H+BhMVOoM^+?FYWx)aJz^$I`qOfqE&nMjtb(lH_3oYG*?pOG(X%bpf)N@{% z_5{@33l7)~wGV~bS$Oy=uaYL!`_#K#{kNrEFYt_dE5$-BEZ?sHSJw)J+8d|Y=I~;CEcn%OY)`ln=oZG@j`#sbHci!oYs7WtDlFi;&dUu zll)&O^9HXH-cxoWH@hmoT~}3yBu%}Dhm^^}_Z-{4UAYD#cIIdRf6L zTB*mqtGm_NaBt-m$QDVOg?cp|<#MW08 zq0t#=beOg54_9*l&Q<3zeHRv&me6AtlD`>Q6Bbn*WFLP!%B#e^LX5J1!plieFE`w% z>kEGh$<*b0byla9De_VrE-23GBCl0>xl;UI5H8NiIwMb0D-;5iUvL4cD)yt^T_?iT z?qdc2vW~4hWH*HgWI3;Lck&c6bIk5YPhn^$PccIH@&_d^n(`q}Q&{~hbW^9Z9Z+Wnx^V>>av6G35v#@M%>{fd zyWA$fQr6fI)UUi{YR8M+G-Jj$;h(?m-8fbf=RVqHw>}}BU?ZWSEc}{+{ z?0a>zXa!!cC{}LxQ67yjj{H^eyd?J&Yut_vT8Uo&16@853^E40`2*-gNMsIc{+qvU z484;7xGQ;x@-viGkRKHBU@BLThg&$@F~$C6p=z>ia$e{XQ4%{#UpbSw=;A{)29^;)g;WmHdeIm!V-~ z)1(dM&B$j}H10mWgis41+eO%Ud5V$_?RI%IVFi9Fs`W7ZStjI#BJ8sKx~xdDrX9$# znW3}@B}sN=Ii>#;r8pR_M`%nM~}v?q%F?0^S0AyLAw>W;WE#9SNLi!D5> z=!~qaESzkbG=Bn}8i1}MODnCaE{sK?xYkzxQycIi$W2skDHsuA+Tf zt2C5$R51%#KK-VZE8=~Ts~$koHX=`p;1hW@)4)tc6wB{h$vXe#Zlp7=^DZCG zh$dd#i&%-5Wx4g)@}c$D>`0#a{zVam%1~GMFp|9%NMFkkgSEnz%Co7-9y|=?`4J43FI zyfEdfZMZ~MRNX8~!n=>K4n-iFpc^E2kB11W3IDGfu1|hnprI^Gaq(U7L<*%s6 zU{0u_ov#k{8i%N_ZHP*RPg_FC`m9-2N?5T7cOyil&W4JXDpIahO20}vBunbeDCDDP zsQiK`k1x;fJlBmPane>=zw$?smN?E|Bby|Cm!6Fy+0o>iXuexC0Y%T7=u25Gp+rS5 z3vvhYZ5WsUvVVKzM_PZ8QB~~aw3T?!3tFQaDQmYgxB;XJDvI)aFM*sE70pCbW%J*-AQ+_&W+f^ zRjg_$GP{EH3*)cj`R)IckqD6pn_Ohir8i~KJn)4unrNE~?k>i46}?sOQQ5=Cu|Cb= zx`sSc741`=dnpmhsiJsUptasFT_|}KXDL1}FJmWMy&9XXOpiRYd1#nOn{4B6>Z>XV z-i&m}$}fYa8+b-BlikpK5BH|%)J0aQ*>73l?b1+6nS@$sK1IRWV8P{`>1S&!xgLry zDRxp5n$}{y%3v0Sj;fted_+iCy2a;ng=){hYx}vT_EvgYm_zzPzWC9Q4~5!fZxmTo zOhs7!2KTBNKYD2LldMf%s1oJ9ib6x>nG{78?XLdhq=RH%KGb>s5@`ZSr7-@*P#+2VOG*_tmaHh^nHKK3a@|7J(u5YA zB($JB`$Mcm*`NCClpkl~B4t5xgf??Qrzn0ZyD6Vv@ikQmG(qFn zW=-<{l_8AKf;dI^QPh*)sQFuxKdn=CUOHZ0$2N4tHrBL*pX=b^zr(nbcu01BIY>-d z&aLd7yn%?TZ?P`%jQpl#?*GBis$}MRLW06r%087wuW8K{;CkT`aZ@?gC|p<@%1R1_ z^UJ{5()Urs%;1ibvG~e{$Xis#E1g|E4d?bpLy6?HZDN!b4YbXBdxR_;RnlROmh zn)*OTa}lE%W2(RqB1wX4MUO1dG&?I+{zqMl#qYA=MOjY~)>SMSheMlvR~yt-P(gUg1Q^t}v>sh4w%wOBpbI7uuC&5egKFRQ6BvsdQJx zxJ$)eg@1(!itwd8nELbve0pS4r6;27h;YCBnq#a%`J#xU#Y2i_NG8vRD;CC4R_>l> zCSO7RxqLvyUBw;p_)BnQMTKP1B7a*xwD?r_B(91Occfor^_91|9O{&4wwWRf>f@~a zl{AZ|r4J=C{7>QN5xx&KU8%jD=bti zOe2h~%(2jxw7a;Y2#=CHlx>&QDaP;O4C#{W++SoVPYcupE$GAxO4)hEq9RSd8QZ!g)cCtX3n09!x(cmRSW(eFc`L{G1jT~1 zLQzc>dil`d>ZxB5ORD&|PBc`-+E)vI%I@EbsLA(JhwiA#qFMO6u)!nTjr4pJNz)!m zf1G8Vhq$KZ2*@wkfDM(;pm^0k|9dRs_qEt6;Q?8$o!HuatW{ADAsek#d@A14j!SdO znu^!6qdBDA6=#zNC9R^IQ#pKvN1&=QF11;)5S1{MDg`t}F(2;~*C>*%e9xf}L+*uQ zo5Pi?0i~=6y_mmQR8zK~fw(RkIc}O;H(f#YyCUDH|a_ zW=;5WWw>Uod^OLkg(H+PQ}x(x)-HMqw<<=VuKkisVGhOrN^(`rW>EY=)yz%#()zTz zCj8#$e^ji&3RSVfY28q3XM?|GaU_AVFOdvs_a&{uB%+V-lXyVqI<(qV;R@HXx-G11 zA1l*7Ukq23#;53?YG`ELM0>WMfGJvwEz0QxIpm-Ar1y;`rA?v>xE2)yccTGD0{}<&I`FOA||<>lxjJ^l^lUWbc#%k8G%XVZ|OaVM8cGS-~h%C3;IINvh;M zO2=!hqH&amiE&kB#U$VyupH`*OpV$n_6lZ#wi9=GbjBFwDX zA+1ITRtQNH)NYDvgru~4vO=j`Et;|}bRxdgm-ttirU*fZM|E|rO(-WqERo&T=jiqN zF5Z?6SG}RGCBBJpP_$a@i9B_!_89AwcPc%g+DTQOMU;&4IZ?(^s8TTm)l|xVmW-(y zQ9LG^Ns6Qqw71e*l5<7fMY+h!mv)dw&{vdCiSjPe7<#Tq_;+av)q?2#s@>8&6Y+qe zZRgqjsPahpb5&2uUj2iWQcbST_pQT5C=MC%!g2N?iV{RIPI*qzBw|UJ_(E$J?IjJ0 zr79+;sWau!^U4Lu>PGeM(*3dlic-h|NXJGoJK>{<&JiMu5YoLksx*}-s~nlMY!vZT zj8v#e+Ftl9LTHj|>61vqM%GjaNz~A(KWSOnQu)`aPA$tL^5hgrR3=wwT^XMU#|bw^ zdP>r&&z7g8JXM5KqIxpf^#8D+s;1FHzeT7-=wvT{lNC@LDXK@%1SG9cXk30$BuSC< z%c5!@wMJ=tMe_BC)*ihkx&!@H6>ySyNt5hsd9o zyvdeE8e6$sVZMl-@`ja-)GFkOYDJ2-MHWe!b3Gz#t}LcrFYhfvEK$~6KC4iaqH)Sn z%6=*jDsN1C9c4wuc|u8|n=;Od@Cc(z-%Hy|@*^!Et)kyWSMjHGfOs=nw`5uVnRI|w zD?BY)-is2-(~dI2!rVgKdbNHEokm(!h%Z6_(&6IJNa|H15oMcXNuwBrydc>gd1w0i z|L@wHDDD>h)E&u&%JxUx5JfE``=eZitVx6wr1>I!EjuThs(6uN7|IAJKNm&aBzxlN zgP}dsR3-6+eByf%erX};polMJg(AOKcc67?^?E4VA5Fa%R=B5)wFlx2WisWd$oqy^oYDm<3w? zDqrc+C>Iy;o9wFiAd2%#Uq!RG6xkIX{C~-cswA}65j|z+BWmiqC@uboqRY`(6PSGm$tgK@s+mdYMU?Y?vyCPYTvPY4N$K0=MrDx#mF zL&WLY5k17=vUB(Fu%dFpqYA~c8bRIx7)%T||Np2#~E~+_@by0jr9*Dy(%l1by zsA$%`_?EmJ$%CxB;Z)kAUFk~gO%!X8?|+$lR(w%sZBFqT@rhQWsg(so zZb)K1!jf8%&XtKzlw%Mlt7fYx&q;12*FqIS|DuH|!=z6#^J%J&5^j*^b`1Vic6V1u zWt}~h{*va8q6{bayD}Y`A}L!CSws(B6?T*jlXQt!qo1lNRTWa1FdC;EQyJnpIs;WE zT&b$zbP`K`@B`dM6fssMg!WFS(z2s%bZ$%(*pHv1-2D#pn=0?*r$rv8{3FFfq+1WM z{x#geDQK;Tu+|w(Erk$JLUr+?vbavQB-zjfrCE_q_6cJMaq7IW&Nt}%OfGy4O*GZ{ z5=BRgLpOPu>P&GyT!AW{bzV2p`idf4VO@$O9AceoiQFltubS=cATi~nwF=?kll)&* zFUG_RwuZY;*kh=1T zl&MoBQ5MJHjCUbc`!M@w!2OR9Lu`*tl6@-0v#K%E$%p(<(c&KDvq{sI#&XqT?W#U3 z%O@xwBhTak_FPd_S$a*#)LDXKtXEZq`&ii=D&uD2`JRUFJoZ$xIAh#xjCrm5pzdl` zyBq%a2b%s1g_TdeLPb_CKED7fl=T;)RP-`E$jM5|g>+ogp0A>}8=-PK&nw@2 zfj%q+S%c1R-U<}^y~{_*Izr0@TXjrx37g z?^*6dr_L0Uz7kGy7WZp63&DX^xUOiL4}9JLsjkVj<*Aoub)})QgUv4l7gQ&v+?jI_ z?RZaRR$Uxvzr{KfTi1!d1FY~EyCsxyADorO)eiCZw1*N#7R?s=|A_;lGdCX7P8%U>syJ=S8I#W3(|+#%Bz#q#wHWYIDq5i-TH*;#%T!|@ z(x9|za_2*-<)Fl^+(9v}^*FLv9obU`NM7DCFys{KVi$0K%b@(GP$E?!pa_c))_GR_ z3n#35@|u`&5m`G66*7hLt2wzbD6Z3ql{sy(2r1Ar_aR%F%-xSu^y;3`omD-`8JuJ zLTS%B&4P>O5&J^Y`IJVP#?tTq-Pk7UoYBrV+!l%48Q0RX-#vHxhr7v%>)x@Tl6N)H z*PR+@0KoyDj{y#&Ww>tVHY+JIOkZB#!f*rz?Kj#Pb<` z+M5{{6MNjEX7*UCq>?GK>C^mM=837bvkb~yJULHtz2p&bJ6_VxVZBY)<;Jm6@eQ%n z@mSK?So8R(*fe^CR-nJ&r}R8~Cm3Tiwno{X#Hz-J#GAz`+tcVr`!iF5J9;*=9}*e; z+=E^bKaK8v9oWk|bUnx)O!OY`#=6^`QO>Z$kc^~^uQD>6*ZhzDzD#@=?4B^8>cReT7d(^GP zgp<);fA^Tv%kAW?3dYCBWEzy5BPo{YQs#E4UgpM`PSczJYI61D$LySRdz)hpvE102 z*e$ELwaRQ}-Lgu=n#3+yqv#Af(9dN2W!;SxO)8l5U#x#@QEWwQRIG9AMr@=#!0Z`x z_x8DSypCQOZ>QVW8J_W0TJH2g8ATEmGj1^5a8<^EL@%b5v`8C~_H6o->3h--rhS#x zF70UgI`?Amnz@IrMI-5(m&ecJws%YTXZ<&WK7RGYob4le9Aa zO;Xe3-N_#($C7NbAzf^8aC&YDa&*VL=w~uNw2sjyy`cSwv4RP}nb2{`W)0(#Kg~Pg z6{3UXoG+zx|+H zpH6;_=!L(FZiSBbaxl;M(0rJw1h2-b+AFR8_Ip-8rtE&_#T+9eE$xZ)85xf-qhq&I z*nQZ)68vRuw3b?v?2pV8V~m$SQN`KmG?tw;qL4H&7irp(#}O!_XF-ur?)fK zahzICC8uNJWhcci3-7;U&9>%RwX9NhEvuh#&~MZW&3 zFP-S%EOejok9do`R5}D#^WOLJdZpc#&fke$i60YHo%`Ky+#>EY_oVx>SHv6QJn!5| z>~P!q75&TZTh5k5clW$MFQ|mJ?_%sWUuQ;A#pGO>|Hyng^{Gs5Y!vb`#JXe7@Ox)$ zzI*L%YPy+N>|UZnZnBxl{@l)ybR;Qna+#DBNel(Gf3}vG@!(fCXW~1@^ZN(0trcbi zx-fned~Wu$FVlN@Y{q|gs-&&SnCWbD<}*p4J(Ciqr>sfdY+sGfiO;w8_+!&!x3}MV zo0&w#olNd2H;=K+`Z1|ardgR@%Q7K#My8sXevRjd_qNZV)eg|P=@WV&JrgW5I>cT{ z>Yq|RbN$R;W^RyNCBDnPU>#&KLMi4Tl?4NpGzVD=VteRId^EPzqGye}!YS-bOnj1& zoYv{isXM*XHfGFWTIVbDx-)~r-bY?xk@i%^1ZO;*_eHO@ zbayj?FhAHx zgUuUDWjq};^Z)c$8}qDgRzd4`t3zx{{K@2B;y<(B+pGb0 zek-4GgI;9YgUnWYJA1r(d`~QEEIIaU>}G6v?B!S|dyZK>NO)O-Vdi78a`9&IY4M_Q zD>gY+IM&KOX|@h}xbqXwWaP`poRN}|p3y&}eTJ3sYWjeTRHwRg%Bke8bUtFfa4DyZ z^Qg1h>E*O>t|fXTnmg}%r-R&PZY!s`$(TT=vSz^`uYpr1u{Yy*dZmo5X@k>OCq}!e zgcom^*Xamd#oTQEX0MFxjGv1$hA}x;vXitd-q*euEcamG#O#a@66X`6vD$$*D41wA zwkud8=p_D(ah1N!!(+o@Ibz3Stx~FGT9?!<_My?q{lQ(4Q73K9-QVwwy1PF88Gnv_ zCn+`MnN`lY{__||J1WN~XGHaJiDo$dGS#r6Oz1^n>?9T3UIV^bB z+w9CryqoSUFw9ROqc-;Bfz2(jJFM`&- z^gr(7nICUk^l)-AT{Loc=(1lf>KZzd;+b6rFJA*$d*glKiB8 zNmG-mr({oQnN%f~gr@6HkKVc78fS6(Kk1{KkKNTyS^DF~jTu%^Yo0mVz7l^rR>}U* zoNw$j&R9=dlj;B1%HQd|<8}340A0UK`P?o#3GOtqTTfc0EZ=NRzs$a@v4okAK7HQ= zU-+H9qls>b1BuD-LIL*;cZ~OX(8qc$_ICWU_~Y@7@jglGl8VP~*$1t@)?%*usQHCC z(EPw!4xW6)ej8NKFrE?rDn2bXFV@8FYnQUenH7zKehcrm+s=O-6x!4tXK%8ZA0C^4 zCVv%-^n0RB`m8&~?fY*oyRq-qFSqmE{VA3W$s01j+>9(&j0zNjeqR(Nf{}}ld~j^uko69;duSL9!6EGh+V)sVcc)NV~(_TnAwembe%8ZOm#Z@gZ*W}0QwkTHy6ap#R}V* z%o+3r9%g(JH1UggFL>vIUB(k;j$i;?#A~`c{Q-VaW)^Pn^V0KqrFGgGZSRS#ifyr* zTHhNM+;P*J=~eI=d6rkl+vXkhM*63M9!4tjKvL<-I}D6@+-@4TlNu!FNa>aGZOZ19 zhAE#V*Q6_cYkM?-ILpjp{7i?mm$0mr?L4u9@lTT;N!k?875~jjFwr8v+m;C*S2D6C z#$=pHzc2BGlg<6qeZjrp>~>yv&hovF^Pn>_kAl$6@8a+G)_B*vpS?@& z{oV@ay+pOdeTe~y$J~BiRj;o9vzNo~6Fh2cGkd}RDb{fM)fYBP7|#Yd=p}ZJZhVdC z$y?Ie8B0knojfVNI<_sAE#5U=EOyYG88oKH<1%J)j&r|qN4V3xp>(yr>c1PjU^KMS ztv9TO<`naURWVjEsaAZfozHsKx@u*C8!H&!`fa^w?l8BZThw)(bmX?1Kb$Dcvs7no zq_=hwod&<4lkwqTozcM>XJ58QGD~0`vm7>Kqw4#wdS&TBe!-jMU2s39A7>mrv?+{6 z4#FcHOjqSHAe+PHO?=)r;mShhq2P1Bq4$hiz`g7;c!b{Dm+8cu@b3m)j48$&COs6u z)5XoLL`qH@KZ5&)f}#F0YSLwKt?|71gZZ^l2>ewLpL|(RgpSv$u*gr8>O=FgH8u8n z(!`|2NoLZu_~3Z2__f$W%%}L$I%*t&3+kDjt&Y~e<^tm{eA|cUh}JR48VvV;^J=(r zoj($L6Bbh`nmUg=!<_Q&E8f#y8T_H2>72Tiu8nv6tKKm;gSk=XGak(NEd8B~ZHYt9 zD)&?GWv{+B(c4Yu@)Yk|cZQqdy~%D4GHP0#V&mcq;y=XFt+C7^7-z0DMh3m;m44HG z&wBum^q`U7ykyq1?`N)8|JVxquvOklHfz(P`iEd19mS6X@6wZcI-RN0jK|H_t>^5Q z>>czY{mp#B`of-SRkkkinaAm_TUax^$!8Mu)#wh*$KXBY$Ia0BHQS`H!(C*iTpMZ@~F~`=$IfScUHN z+n??iqSIdO;7_Bo*~fg%oJ)87zd#=A=mYD%<(_yC{dNvpFp+3IfQho77v3CRkyTiThE^!lXDfVlXzb5#S&c)9`-%4f!da(DT=f-2~ z_Xor>$`K)Wix>>2(CEoL-0#H1<5K=6ue9IUC~kJLmRPH-!&Wi7fL+$!X7#W-nx6#! z((yAhXt1l*)Oy$q{0306K6|*^c#EF%C$T5=kfT@qZK&7JEI@o`0~q`pX0w#W3+);_ zMxWy;P;5Fo^QM2+wcRh>6+uz#(js(%g?BlY-sD}0?{JDOIPYb++1&E(Qty|bENI|k z;}^4qHNfg%jkiwPr67WR{vKUO*Loi@*CWlT2NUylS<|dNbZ33VY-+YMS}>2Iy4RO^wo{mAGMtGPlbEY_&TM66wuYIL*!drf z!9hp=Vec;{+5Xt+7i*_k%3Mwiv379G|H=Qy|G{tSU2&dp>N0a+FwYhaexv8_FF^zRsV`!0 z#%9?w?Fsf&dj$UHr`A++XYj7y#~a~|Wd@W<#AgWFvksqB+t_2C3OR3nu-RY2l$L+( zM`Jcxp`-aLv9Gk?RlXMsO8JZ3n@nF>=3NM$u{PPvtg)MbL0ZM;#8%l8&F_M}ctL6I zU9YwODfjalJ4(my;B~sSu0%%L&TgEvTB&y{Vi@Yr)gpkbKv$u=Zk(Jqk)-%hut?mGd?HQ!g`AN2jq8+Q^@&$ zM8a0!tFJHznQ^Rh%fRwKhZ3Xk{a*B5r_1nVZ%+^3x9oIy^iW4p(pDsf2bbo@&4!jvsZnd3+7 zFYsDjv#_z)yT!cX7xD7lCXQYZzAx49oB%M}Wc~A;Mmep0WQCGb@hf$Q5Kk0^dQ4 zbP9g<$9pUBi;~O}L`r**XMKt39<{BOR$nt4b4lg~Q~W{RlkNcLXQzdG!W|A@&nNP? z$rxqEte?$1#w`CPxT_k|G)nmSxu@x7BkKS$yMKu16}5U=!>qkv{BiV!ev_P*g-Lr}G-ZUQO^Y1c!XtKY|E9%X5XS)~CL?*LBa(PqSvEHemB3{NH z%oDj{-L)QL;!u*k-#TE{G%orRy${`0?s4x9v7KN2WacHTCccwlRi^-D0Gj{DPM5q57>uhK?6Srlh9XrXPH`k5e-r+7)?a;Stdu1wMLnX%$LB! z*9?=Qs{PJFr@lMNo#echSeU5GOx#1kdZxB~VO}80vza(&N3>=$qVieEN_`X*0{<;F z66Ol4sx^gqOy3X}8bTDm4wG)0`Hj#6hs_nl`8L=M?1a_d`oMgXjKdmc&b&{IX*Sc2 zhkBiYAF=z5%wC+zEo%0({A5`)y0+yNzpYLFD$@j$me}|7(Az9ZG`%-L~G-ehvR2qMpw)+2D--GTDQY zbnt!O{M?#vZeeoGDmY}nP@dn_yU#1lJkBCUWpfRa*T!KJo5z-#V}lf8JPXL8o$^O{ zpSgqF9$syxvd*Pf@l#}WGK|m6Y}QbFhuwh~(-i9rall{T%; zV1eTFUay1a^{JU`j)0DhgXCZfHfVx>8)?|?X7h&`Xvx;XE`0oIi}n&&NCt<996}N>L5WQGrNR6LU6Mo&(sjS;k@d?vG{v|1|4> z-BwvYTaD2-6PV)C$n3`5`d(|V2Ris5aVui=KAqr=$>wiX40(MSWObdm%ps&cx6zYb zzf08ihS7nZ^kvOicqMNIcbOOA_*I!8@+O+^tTDjcXV!x^`JvW=x_yN#yvJ;zuY;DzS~ugC;gT_1OV;ZpqY<`r1QT%zn@hmoU7^Ma z|5xVmJnB{Pwt98I1AXWbbDQkXdGjG_qt)K3XXYTf+=qPWT%v&${n{Y={7gQ2*l+5u zgs)zuYkvdo_gqjH&D09~I0Czp@UI6CFnQ@;;~?)V15SS491FJkD|pYJ>MdjDVUOTV zBPTKUX2yBY?nQi%`Q{8J2k)kXeQTbXV-93GYhU{re3l$=$v~!@E;DZ%lgJPG{s3wS zPLL(q&;5NHEc6ffO+a!FGTZH2b1*u3p_#=VZ`HTfnrF!Az3o?G_COoIJT&SIitf!U zlc`1pXt5YP-`Gq*pGSj({`z1Nx}XMo*Op9QOLT2{}mk9gIbXW ztbLMs82|qhvnX6?nW>g#f5&vRV^C|NzZtH{;V)pKV@vmfyON2o^@Ghu4<<7{Yk!H> zb3w|V@fgU=tjE3UcuFZoTQj?Pnb`gcIJi9Af5NzgNAN5YrK;i=d1UVkuRRC*Hk_jt= z%@X!|c0cs|GUHF?&pe9P(iLxN3?5olGSF{h2S=En5ecOKKOXwC*w$gj86%xXHe}?m z`5f~cUon__h1Z_!|KX3M9^h`!0ewWo&B|*g8SC)PR(UVGUpjS(p>}W=dQXt`|1lUG zd}z!yw=%o!g?Nv6qu5020sPff%%J)*sP6A~|0dJ&n={MZiN(o>mgz#QYoxi2XkK-! zEHMm!E;ym3|2KQw1z$^HY%PyiTT2@ym)lC{mI@M zx3b&W`6;m~aVass`;2eFwzIi@Ve2j}T#Xg% z&-e4p!T6p0e=nm9ndQYnC%=pr^BVhujSJSR_!&K!2zJ-%1Ll3oy5D>@_yPR45Uh36 zErB2ZVbC4~e%hF3z5=H|&Ak>e-wfLNWBk%g8u*BrJ|9sd@ip>j8bj%Yzd5K1^6ltf za)*F{zVXin8AOQS0 z{4EsC^`h>fCwcdkhJ)`k4sUKT@q#bBx!y59W^^&@gN94m*Vz5#R+=@++H9UA4qnGE z1h*u4WAIh?!Qn@|x%jMU#zk`;af@0^9II~zSk9S7K5R&w`F4~14DjzK=(UzqWfTIB z9t2_iY`h2t9cC=W53&zk)q3CRXSFkb2aW8+i$V%`aFkH3ejZ)L*d zJlAs%FcWYF_9`c{eJbF8c8Aw}aF0PY=4ak>5lwa8dyQ<=R`O@9z~2jl8ca6Jgq?if zs%~vzZKv@VnxTW!K}G?Qr4xP&?92-MhmvUB=~P`*0->tvS?4Jq1%DJU?>F}_N$FMg zjM-z%YpmtH>2>s51?Q{|wh_4K5$am6DOt zrs(ib%p6ujutg~_!vV9E@g5a9U(sFmAXNfycwN2b{+C#;x}f*Qcvv=j(3%L-i{=?( z^Rui9)&XAmByq=TAc+G0b)p;PnZ9%a+8)EBT;=ugo6}kL7pf16GF@&i(U25#G^p_` z6IPC**|xgp-H)-iwW+Oo1bi_PUjH23UWCuyh= ze%lxoe1fG{1~nJeR||uDOlMgW%rsV!4_|M7g~w3bO0s&Ineob>GcHgo6ZmCW=MlFs ztL)+r_pW=t5?Otes9A1v9zJLhYJhf<3!LcJZ zns|A5YIp~`g1&I-JH-8#FkfS5a0}@^2OU3Wh3!C3OPNH}9%&i^N8hE}??~oU&Lii# zhs@Rn?8*wSjb922IfS^-BGz3W+c5`T98ML&S3x>_HJ!Wd0Nu(_i!~ZtQVY$#ohac8 zL>ey>H*W|^y3VY?OGX>!|J?+W4MCdbkbBsGO@Kjc$q`7QH%Ne0j4}IheAh)rRDRlxHH`vV9Brix2Z5(!(A5wb*+Gp zUgy2F$z&GvvUshSEPKoALtdgYzVFk1L29l#nCWI~b19#miMq8$XvFW#6-HxFqQPy{es?Gj4yKl`*a04o8q@&g6JNvDLi_U?0qxu0Css1*s(pDtt;IPPmpn# zaAgO*J5e@3&{M{2u)`P)(JxeQ;p0Fz`E2cI%`Xr{4@E35%))H91SS)22B6?>_(!yoz^J(eFlNXqf+@zreqrRh=VV+?5$; zUtxu|F+a7d{Uc~zj-LRgd=4LA zCexR`MPmPCm)^rWI}PT`${l4P>*ssVBAborHF=%dyHeVqV1qo|#a6g~lfTQ$ z>V4-v?Y`=Mi)|cA6!kUm`x<7YwnlQ!;0G5EmT=#XQg7GNut6GGSi@9w=*!f{t>?bK zf**51_sd2mV$H8G_k5JynjO7>^&3vq_yj0rHdD*y6JxOK=jdfa~ui)i>2{O`%m zyp3EG!0+x!E;6(CpIge^;=JeNr_LiSkx2A+);r%jFOmt)?zAE&{I64z{6|Bowtn-U zrYiPte1)|{xVutg{T1=btN4ZA5((~(XHu0pRcDaZL*{3!{6{;5;{w;nhJG8H6+28m zq;G6%>~3szY*1`$EFNn}O`{gau?&=D#X^eJKs9%$Ynq4R-pQ2y!W^_fC3vLCe@LHp0F$EYpZM27TtG|;cC^gi^EW96|gG4Hi1 z`X)EH#3sWs);vI@q7$<8I5c|CT4og^r#b{=pOZY=czl7b%=PWfoTj(&9ny&{K7$W< zm)vD9uyqq=Nsq&KJ?GYR^SkZbW$y3pe)j+tX%Fb=DdOb0nUtKJdapmB$opOsxZ3qo zsmn|8ll=8i;<9%G8#f13yuix?9@|U?Z3UkE4dX4c7q#tM#P|AH-+^bx;t>-CG!2so$EHyzx_YBaTxjCAH0(OaA3j} z9$#`>p*0-rsO+I$Zgs`;!~@CXieC(fgh+ z18w^SIChDj%P;QDasPm8N4RU<)^Ndx?f|m>nf({2S1XPrJw;uX>a$bLD`rt54(o{` z^)R#JAvPv*JJK9R?MNP?PET1Yt#(vs6t=Hee_5|%>x)<~lC7zUZn;LiQeAldg83}5 z)wAI7Kde^vJo{t2i9HMb^d|YrQb^(~czQV~b2Jfg6GZv}eriu9E1obWBR9jTrKpeh z@{ixqFX(UecCyMX;KxBs{XXW_@=AHW+mYY$yA|Ao^P}^h)5-nb-32DQLOw4>{p1n% zFZUz&9pZxDxC`6@Shr6>@>TGTzXnfaqDD9$R`xEnB6Fw;X-y^dVh{rp#}V2zj`5##gHPqgJS( zHNvW5zhGBHQ}tukeR*>&b=xO6N01#kErZ2;RFja&$!s;tP~9>Wgr`jBi*OuSTfY!; z_n)`X`-{7N$@>Fak=vW#rnvo`AnMh11Tx?iTY#<8xkcce~k$L9F#` ze6$8@MrSEiFuf0o*m2axxJjq+i`XtA~i?QJqO^> zrPgai;~pXg|Ajrk{?dM&3cRZJo78NLGw(AukPAHz+8u*Ve~~GW)4`w>t!mbbR1|+r zF7$J}lhx*j=;L>&Z#l+(K1;r8gletHgKT0xbXIt>8v1G+6j^LcLT@jDicOfzUW?U^ z^}qMJyMH)d;)6uHM8CxM)YBBCW;2VkEwPp=&UwzmXygvmS`rCLPOByrV82txv6JlS zW_0ucyIkyt*bH)El4|;&=P(0+0#yq69Kgj4P++7U~`8?|} zLCv#`UHJ3Okim84W7avd7tyzU(E3lXb%K0&B_hA?!rkv%11%o}UDNJn7ebG8&^x@q}WRi#Aw=6(!HsQ7E9=i%XmI-U{ zg|UUHkMDxlCWEr>aLv6;0l!R6_(weOxoFt3*wrE4i{77J0U~b4sn1!8&3%<;+Ie+3 z_Vl59-8sab?{ap6MLuV?eQWQII|17}%WLM}=Qk!=-3_a@$D2nT#ayYWKLn{D9!GS;Ky z=dM|GK_@XgiFp63OY!9{kMLdbImDcyd1Id>*H}))51lj5I7YPJ&wS%<Fg92JZs|sMw++H;|)k#kD%4nT2Bcn#*uS7{iD=U@ zXq&^RPE4R6w3J308Rjg0XKg+hzYt!`7S0G|H9jXModbO{5DfSvF*9OxL~Uk+%TG8( zoovp-PA2CtHR+wHYG~+ObqZoDzHnE#Q}MKGfzm(6x_;_+L`uIUda#`86CW;#uk}F6f($>Rwn6O<6ZQaJNMg~S)O#cuOk2t!u0J>&^xxuUo z3a<$E8i`IDNu^tJGM!(rvf|`Wwvk17hf{V#f>i1rJ_5lE;S*j&dld(z6y)63a^LXF zdK2C1Zb`SGyUQ8jJm8#3yaNvDkf@OOgwqZ-XGK!+Z4Z0-;G9~-T#5xP@HB>b=iFRw z0cUjLxx}}Lx#aU(l85esjQhq+{I>RJmp9nWTh?W34bt|QGW_t<_h8G5=I`v{CAjG+ z(8LNbSj?`CcYlIY8&8wZC`3KpbaNE3z?PusrsSi#5@Q_8d)6U6YpLoSOboE5mEFoh zB>p<~>n72dqsYZ9;*;aO+EoAbMXCxTpTptqZN!=CAybcn7P@fy)F3u+$oLqV_a~!z z5(_ebXLh06e<2E#pLpb5PAldO&--RTx9q`8zd0UbLwu}_#N3{v%IR^?dOhlUvUz)m z3VfW%mMDw`FPV5dk;%E`taYb*FOdr=0^b(`LH>!Q?v0+?$E@*dK{-vmM|f>D46st+G8?V>hJI$hap){Gn0Hj znPLM>eu9kX0&4%RL9ZEPRVsk*nh>>iv17fN?>m_4s$s;zKSEjyvbTR?DJoF~cnS~V zA@=keq@#%Gz?uwd7FUS=b>+U2%z9|L)#NV|%$F`n+;}`%JDCdK zv1G+NWB)5-KPFMpkjEN|hTn|0l^^^0Ir_T}JFqX9LIv^1)WsaZo)on*S%<(4)$p3T z61jW>kNye~-%rW4JO}z-1X|kd{q9xs7PwvA&79`AocI)}d@S)yqI+UN;zZ(hVuW*v z+Ust3eSN@AZ(^kfq50ZjH6QSgQ%i7;ikrdiG3v*fl12E7OlnT^q`ArJh7Z)j-a;Jh zGczCYX<_NZRg?*J%HVyFATayi%Oucg@t1?LYCZ`y>;uU^OENVEO-e#|XcNNdABeA3hh_(*J zrj`Pw)#3!iED&rsyMRT8)I8<^phhb+pTNYP%YP6Mm!q zqBofJvQY~Dy=6XVC6j}C6V&x8G3NWIFFnWVlKnGOeYIk5zXEfuhU>o}#=jLlylNIB zdUQYb_+w%WkD&1za-Mi5bMvkvtMUwYu^;op+*MPeyoW(UspQXxgUIR|6REDBiT)qv zW%vGYpL7p9KRHL8+|XsVn?|+p0dlB2UEgizJwuhZqAuTgMe%7LqY7>wR&Fj__80oL z5szlr*;3wTUUf;WQIV>OV`$e4W@b?L9AcBF!3NjK{GT;`#<#kRmVE~g>Qi*|H^yP} ztaZa4O7ycaQL_csPv%c}+zG6CKXCD7e=cX1+k+1N!IJ!KeFitqG|PZLN~86Y&{Daf z>DQo`_CyU&;^}{4Z6_*vhgaq#-uMJrnGC450Pm(MXvMM~#wQ*I65ByMeKiQHi*`Ve<)N*&8xyfv3A4aci{84qX-S+ND=AK&ENui(AB2hzPw z4kfEu4$bxz9C86vqMX21au3UpfvDTv^JIXjWrb3isg4{cq%b5@dUQbMypf+HXJ)S5> z4gC1oScC~6joEnge}Y%bQ2WvathAVWuYe3T!5%({cK?8AW-js@AK)#1%oTp((>H+l z-@)z;!DbDH&wt`RI|OmEUE@KYbZTLmbHaM8^BJc`n{j65ALz3`k<0nZnT*uU zr*^+Bd6OTg7dMHEP2_aJI5MtFskb(`)^RlW6tb>YI0L;6JTw6;G?Tr4+Dx(TC!0_) z_DO7neG+~Bg4N4PSgow-Xo`v8sm<0qWKS-Dw|auG-@*%C40b<)_Uuo#;05r{95D7T z+;J1)QW^e7REU3pMk`DWNIEuhFUa&~a$tAJd(Gyxw?Ts)ki4mQ_Ke*UH?~V7E?G0>X232G{~P~3pHp3ArE7YH$v${)9`6iz zv#WdB83P{u(fNaH#bBZYjohAS>q6+mVMNs~5v%?Q)ZWHlh^2S}%~+DC!_%O|BGycz z9>s~mjwNb&id9EX*@ z#S0XLI(M?q#kkdVlxp=TLWfbLJ*ft$W-r#(Vu-a32 z>i?|cyExDj*!j(B5X)tzlkvnOp}S^*ANa>Mse3S?(Rh6wgr#Ha#5@B4*P$#YpHPHa5_Z*u$%<`UsU=PU}zLGV@Sq{Hgrq4Iqmov7Eo90 z_zhq6rW1da-&KTv3WsZ80H?`Vn&_LXAKnvcNy*hYxCLhZ2x8otZi?Mssk*j8)XJ}F zJJm|u%_e%wFbB~uysm~EQX?IW)gNhv?w1Lc4sMojE|TLev$B;j&~0SJztHIyfGpO@ z6m*T^lj`v{_0lWwA(bhMBF@Z=)J;%R3D27y0~hp8?@;f!JGnP;Oec0B6Bk~Ko{sj5 zEs0$k9~h6&{7$4Nd>k(CuOix=p7bybbSYMO9X9EVT<$DIP%+*>FA?N;5B#%Kt~5FL zKCbX@C+G@TvRkNutnM?lsRH2>_`|1F3%?5`)lwVKM0X2U3U9-$t&|0>%;iD`ro&jd z7iiGRxypTkmh!|C^iOY!Jq7LkRWjkUwYypMb*ii`^PGkd|ML`2AEodqYlSWg&U3bw zsk6_4T!&e?9T4UOsO%(e;HcR|=d9d*zLyhF6?!K9J;ldJy7SLe3eG3yBtA~Om1vqs z>EU`URyB4gIyHJC`b6x*Si$(m@m7h(rdFIzO_cpz?es0cu3VPNhZp$Dd91^7FR@eQ z-DzL-k0YY@8?xN<@NO5r;kRH{e?LEz2V$HK_qCJl9;bO;h6VXrrneTO-ON7Dz@pE_ zsf-t?hr-bB1>bavj;UXjvE%V{A%5aqpq0ooNz`jhGxD|^YX~11P3^@EmV$pSw^dDzNrEer!d{+>}4WPFFQVvN2ru4FZaJU z*-3ZWnMB>>9m(y9>4`|9dVEc6du)XH0l&xA`g6aY#mDt4Oh_I|ULtNaRSDadJm%lu zsgE7tmzwZ1$MvOb*3(f5TYf$D%7-d|{lja+ud#!oGS+=+oE^d)aY)Pk-FLbF+^#>T zR=8n!x3xS6sa*-#J}B4!H&9zV?h32*2)-m9EfITq@k_1oP4(%E%FFFCwcU^L<{wjQ zW~Qxe!=0Rk+;_kyZ?K?2YUe*uT8;NJJMc9op*Cz;gOy$Ij?#FNCR7E#h&G$38cw7d zsicoJ1?L;jgshl82D9Ct9G_Sv^V%R+y(ZQ?HYTsuy$X{5-Pr`Y^ zlSA|L>D{Z|k>9C`$z-R5!s?z6;zU;9qf4trTm$hn4t?OHBq5O6YN&^x;V#Z(NgUhH z^q_m4(3XCCH*8x^M&HfJnBt^;%_~oIimstNcmSUMCzaRwe8)qbp=H>Jff%c&t9$5x z4CgcN&O^z04x)Ta6sqbRW#)|Sw<_cDELGKv|7J0Z6SWij`&CQcUrEH- zCExo4EAy6mXfZXyDlFqbxItvK%>99I?{L%b7}>)0YEo6{8ye}IcmyZ89;Uh6?1v_y z9isF;nC=s}Z923zNfqq{x$LFk^5Jjfnnfu*esZ=hRd1L`1(_utMbl3Ors+~SYaP0X zLs_(cAL5O3iiToM#i0(O&~$wr$K%(_sP>vG@sX~)UGYWn@8d-hFQ~oGNj#C* zgxRl$+n=GrSIW6+>O10?@vbmu9iE`SGrkO-8lob%1umIy63IjAYAr%ZJn7R;UKnn> z!&xZ;)#axG{w%!L#FTB}zx2pY3Kt1Kg>lToXRnq`?!z@b&tKo;6_-Rtt7YB~6DMVe zHv}^%WS9uk%C7IH9e>>w)a9XHfsW?F2$9fX?9#WcW}2$)9H%mFRc?@B6cqn5a~6MQ z{RKs$wYb={GxmmPQy#`&m3+^vkX87Jv3dqKCn_hV#XISkZDmqIx#-xOAvqgzu8lq% z{U+K!wma4)eu>rDl(>>A=1olFm#Q39lNVHP&nFrrn^MM4!gKscchp?=+f8&Tth@0x z*~}tX?hMsg6TOc2)1EDaWI8~;yTb3YnIq!%!SH!m>X`6&Ui!xHl29#q*L0Ypqcc?8 zTF&HmDq{KT@#FKUSwDuMKl17UR_;EEs~l0NhuJl4#N5G7+N;jkc6jFzdodf9X(o&7 zFL&q<-8HdJhgjGm{_Rr8bfeea&29#n({)-+GB3Shg0^f075remVS5reGRoPB?ujzG zSt2?ws>HvHeT;3~8LJlmOJ-HXRE71?0V4dDJ9%4cnaLSZ?BT#iGNw2k|LRaxir-AG{&1vxWP+;p9+~5Ik$(wa zvqz<(Lh#B^Ft^@2o0ll{;XQG z8-5?GvU*N7evO=Ds}6(;&uj@b`Lo2*bWbcjD zt|q@Mir={kcX&E9M2Bso$TN|xk^Ygxn5~yoleW@h^^#{^A1tA6vV@hrY<1hv{;bz2 z&`t-?zj{d8z*zUoL4KmR4q=Q&=!t750+tIcq20VW_$$>#Gd1*Us6?+)eVZ@tcY?k0 zV1AEyYW>PAc$6b=b&QdCJXjcwTk72^1W&)eCN7S4*u`yI_5t zO&wt3-P8y})I8FGCxgv>{!hAz)`g~7!$rYk^hMq2p%%FBQM5#Vr%LJ(y-cn80Z8Mu z&}O{REp~GdM0eU17R1H;2W5JKBj@t;WBB?>^gWZp_rs&3Lc6WYOBnly@L+@4VRISsF!u2T1$LQq zh6lYZ^%Es@7A55p752yRbrn=X{=tBZvto-OgI{P&H^L(WVTUhv4jxmvJZCUEpq ztJp^Ye^STvABp-n@R2-N6FpV^o%J2qq9V!ebc|W?%VMjdL!!?{!*J}su}|Vt5`&UY zS*?S*5$|{1wXyi^a1TfEiP_kcg~=Y+nV0p+-9;zVK*#^>vaES3;PZm@#eq%E(ztNd z$j6a7kxk*L;r!t)7}m-HNHt~9S#B=GJ;N=dOo?TQ5ji}?_7gKLkRsIeB&x$_~s~9WgXH;x? zY=2DU0WZ}}x6;?i)pQ$Q=@c)W_)|}Gqr@XT<{*l!x6MeHWzI|S+{(ipqW-${`M?oM zgr>0SavWxsN?jcjINAp?3ieCXo@-Ky?+V_Dw>&Dh?i(%?-l$Jw8*Xd7jA@gT*HW)w zVO(8-KqkvOMCUUImvj#+I;n5Jhg_<8@C!<%-t4lT9N;na;C*^b&RN6nMdely%n&T` zMj4Rmb9#U*cO)h#EIJR)?FF8u0$Ggya+7+@6{61&S8!0z%6-_wEAac3LLckod5G?( zET;K6@9)ijzlf22+T5}Fkr9y^k@Ddpp&Yi6f!FtXp$Va$;aTBU;d{fy>0Sb-ko~_n@b1`{FLBfjVWRFh?bcM`zhOdd!N;alu1aG*rl?tz zr@bGmb7-jiWqaTym5f8_&gs26K(0zQm*qbZyNCT&mf6v~SSdRYF|U(DK!!Rf1m zbt8>e4K>4W?blR#r$he#XmyOtGw9Ay>wQD3b`D3f7^Ae-^qeCQ#UJ_s8tO~Ub}}|o z+dd3UELHjJj7RRpLXytl(~#{L8TfWrm6oBEv$7MYm;U26+zZ9O z&mLzai_lsZPrXNx`A8z0ZoF&kf6*e*<2m)s@%$xL2pSt{8r2Up)q||{_g1@DYNPz- zRru--K6z8BoQ{MCc%NYEL~?v;5GMC-c|;FW8)gQVhmM4wgx~KEFASwa8^awU3nD!t zjl*~9R5rKADz2qEYOcx}kWc<;ZGQ{?3i~cwjxiu%b!#a?`Ap8@cD<#*6nXU zvZy{*>gPGgt2MxOS7w(tS;<;*^@FAsndKFDNw;)n%F!*!4f2oMoXX)8rQZcUkcs{r z>LE@{!Dc+kh6mF`eh`W|NlOBs>SD^{49-x8IIa73M`UwWGV7nLg;}Gr_GTTeI))!j-VODB!=F6iROOLjc90RQ4^`7`_D^_BNU@KL^e5tMSXT31qSb_bSw zYiPTEi*VMYtf#W7XRV5C2@eSs2=++_Q@xW=i6ES(c26a5mw5zuK`0 zh~;&N3<|fFu}_5Lu8!=X)9ph~e@(cito=P~YYHA|X*F-an|&EP9IQsU-BImlkBomQ z4?3B(|DF63J98%9G%*DCa5T}2CNoPH+k;ecE8)B$7{vv6pafraHKw?pbF$6}+Ud+R zv^S@mq%$TjY*QVa6nr5V<9$LRb9YF10i6Y|4d>ES_OmPUS7dJF?#S!-^G;4heo=O| zdkIn@Z@}TK6eSvkrcz)u#V>Wxzc&;&8PVrg4koK0Ke?SUd^^9~HaRu%Egkqv@sXJA zrtu$hef!yHlURF-xBmX$pV4;FYoag9<8F!%#K^Uh@18QlFf(Io5=L)M;+e!2e`d%~ zg67Y@3US_x@w=0<dQn@}Jr{n-3a!Q<1*jO$s%Na{WsmdZlpgr$=T!!_@!L6KUn_Cza}#i{ zm!;gM!|-LQzE@QvrkHNl2d^B*{of1gJZ4J9k1F!7(ec%j!4F8zO?{gFH!vFRsDs(6 zBKz5$tKEo%US;{aY3+(rqmOc4_k<6HJ4L3l>={}AW{u9;7+I?4DIEG-{yauyb3RP} zkv;sd`tUBx@`Q2hN^+jyJAuZgj`|N2m- zyqs!5&G;h5Z9GP4s62kHy5blbt_CuM4|E&6L!sORhjk|WKfJ?)@P|4QdYXLtWu#$b zh3Rjh@JQ;2Pvy|vAb~ZoUN3R3B4piDN6J?`LPe3biV9q3b%DVm*cnX41c-2{&YtJ7 z179YuPR&d8$6(jzZQD}v%))_G3C^So``2y_W`Q%ss8%BNP2y(+{`dgHkz-}+VHtac zKM#i@EyVUFk^5ZP307V_>$vH&?IX2W&4qB$NId+j6IwgcD$*fxPvj8&?S;^@JkVU- zGfVl*B3S63Ow)gy{oSv>?=dQnE7E6VelNiwYfLPumMG4*l!}d}Um1`yH@jQ*6Bn}2 z-*{ozh0iY3%zh~Q?d*0r$8+9@z8O0mk0-jy7$;B@H!_uKCHC;{#Ef`V(@Cqwt0w|H zO${Awbw#&f@YBjbGSCI{w1mI8B+?qAbt1AmvOMxZB#nn3N3U{Kq)ntsxWCSUO~HmD zT2Csbo1n45^6#H8qnl`m$Euzd<6ZNpw679ngTa+F?rp^MeNgF(W>1!Er{6{P7Q>ALQJVb8pVgIeBv? zWY^0ck=-n3S567Y@kDf4Y)gE+85TL}Y}Y1EQG1k$FQC_N5v>rNu0x@QY1>!xG1n*W zqO6-MlRHmqyHVffaFxFPvc_wJX9Ewyk~4An>0l4NZ}qW9T`0i*RN?p|IM77D;*m9x zeJ<6*szA4 zj_geTtURfj{(<+|!SgbPwU~qMPUpe!(ePX~;l;Y#UcoV!rF>hVrYds~ z9c~tRDmg(t)k*)8GmoHg)j4_t_3x6JOB{b2UJ*=w@<^0r0bbH4)*)F*R(cf;Qg3>< z&l20I;ciLYpdP!==`z`u=lMP`iGpi|{;VpIJ(26pR@#zP2+&u4-00u;s(`iqs9Ql8XK2nD2c0N&6;hQLlFlYzbzjmH$bt z-v(Z37wQ;%N1ZFvqn0f@Z^kb*#8wwnLAn(V$`0OaZqQL)X|MCOABw8sbp4=KTh3J% z#r)0F=iQs7oeAEqud*>uKN2Qu9G=NHm5%(NhTSrBCly#46I?rWU9zB@=^6Rxd@=N{ z*ankbhr~aRcTT*X*p|3l7w>h6)$zlzdNQZWuz@w;o+HsVDyduO@7J1*epGjN%!((} zKdzKf^};3WRMB{lc5QsB9j4;F!0przYp6#52yF@&sVjQ0=z;R051pb?cCLbYSOE-UU;X7pOn15wLd!5JmE>GQ z^z{6o1F|ApecSizKgN1bbq~+VjJsh}#^z1~o1(Tl1XjIDy(2sDtr@I&^%MOQ*@rEU z(oU|#I<98z)MTD2a=Gr<1A*(Xz#T+_FKCd1`gux13bmc+2lS|w36-Q@8VWJ>#(`WH z^wgB}7E?fPjW3Edid_<$7`-ogC^|;xar?w$Vr{-e0UD~ea4&h2d-aQ*hJU_@7d2fl zWafW%ysMK?3IA9UJN~5@@gmja1{I|u@}-B(xF{uOd;rzl)6WiE;b3-D1g84|qMl5> z@U(0{pb}YwUtJt|Rp$Fq=$tCtr8nwx zJLqW&#a(|g)kNj{S7>ts3B(mLttzf|hf38xdv_|mQ112{KI{P=?TC!Em$-EeP01S> z&!e9C8`k!%z`b&b5n^CU?{tiw^9C7MRgB3kp{L-GZ1=YYMmhuyTw~7Mb1KCz!RhZ~ z%0E&~KaFpBQ{*o0+7|Nht6a%;+~?!zIXdy*N}hy(eu~$K|EvP@uBpNk6IVDB_vF70F`8N%Pm=i#JQDt=XX+si3z+dvQn!tcw> zZ-R3&eRk`xrT^;cJYagjY*R5>Q7HZ@UwlR;HV7iRTBRgYO&IAME#M($L3yvM%B*vh z?@=~%qlEn#_8%-KzZTOx(PV=6%^0f?zE-|51WWg9@K2HE;dD#$(S|01s=k{N!*F}` zQ*-Ura_e}fSl)+vrM?MY$Ehafsw@5c|8(=qWOq%RiAK=Q4y;>s=jmO29)(1O)>N5S zQ_(y@9dKTTbDN6KaXOPll-LhC#aHU?`YiBCa3X}VSMFKHWc+s{FL|cO*l@$pa8IHqNCLT|JWFL;}3H?_G_hOT$zE76HpNvawfk|F6xnP6| z#9ymH9-;{@gOA&4zR!*N-UsO)8EU6r_4B9Cp5van;4)9C&-O9hcmQlu+G{GC=C_WP zB8x3;;%9%r+nmRhJgDAMjf!V4g;+FjmpFVsq`CqB{C2u7RQ&)8e^+ju!v9=tig9)N zqMDwt^GIl>*#4+k{du|;q?(x-Hq!TeX|i8WcGA>|=&W*)d5+*dTCrVD!W*hlk5Y8} zk*>n)rKwjxcAl;`qpT0#JAxiAVRA~4GU6}aSliD%yv}g-r<}l-_=HdKBq5CdIM|^V zmaP!K`n74CSy0h2)yaZ%=?OVS3<5ZSJ$jfnIX_7Npm2sS7@btG}4hlMtL!tRcc;5x^)rWTB6FJl+=2|?=s^6jF z>4%rP*>0^&zH3tBEKJx9GSB?_y6#lv9Yk$XO^xJkTv(ivylHwrrAGPGH~I^^v5SyZ z?N7b-yID}rtDAf-7ERVu@PwHkpIhB5>ZI%Vv+1 z%ew4xz6!?z%JFKVR$DzP9n{7bQ?gGD7NaoRsm5B)`hSgweg{7Kox&jVoWsmhXwzas zJGuA_pI*z_&kMCxS*;bG9y$g2w!nB5b49;lyf1}pO45^U@~#}(s%w49?J~$6yumr1 zaHa~xF|0Wblj6g(TU6oqxVrAL>>t(pGIQw)q>`RAvkarW4;yzY+uFx=HnG6=sw}Ni z@0xzli3;|pxdl4{17x5@LuCp#l(1>4dTIKjOb=|l*-HqvOh1WEtO24N|mSesG&ykH3U&X zEpdYy;t2Yg)=uf;*6Vj3{Cjo&ftbZE>WQ^sk*2W7-QnS(cY}KMoysyYmMXAzEBi59 zWU6o8P&fZR%j-P+_{8`0 z41dALe?dEYmwafUs$Ms`;T2Bq1rs=a6Xv{_c^F=yjD5vm*Xc@3s{Cl0s}`w7YUtA$$9U$w_h3>BqLsQPTOibY*pU9Z0k zBeaD&eSfMqt9zO+JEOL;RCL@5e>4sZWHohCo2UmacX|gnAsbXbdy0Uk#f*~T??kv^ zyeinsuJKmc)DOH%6+1i{YHcFR%TAB6J0DPMO_l+aqo*m%OV`EV9pFK`(D~J{Crx;e zom9yOpuVqV96yN&rkQ5YsW|&8duc*x{)uS|e+P!q(5|2d>kY$&bzaQJCN!aqd_@+$ z%xVW@eIN1?SFrJduC*j3$1=!oB(>KVKKgn&$rhMzjJcA_@amUR<)72%_nNNsUa&|i zwNaI5Ab5*lLN~YN`9_>bp-tr*A=!Wg*Wc_Ur;&mYJN{4U&zEq}TZ8 zVtf0a$rqzl(--3<9%EH2QiZL@w{Xy}dP`fd;}LxNkMt)m2G7!;w4^JjqhlwB=B!h2 zu{+Jot{;qzDuh-41(IH+LR4A@)N1&)WAG9?T_G6dDJ#N%U9e#VVdGV*Dl1{OOn2yU zSZaOnHlO<_rf59;cc&U+BQx?#dp^>o>cw+;iR<0Rm$<_1=BeH2>a%b%Q!rgMt^5HI z$Aoa4Pe#qyKn(j%HKQyBw32GTNpsQ$v6a{93D=qo^gCr%_rYR6YgyR+7E=))d&KTlg1H`o6xKMW=lS>sIL02PM|Bm$ z&Z(sQmg+>!a2%!|#mC*Nr=zX!9t+EMQmNYv|NJ5H*0f6F*v}U1SRu&eKOXcU{`CyC z*-!cv|4rq?zrNz0n%lXr0)^>L7P-2fCZ_dp&eqd8SE9Xtn@tXvT{Sj0?Hm2)Z}L66 z+(#?t|872and)wPadwqVryr!hPpo**^oIk<(U9kG{T^c})uZWsfnut{Wz-+*<6v9! zp?%Dr{mn_Nq9WJE8Chgc#

}QX_T$r|8LV$E3)u12@Tt4^iQaceZ+9@Xo5{w}zGG zJ6}u13(Q#hHA;n2dipYb$sd@4aE-Z%9YZ=x1JlKjKSiIGSnfA)QVm#q3(R>D*+5zq z?t;uAOzD@GEq0gF{7o^KG$Hk9Ab;-4zQrG(wf|4?GOblXpHhFU2Z60jU5aryk#1qH zrpZRC+P61Eiz2}p6#oO{0sojNxS0O&7;fr%HXBPl!=u)KtxmJr`{3n%&|PL0K|bj2 z3)VVO44et=-y!Bsa&l_wh`d@J^`Cp5s~`AOx}!B;EYm4#9oAB`K4Ci8ET?g=S3N}6 zQ57oL>D6cbt_dp`;hbfjP`p>f{E!V4QbX&jA~;q?w*tRZM}E2KX=*c&88?g0wvD#`!P<;huoTqSjn-@?n6BEAL@=pWZk8Bn?If6DZIsC9_cnn zVZN^9UvUB7m~YXW_0AJZ3$uW4tZ_+wE~7>HMg02hqQ;|Q->Zq zhSSr7j}5_5Irg@OUD+n8Z?`fnUE5MQ?lSr2i~LSm-`~p5lTOAkHr$st{*U!nc0ZF{ zZ+q3bW>&i+?ED4ac)579*56eV`FcAGIZoUBTz_?3e7;A0`F*>WLE;a%&LZx1o^$sk z2H|d}XM%f=vVpdG32v2l^%cikIaxSj^{jNNWp3B=DxR;qsQrw%-%wQg#h>YH>kxl1 zR+ipY+^I}cxQdGFnN(PWujPc^2K_aZOLW(7bHMq(4FmT&t82h6PtuhR^nEAAp}PFt z891nrsggJFdP^v3&N&Y?e1AUv>q+@-J6HOtm>clC)Wc%L`(8BzKZrLpSF zs>ZYJX`*sNi1DypIK=|!Rb+JEVg~l523dovtZ-4b`#p|+jfir6@M}9ajvZH2(Yp~F zyn{u*4aGcS{W8ynzuUcJ+3ka-{Oz>jh2?QWRazfo$r--8m)|ae;68;VR$2dj{7*+- zWdSw9G1vc}*yg(D@upUbp&6YRV z`>CSGUGkz=+`|U=>vJr3=1J>iy{nFI8f5s5^1CfKj%vKl z5SdXvc&|2p@q&J(PV}LDAh*it*S)8Oh&>LHHD_K&L8i-ntEx^H>v5JZXv?}9vdM<- za~rSxy7Ts%Yxu#5++!yX`S)_Tb0);FjE|V^EdJm(Rqb{m@5#!Y4c1Iva+&G)Pt*Gq z(owwJl~r((pYiJXswXe9+uyLSd34!*Ad)T7fwm3OIGXOvKh?!lZqjAEUN=ofEu5Kk z@fW)Zixx+mn^SnFow(-?su4f4gC4Sg0#44?cE5)DYd)Hg(LU{OSh_k3&pcgvqhVvkLH6XSnZ2 zC%u?&%si?7kQg%6eZ3}z=BU3Ek+MCY4t0@3-3V`ePGg_&SEj~^=Rq}_teu`9Wu*yF-zRf+P1UZoz6fF zv8)1~Xe!j558@ps59`3P3kLrQTqY05@TvuLkvB3KwmZK#Lfo3}^9x(6`*M5#x>~~q zxz~8mRUBZsd8jq#%Cu`cr~PC~e_H)5ywcM!=5=i51L!Fql(2#_GHe=fHx|5x{qGUO zrdqE)Y^bojxD!K0cF8y=x72 z;^BYiIX_}|=ONb~PJIt2p{`YGC32SITV`AP%v6PtY`ZLM^Pp9m0z>=)sXgvGezZ!N z*@a*Fv(8CwVAl@YrxJGXut>5L`s;{i_{2`HVwcO*683v#+g$4(VVw@yvD&_^Cm-F- z-d5ucuC!Z2;oryfrEN+zw&MTM1-8JQe&YL%@d_iX&PeKvAtt)ri4m#qwaZK($S*Ic zXWz5q#z=_s-$(5AiM2tn@_Mg2T?~TX4e@yl>F{)pcFV#o>H%?y!CR z2A1D~!RTz=9>ZiMeZ%iC-4gNjTxt#vzBHh+j$!IAbBSXPXS$2}GQEB#R}}X8F1aW) z34KlD-=~VSD;Yk^;LVO zv1#YMbzb!pUw-uDnWCZ-vNpQ{nSYt`NslRowTM~Ttj^R;c+ODlg`P^zWnpO-jw5gy`{Yp((Ob#dND z(qcTP;_#fjtXHn4~}rm1uE5WgD{ z%^Khn>Oj-;>__G~cthpXWqngV9;Touk!>$0xweshrg&F-QT2J}w>-RbGB>i%vA1iS zujk>SA+o}i{9XnTuYu<4Sl1GKc1X17F-e&96S@ zlQXtBNx+B*aL?Oe8)k?{>JO$+$Sz5F7Vx{G(L=RH60p=+%D z4SwHOTuIhd#sW4rd=%+m(Ho>4Ng; zCEoQ0Yv|#=X4>DOW;0%Hr)EQP+f+1nK$2HmyAocPcIPvpx$<_jov2$HGgr?w9)RpJ zGq-B-JNNN|i{Xv(&cJwoSJpi>Vkg&GnVxKL31st=UHJyj{2@#=z};o#{=U22tc(e{ zEcld@aXa2Nn(76em*CAa)9_0|U150Z3}keflik@jjKbR7#TIU3YsYxi1k~D%Z!PE= z`qT2i?7ZG?AM1*StF29z&zQky{pdU_5^;{u1^$@hEjHD;ZBXIH=GmzKElwXE`jYb_?9sA`X!;X1Cj(-9u2 zwpZ84^${mr%ikh$!Y7?|l>w^H43n3c74f+{o8?>|v`Uxf+EN8}(a?3(_x&YsX3Lza z$?onuYrh?;Nr->-eR7WXeCBWe@cupaa}zuM%MO)rpLMN!9d}fbmG5^Bzw&J-Skx)6 ziF+nM3Fs-Kwm;-*a(wdzdvP@P>dwQddEm=p{9kc6B|i(vBTG&B`=INNcwboNpR`jc zcM^n=FaGc13S3-)iz{$(1um|@#TB@?0vA`{;tE_`fr~3}aRn}}z{M4~xB?ef;Nl8g tT!D)#aB&4LuE50=xVQorSK#6bTwH;RD{yfIF0R1E6}Y$p|39w4{{e7)b`$^r literal 0 HcmV?d00001 diff --git a/bgt/fixpack/tranz01.wav b/bgt/fixpack/tranz01.wav new file mode 100644 index 0000000000000000000000000000000000000000..a0907613aa716a3c720d31af5b94ce6cdb28bcbc GIT binary patch literal 204354 zcmW(-1DssR*DXAD_aL@y+s?+^*qGS1y_s-hZ_J5p+qNfzHXf?#yZ>+Jw@GF)(_OFX z;@opirOjUr8&=IpQHMHzH|RffOzv2O5QdMuedt7xzSYe3^6P76*6)9TA05GZf)##78^`UgfM9kDT}vZ;C(iLgD~+ z^N->c`ooWkarh~>#Wa*2JrkXH7E%>8;RSIYR834oGf_uQaXj*I7ix-gpl|jmoQU7r zSx9YMjSWJb=??zW`br6|X*a@6=mPt`s7%}0br2=}#cp04$6&-L{Ux@r5o98|WN*bw ziDYYdK3T-yunjl`kzw(bp5n2r9vzBTS%`i_XRQfR1Ad2>MBDKy^weq~Efkwr2~tsT5ft^1 z2X$q~@Mw{P$4CY2w&aia&X&*(b}XqRN{aM!l06eAqH#PAz9Md;t^6ALfVtI=d_)QC z56UdgY zp6CEdLGO4P@t&mNheScVl4m1@d0|u+3$}r_vL4{WoscbKlTJhE_j<#m~rK?ssw3N)YSJ2E>D%r*_qv5!NJyD)v z?j$ux5ql&V%mjt&vD4Qt9&w^lal-k>O>br%BTg+RnmTz%#YAab~9x|I7Usd zbD}UwFrO+bBZ~6PKEg+ncz&8hS!?7&W>M-9J9tjwwN5E5&4M@|C48@#j75p$}zsJe{-?33ig8|)XT5-xy8LhQ65VK}!k zEF&(14)Atlom~yPNDZ-?$D=x^5%pWG(0j6jYkV_aEN<}$(t0yJo`!qkv9O~nw1!4L zh=jW0hv>o|K%7)X=f!aGQB1>c&_s5Jl*iYtqf#eU2W=6T`3`BWDNrlA-aaNukyhd` zYe_J!%#NYLxEZ=?SEcz;s(C|sZ=Zl#x0S?-g4PSUF&b(w#dn|r59E7s2ejF~Nd@o6 zG(-kpiN#I8Jo-291LFYk``H zYIr^0gWllzb`%;VtzuR9H_{J!NMD{;WRQzlozVmGh%G>S*ev;+RRS%O!x0Y|h>nWC z#2sFMKDPUk6Z{B&Lz*JP&W~JjBWncuj7AfOJx6p_mRHP}GIYY>yC~Z|0N^!|QB^liMf;L(iKnI^*KPC2oiU%8 zF~>o_%S9`gbx8H7u|=rnQ3KLH<%xAENNhU zl)IV>X({Let56Q~4jr;;sF{qpWFkJxi{PL3Vx@$kNFFv1<-sodjB+4cQvGC~5D#d- z2-O=INzhZLLzn2!HS*ZnCAYADi1fIUSWOJGtz3j%#WEfUU(aqnrwME)pH6pL+vNq3 z$69Nw`RyA_%Ca$+}Z9X-H9;Swo9Now1AOci#OtwBK9Wxlzuq0QQB`? zq&r$*{g#^AvUJk!fUe{AY%X?-MdEM1PTpl1EMB?IwpuCl1ioo)#@X=;{sBoAtzPSt6~)KZz-#5nh8PLXVQH6lo&+mo1d*m}TXq z_6BhUI#NUOf;FR?041cL?hGk4jb)@RMW&zp64UVwi_lhRt9^prwCCY<;v(9ETiQFM zPWFFfAOFtV%HJcCr2Xigy$pKr3Y=H86K^oa%k4baq{-GSh^JNP4iDSgXcqPzf9I#g z1@ez|S&_{OfQ#aJgvOfV>2CBd>SVf=`z&amR<4EnDZ{KhQfYRNUz3S>NV;epCbd{w z;>Rz-Zsn{9u)-`(`h&L{0)L@H*hO|gI>=`8`ml#ODK89GSn6&c!h?ARG7Vib*DKRm zA3g$^s0LYRuA(MSg6zwW8UPAM*8LldcQ3)Mnckp_(@o18GBhkaX3a4qYeF zNTXy1=HjW?fNG$4c0u-;*~l~e19GPwMDSyaDxItf+@+N;8;SzBp*+ug$A6GC;wv6$ zbWj)ZCT3^(8GDM>*+-<3;+&NtU$-994_0kF0qQ=^+3lC=cQXnrkgenB8EY8e z#agI1A5G`8ZbHN7Q3kP;my~+2nRqBO;j8cYeVSwju}QYt3YVmEc$3vlWKibXpX`=U z`Fo>5ye{nF2viM)`9?lny2Xa_RyZv>0;sCCeMByS7MdQZotP=IiasQQN?I+X^Eik3 zgXF}=0p}(_*O?*+dMfsd*T_H*cxLFjP1r6`O>}|UnUy7yc_J&y$A^=K=rzlNg5-rL zYZ-W68PhV;eP*bJ0TERu?w^|@DlZuPfJ=@MFI59TAKZD^l)PdX^N+BM*n zukeAiD;tKk;Yapwx`r3zKj~rn9PTMvqHdx(%0n75kElXEihFib8V7qalTU-*+nYZ` z55;Hv(W)&yu^IB?ZFWA~04Jf`tQ09J+VCS#cPj$Qcn*1c4B~mM)d$vGokh`(T*EQ^ zC|M&m*=1-Gc-~Ct6Yq=neKG>Qv)+@jVhKLPqLGW-VvTSzzl0C7 zb7a1#Vn3(*&;b65Eg`G%RMt{Vq`IAe*V9Mlek`LoXfu9bJ;ldRD(VWeP+0!Kwxhx< zh#N_VLgkfVXdc_ak3e_sW)~&B`99K;dxR4kV!KF(4`IcAMGNsqyoyxWYK<<#D=y;m z#Ufk*RpnCvD~{nG0Cheg)zKw36DEoQ(!We519%DcQGIXtP$yho{0&uEg8tqeysaj2dcf?Dw=FcA+B-RT*a>{h~PT?D%QW)kEnXeTd4tBGT%HaTNo zCtIxl%e_R51h1v_C?0))(c`3=xHsm=|X93EV46;F^3k{>-|Pf6ySP zRuiq`B7|?lJab>16RpX3QG`)x4qL-Uk%x9B=yg{`c~X+4C-ry&Iw`L5=6EfO;G3cX zK8cI)N75O4yI4*dicWZwT}|4^PXSh)hGvn~ydk+Fy5lJRH$Ejs@J%p3pT+U)0KbVJ z^QQa_zknO?)#3@eKqsU5thZ#4I_M|AAUzg;izw;@oK+ZMVZ-eDlz&CVMJtvMjU<`O zR-_Xy#g~g%GL*+aAAiWAaXr>Z%*1P8wR1#vvf17$&Z6aFGdjnwlBT>Z>5N_MGIpWA z&4JR@ZFT(MOYM_qVU{1&C~FA$Nx&;^+NKSD+84*3!-mZCT4 zDt{twi7kL(ov_0ao+ZYKdFZUzAmY$rK&TVZ7I>wR&>OCz@**?jlvh0FUD0XL8fM|N zsFY|42&pS@Mf2fRHi_)wG?IWFnu>hlpqPb<`W)5sx)h;uL<6cR^)M=B4q6$ZxYnXup|n~F8)3@}fI=!1s9 z-@Jl-N*2*#EnbW2iie^MdWwoeZBCEs0hjfkm?UbTk+6n!Vm`d<4fy;JbeY^R!K6t0nc$xtQKEHAK2ZyqPEB{j>FpC!dlA0t8|0EDr zF~!T!2;{&A&^{DE2jTy1fFm2h_nw8>VKU4={eepxh7Q3`w1ug(E%0{DP#l_pR>0FA z1O_LFR>G@xN54clh{GJf1bq`XVYNNP9)5@q;wRW9##k=ClU-x2*%N!2-Oheu?Xlil z*R2~?Uu&Dy)>>!gvAS3hbDde${BHhdm*p8yE<(MOy0fCJEc;;ZwmaI)T5nx7rx~jvFT$n5jY9FkDuM0( zuz!A_dZ=flvN_JWY3;UJnT?EW#ww${T^eu}mF`Q^rH=Fv$wRu5@idG4K{>0I*H){h zGE?cKR8pSEGvt;smeb0WUy=mqyjw{E{URmGmfTD3E0rfY^npJ( zKRrhe(pt1NIf5NH4Z8h5WGd2$m%IS4#@gCDtl?HwGo#TpJTJH}u-kvd=k&#UuDaK| zJ9q~A-uedyi-oU*-$!~GFCtkDV!pLnh_+bxm&p*Kc$sP72FqRAVKn(#>#J{c)A4oetEH6l)`z* zcv6X|(^yySLTO7#L0o_6}IS1>WkOcy~8Xz*jDq zHFQ6;F2qB7!mYw3!#~3<&5dj)nntTjL!~$LI!&P4Xn$$D%+)1&ZN~_Gjdn>bq;^u0 zU;7Q{BoGIg!pI@uV5eBVCrpLloqc+t4cbCFDj0yqhpGi~PhL za7H`>{UaQrmypm`i2K`soCn(HtfE$orJ6+}gF{;ao&4#1V?5*C38^hS8T~f{RYDy@ zBSIs?9m3AYg2+*0w2ehQl1_Rlm6bcni{v8m7paf@Sc%i_>5KHtdPVJ?8c<3pW91BT zJBY@@iUK=%T%M(5R%bIx$w`{y ziNH|QfSGt6*36nn{hsU)nUhFn(OD(#la%Qe)CT3JU{hpv0o>S{q{F08SjyhgqNYq>2~ zmD|c=6sKBTxdiL%NbZmkw4>ydM^Astk--0`ZX9#Et9WF~AfRgnIJ| zT|^;qk>6*CRkLSVi_OQ8523RG>L2Y*>uKsvPW|H!dUyB}gHyu!B2kg_;kKchpeizY#e8YN9ru z%LvP0$6B3?t>KHoxBd*iDxNy-9PTUbN!|(m1Ht>@>_$=~edKUxSLi|Hx>Z9IBQ2#; z${pp65~T#C+fpMrK`E{2dVT$*_CbBDT#&QL&!qoldnS3U)LM#@kX%sN3bml6Or$0> zk+h)OX)CFr+)vi!>{2SZjDf+0dfFeCBhAQn$oL&757gZ_)Es%lcVITZKy`|Py&P`b z3X5RdKu6yhPg!@C)M}}>+`WBQgWDp7%pzueV@g;L8{zuqES3SMk=iP))w$|F$||{# zyh=W+P)*hI=&Q8lYHww7=|-`KVk_N-7QII4Ol5rdaAB zotCajv!sboi#|ggt%fe6V)$>EHzq?@xCHakZkTe*iy{1PHVM|a*6d-liWs4v!QFwZ z{_ftF?oX+8+?zdJ{1ZYsjmhSD^SrSrvN%%Gh_Utorj@8FKa^L?>EvBfMyN@p)$G~~ zP198Mk~~|=E-jHZ$m^AgYIb#;vR+Pt+P+1OQ_87>)OPAO#i6*R!BTo@hvb*C$gd?$ z@{^f3fOeqACKr;vPT4FY>>+U{zTK+c2*hts@=6$3yRfNrBaV+4t3R z&NIU6@r43ALir+>BU2;3@bU2Ta0bINzu7+ES@&ZDufa?3FZ>(k<{R=YB}ToYtd>to z#ic>g->|9z>PB^i+ED$fd{%xbC)D%WVBO_N(f`&jYHQRF%0i{N(n#^jJs>W|(9vWk zoODK5WnK74MSr8a!s1(bHy+EsvQccmJ>M#2`XWEV_d_YcUxAnY^}hbzvz{}abKaVM z6wDnWkmCbFZ9*+WXTw*G2UauwS*$~+(J|B)<;4@p1A0t8q})*YD0O5(kJ77jz2udP zsvp!x>UNc?Pn6=SL#wU#bToIiaK3OH*QaP()pF`U^|-nYx$MRVSsI=c+7mn;80ydByW?5mp60&p+2(5*xDhNL z+7y(6e*#|uBSZ5d#jP}auD}r23xR8`hy*rhb!D*HS6!pH>D zEwGnXI2h7GBZ38ka{`V0Q@jt|<=u1KmpyxYzx^45gM;yb-~OTgpZ?#$a*_RJCl=4k zL&x3D|L|t$9nLKMlJ_aQl-KeAX*r!tD@b?c+-g>>zV=OR4mH%P>{m1Dw;f-deO=XE zjh#6ibM%7xSUpA0Fqx3{^8O6qXHR&eS%j5c>_oMul+rP55rB(C{}?lh9?BY z1K7^p_!HG2dp0V^<>AtKdWz9ZX#oQqtuTqm5J9Fz5u z`Z&F%V~1m?e%j5h@^Xmd zvoxIb!&sz-D|{zp2HOOu z1jhNR`I>v%d6s*sc}Mxa`(FhL1fK=U2EzU6%g7;Y@O_f(FE7dew3GKC-pk7exXyx>Ljx5eo&P3;BXKv>bM^{Hv$8yIS z#|XzQ{j(OYR#2+Ro23p?P1w~bbR;PS)8-Jd6Iih*zLR0|99SKgA2=Q;7+ew>6KQUKux{JE**n&ahd4na@m-Pv zsI#5aQF2Lzq}fstIZBBr%hhM0++7+$0UdHj>(bajwdEVL2naO$GanJD_)>+N5 zNB^Z6&;kBH?(CH6N}FghsZ847MSwE1h^@RNAH#0jvi;4J%rr)Z$mH zy`)c}S4Y9*(^<}?oB%A`U+tlGRS&8?VWqVlZymADLC$H;s!qeP+ws7W*XeO|aO}_% zHLQ67J?D~Bq&3nVil~EB#-kyR9O44hl$Xr1Ujru2YgRWFMzqMPaQpC?kQ|yERD%D& zS^t6jt`xWz=o-8p#G%K*^T9lTl6gppP@@A(OFrwI-I(ozeXS(&pse@-E<~1)!{iqk zLj$xoV5E(5Ic2``4`f+p^^m$mtEgYmYdWSot~ky)Mmg#_syNy?YC4YT>Gf&aW%ac3 z0#NJ~sjqaL21zXGfRCfkqOQmQN?R9xmA$sJ!({Z!9A-w0lg0+4sBt7xG_of=KAbmv zE;KLHAoLa1nLAV|G&f|23WQsSJBF8r(?!llY8$_d4`z~e-Y&uxLT>KhJw-xKlPdV7=47EMbEEa(`0Qk zR0vzHE-#bZq+GXxFL}Xi} zSmbPYSh#vPfB0VLc<5ZHaClcZN2Gh?9PDioc(NhJTO-a)W4*EdvD2|B>?!L29*lLs zBY06Cd=m>?k<1{MNGu&f@6ap|%khAmsXRn31&F!3>Q%dIYqW>jPHmPpUTdu7(>PR% zpGs?GynGsP*CyJYW}|yaQ$Wqz@DN-H7se$qL(hRVcqY1vpOAC!STFXWNqqngF&g2<@PR z_UEhGMj~Y^K^)(=r!D>y_ zf~Q%ae>DED(9cdD!(AYyvCD z?%SIIS!b}nTU)HAmfxHUDEgw&#h}K%NGteg4o}cMvNIBbN&2=?(Ohr7F`ZUzYpV6y zDrc{=RW_deX5FC!mj@0xJFsXG)D<7WG8qj~-h>{2P9F!WkA_)PRk|n%$|ogSjQ~E} zt&CC%DsN!k+AA-Xhsn+4VzLKLsE?FRdPjHA#?(z#lWL>{@CwyPJ5rp;WGKuD1<)iw zUt<6-TwO7UVSJNQ zWkb%UR0TvJL*{9jSrzm()yZDq-m)?Mexq02AVL zoEFES%fbr`#wwV(_S!A&T6RfWv%gq}tjShQ3tNfi6Z4XJ$lPQuG6$KB%|7O2bDMeF z44ApChSpGPm37JrSf%Vy_F?`{8{ht5b}mJ z0@Rxg*0e}^2xpK3_PDfMMJ^*3hhMA8CFR_*1E$IxFd6rkC&E0|Q7$U0FyrQy{sSZ$ zPXZA41A*Zh2sP#%zsLUGy=tvI}{C0V(d_-n)Kn}wROo6rkmYzy0U|!xr`%@pe2`q;n zx4?tIRhJj^PzkVhJg|&0Xc)v!6BH|!i7YS&InZ|21m=t%c2-^kGC7fTwS$(=7Oat# z-Wtv-nzL=M^_TU_DgjSqT2D>e?8mA@1f8~Du?hBUc8r&^&+v}GwoU;K^Bm|&h0$Fc z24=AoE{)64Pjn4&0w)$lS4;DyL|7Z>m(n5N=*rU?u*0KZDw#^#(rx61)CcnQB-sx< z(lN4@a?%HUY6Hk*nuIryW%eFao+Q%NXpEU3+!hT$S$)8_vFS!@Qk;(xHLWO10n}%R zBStLiXI8ZvqK0Nu+cKSevNhW7C~6z!**Lp8?`F4Pf9x*SNb#Geu$|UrP}SP;q4=up z7b>u;bAb_`54__DG=P-BHol13;3#Pdc}X0k79iEeBvH;pE0R7+e>oN3gSj<=H^3y_ zoXGUK{FXc-UU=pKcpIRo>*5x^0ACr%+X^CG0QNPl$YiILGNLiob-s(mk~D0IRa!K( zYlse3Csy9_vCVv4IF47d&$3xoR;w7>YENV%O^-d)u4Hdu{p@m90xt?KL(48Jw(;~V zE1)wS*zq##F@Fp$mKW%zJx!=&HsGRys5)*#dP%?VCvidIQd4r8#=x|FOPVCLrTJ+m zCA+)=>ia_}1vm&3{|Ebjg}f$T(F)v{{D*FW@-q$;tO4SgIK{t#_Ed}Cj|!p3@g0*~VZ&7syd=={~~-ImABz@M?~_8f>dKX1k+ zf;M=Dg}4j&{4Hpf=!smoHOhyxka?glFD7+KLFik#Xb}=e+S4PnB^gBwT8Zu_%Sa3@ zL${DxWCwl$HMSi74w-TuqU8o^finTScLkXJM$kKQpb=up|JXfnj#lOxcm|>7VH- zi?b}24P?7n4wi>MVgaiYFUJ#E7W+0E$v4_7xz0464yN!o%*oF2*PtnVWfUk$OeS-ZR2&>btVzg?ihy$8nZAZ`xocVXNbyl;aM#_K^nKLIL5Cb0^9r*A;zDI#Wo z%JZ7Hh6-C&oa9+RNr~Z;#Bn%x4m*1Us_#hgh^yi`JV$Qe1bgvSJi-s~Y@qI_pl);n z7k~nqiiBFAFK9iw0_^rhv>No1B$NnieF}<7BHDv8;|;(&y+&2>ZqR_fqP0**3{ar5 zfU4pIRjMtVbPeP}S3!dukFueRpx`tBC2y+;fWm7)bd>^yX(sGTHxUb*+E!4b*1#^0 z2F2?G?Ace|8O~Q0l>mSB5M4wLV6gLp^5a1L&{A{?-lZ(8?mnE@Jy^?XV86Vui{)`6 zTm~4s9^e~s;8SQ8aLLQyNe;l;hrs(U21RNz=u)+THTwsZf;DzVHuTYFVk#(43Bm-$ zdAf)J)ZvCTl!g_g@D$j!3;bU=$(MkAQsDpR{1g28iGPE5m;>ElnfMnxfuBV(xD>O1 z{?#3#rx!4qWkE@rj84FAc~KT@qV^DReeobX61RisJOP^H4T!bZkYT@|0_}m{cR^JA zFaEBBmNgSy0=^z<2E1ZhP@L+4-u4PKr@LYh=w&rTBT#wY!8)*b#;=2V{Rd9h}X zJO?ak7dW*U;EnDGtWrx*bKH>SyZBx3XrF_nRJg$PA2vi&2 zfb~{}*Qf@0<3JjylPg7AaH^}25#vQ=Q3~>+sHg(b`5mfuKFFZA;0j777{uf$;C_#B zB0fSdt|X{v0kJX%vTLz;38>{9>}3-;{mBsjhavtlU=3TSKJ3(FJP*!&Gu{ndraxYb zgHZ1W;@_9cH3zl&3aq6Mtn0Vf0-x;!OkEsVfa`k036+Lw za9wN@eWB__{y&qFp`*3{=C2zI+u!U>>>>Ne20+ZF!1<>U8q~-^VgTgPB$$k{Lv+PK zwmNWc!04SI&N_fLJr+3m2C#EIK`WRC+;25}2YQW(Z$lM|0fbznuOEAe&2!m)(3Xte;GO#@@zM}PdV`#SdhVdCSbZL5NXrkjN^G)Q5aTq2kP|+ z@dV-|8)Vxl{ueOSPkA$N4*m2ho7Lu z&jI&oH(VBv17-FJ{sr&48mGrk!T-D-@50aVH8}6IaN<5-3=-k_j-Z^PBq-V4AST0N zGAPvXXd%4gIoOqq;t9VCQMLstV{vftt_BQPPjuiT#2Y{$XLvqdR^)_C9}Q2E7M#$R zL?*b0u|SN1cvZnaP!SMvFR>9#6Z;_Z)56{ygZRr1tJT4&_8E4w7ajmvQwC2!L3|H? zK|>%GUPIPTfC%xSZxE9Ts0}d?9||Zq3T_3eO)EH!84#&AVa1){?Ee$jgn(+g0cz86 z*zq9L9hFUHivU|r297y5?C2Zl{o*1-LLu=9 zs_Sjw4l2P8qz8nP7v4pIx|ma}$0Z;-`r{>#SxfOQh_od*7igUl^v7dRRlVRJAAoKn z3m1VrQlMu2&x-)nN}NOka2MV|OhJ zB_0siMY$XpcvLX|iG@$v{NTaqXQKCO}>Kez$Lfp2EN z_zL~vGhp4GP(57W2c0a|BL>~KH00Sw@K`;8dN2^qjzJ}A1ot!~@I$rQW{K8-Vb=1eVg%&vYv`kszzh#B%tajrcsD3<%W{ zU%)GrfZB>Ic(phU)uIS0K}w0oA|tL06<{_*j1%I>3)#2YsG-S+X!mxckpVzfL!|x^=dkD z5Q+qNh$`@mkQe3AKkPJ{4$j#VaF=O6#MgXr3g)@$mX`@TKH|3)fL|lpt^ku^9d;b1 zbeT=%k3=_M4N7twYHCBMoo`{cB&e62|6i%hhW^H>WGiWbounn%MeblPpz_+loYf

|X8tpFUi%;@IvK4h_IR_d(b;Sjk-~?=zR<={^Ket(pSZn^WngpJ zGkXNf1iq7{RtnVBfiP!`0gOJJ)T23Q3+X1w4$O+5JO#dGlr&3T1pLeyC6nAps!p$y z3^WbNL-W$b^c8hUJ!w;z>^hKSm_cTcj)3{y|L=S_1l-^i(7+GlefS~NW$-nDbGQV# zicjMrFomr`{{iaEBr*Z(aoGN2=~jQ>G@ctdBK^Wrs5&SUB?6oMmwes)3BJ04ZlU&( z0`@IHB`rl&#?5522h8Im#UEUl-j>=c@d{|6%06WzsB{74ro2P?B!Nn&=Fu(vD6o!o z)l71JdJ~_4D)pXhp%P3{9Z4r#8&3k<^j>@tA(({r0>g6>R#^$AJrh_S8*)&E{=6Em z0Bw2!-9&rQo1_5TZ+QXeatNS&&OfrZz>?*%WOH()K{#C~7#QH6JlbvTk#=0C&T)<|`cgF=_#-lC zl^mO#wOyH=BlUU88+r-fhdR6tZzSDF49NnXz$VaX)`Aid&Hn|)zFQeNr>|5zhQaM9oO z<*-`e?Cd;z_lc5pKFCI$$8vBhoYodPF1mI_)rtD!*sErdI^cW%!+&T8 z9z@cS?@;;Tp=PWDPCS7rygjcA$XS7YJQdFZr_(>+Yl@?7$vHfmERs4av1%T5pTgx^ z@(4LWIz^k4qEHn(ixxaT+Xre~H6s`<8y*l$@Bi)jlX^R)Y0A3f5y}1}Z_@kZ^zL)M zN8yXsL8h=9re=x?{X^u2XX+=(Dxl+9WNX2yNh)~{ZK97+e@f5DMz|%m4{qjNfXZ|QV!Rls3%Wr(+=z)K6UhX4 zs&Y6pevf15Y-ud$eih}vqyxaBwF1U+4BbOtfU+_lxT_v#*J_g(uEk&E3M?&hyUuDv%r=Z$7lvTFcA_MhkPf_01m6Pr}Wg zWh9=Kl&VO@qy^vuY6Ff9F8=~QkRgS@gHv3oqUP2PYaO%;>Jnv%{8QQsj*Q(G~ zaI8U4PKpDwT|^Gb3zW&AIDL}7(_1u&)|O0gBlQFAGAnpys!2((`qT6o@STTgD!GB{ zq7uOLtpr5B22_&$c3~^Qm>yXkP7kWtxX}IJ-M~@*A>S6S?Xf-Cy!XA=d^rLOgJ(jk zLlZ&`L(@Zx!`mWLjTAG*Y62_@Of*oT3xE#wh$PXDay4a^G8}3U1HIG>evR>3dcBTb zT<@w~SM#aAl(OnkP#Y?0O*LH`s9sYVgNFyJ-_#fl)Dv}-GDdy?Pqk56C|8A(9H|_U zVpzsDnNEE@w{YYleIUSI`{s*x`tXXs{dTd+}ZVPL+$nJ=q1 z;?Ctx>n`m6>h^oa_)LGgV7K7sz>PrBU|D#I50L`qJS&#L4P=-gUjb%&fQQi}a3G`u z6(po|Rmv)Rl%whm?WMljvE4D%@koEHmDZ59P|F3H)OtN$&!;ce)`P?Eo3dR!1FF?T zZM<4b$ssq8Ea=PK<#yn)EC!CI?9y&pp8f*uXfatu`jJeyjkpZ+iQmq~rm?{+WIq5! zuvz3C_~9~#%7$(P?SLG(@0$V@wV%7Q+jLLx_&xW%k9{Kpje^aB^@6iOXSKr@BV&!F z=5y;ZD+%1xJh)5w1bS3$X^w0v-_%&`iCSB2pgvW{YW4IYj{A-wPU_6+D4?&@PHImz z1+=wCdQrzgeWBJB>f{Yjj(cjyv}{@)^^3e)N&~n8?jeIOr#?8a&PZQrPkNR74OOfx zSwZpwt7-A^fCrw~I-JHRcHM>>T1JydOn3q~XljQNz@tI}mwj`+c|4umgWV6@c|3bP z{k)0byC?#>e3szc;O5Zj@We<7qn7Em-msbA_+1BR73Km`Q`#>VQr!?Mx740$Cs2vw zvs>sgWh&mD+(uZQSYGtQMV{Lz-d`rHP!su8TF&`pWIFw3VO*l zdPlkjD%}(Lk@O3qXg54XAu@z~1ztZrPAg`!kM?^zJL}4NLQg&ij>UqJU}!L?ny(;c z_5?2Z2l_I5C%X5hK25FVzUBT4GNYVttN%n`Vqi_6aIjfuRX9)NafBP|ttG4p;N!JW z4=KqHUPO=F2u@;}Rzy1pC(&9<(Bkx_j>^tG&dJV6j^X+bh?~z^c73Csq~~`03x1)@ z>O|0Q*Qg`FL7HBxrG~+~r%T7+R+UT64>6fl$uEzR_Rug{L&lO9q$bqWp13<8PG-Nb zDQgK1-0Su>OEYspRum1L2u=^`!G3`?{`$VB;KZAj+7+IoJ>ZK&o_xOH;KW=Phzm3c z)(y1|my9Gt0*2pu&HfXE&|WkNxYj<@mZmCy)Mr`){WLfWzN;-YLp!ElaNKe>ag}kY z&MDx=x~pZ-d+R6kW{w(;S9)7*p%SeO28DmWb{sVE(yF99mab48So~*FVW?QoGH#k6p5F*$?e1P-DH|IQeEIhC70qzaW@DcqLFiP{x1Vo8s=8 zx;N!SO085Qb%Xn@r?zjIe{3K(I8R1HUfc-xk7$5kyMx~-7TneuaT-v_^MQMns>L*? z?$k$XxwOjKMorVV=_?&)ooQUVoU7q1EG+_mpC9tVtw%s1Pf!~ukK{?p1hu5rMBAZ0 zRepiv=n?dV&$KGk>n`A*zAROh=F`K#LvJNT>2$h~96)8)Yrvx7uU|?I+(-YG?)Y+TbrPrlr*;Xc_gx@C@^v#N~7qa%zrx@O+*0srq?c zahUoMeVG;ouG9I-PW8CPw6WSt^`5d>-V1J#Ak8J0QBEm!z){m!dJeU9B{@o}fI3!? z4#cHI71kQ2f?A-%^|5`RBxW*7fZw;=WU!yzVI}Pm|-5yQa)cedM0& z?c~23I0NS}B+x0?F*HAXKa$t^nv;%&8b~gJ3u{E z9ci5>oLyXstC;hnUP8|XXHdjZ$x+*p-7!Ma@V3o z_&M1u?NGXE&GfT+8-0iNPECd`aY|q7SnT``NcODrnd3gJ|E%7_@xp#eQ(JJ?6{p*;W;+ zk|oS*<|K0?)Yl&H1oZ>`As3eUCxT;$dd8=wN!gt=BWYIhy41a%cwgHAAg#cY!(cJ=_bKOCCvu)C5fi=hswl0!+(x)I?XTvx{!4m%wTEkG|d^9Mc@D z^upQ}MO8da0W~Pl_m`t0LX9(9t!nnvvt61ZMHCLn1*p8qJ}F3 zd-;3#gg2uv$veP1+!N)Vo^mp&$sg@c|D=4WNuGB8J${GZ8C)0{Xfe_S=9vqtxb`sp0*xT=?RG}1si60X$ByCI`?SFQk7MzJ=Pnz;-VYH zpqL|3lIyGECAeQdyY@wAip>=p6{AOa9iQMd3`bX2$EX!iG-|vvMlS+B)Tv4*wXD`0 zI!Xs+p!AkR!+p~Sz@0q-hPW!+i=1j*HFiV_M=C_(B8S60LMH=rd_6s1QY)r@N;#Uc zJmtUS8A(qPS0r}-lQ;QA>V8il?_2Lv?=WwF??G=jfALT&qoI9>H3olj3sw$p!5<-Q z<+o}JeHr-5H>eJExOz!bo!z1~N7sy58C@>wp|i5{p;L;w89gQTS8T)BThTjRt(|$D z%bY)5*`nt}SB~E2TIV>fjZ|MMy8zpIw3gaA<(*WAF2e0VD|?6r;YsGs&-y?Ngq?dp4Cf!ZilKd?B zXUe-2M{0TSBu{l0@_hA7^wkcyLXX3$G0dnBKDGUJ7cmLvr9;3!H(u@uew;*bryfzq z>7yYh9j<(?SKyue?tJCy5M3#DTbl7{=En|*Sr8o)9T(j#rcmtk*bK31%$lgX&c0AR zA353rKd{*`KtHB3xv?~dc%iSc`TlWZejOUZ*obQ{z6!-vlhTLIqq_LUZUc(!p7?@iJ zORK>HKLPwLhP+!{td-U4LOnU8@6^*d203TBlB0gav`h0nO)xfH?B#W|-shq_W+rK2*rPC5$db=C56b^4YRhZ&$2*^cJ$vUU@*sc|Th2Yjka zLZ1U$eWg6^lo81zlj{6=_~${=>Ezuh%TsrzVE7o4Qa^Q;JI>S5+Xy_NCxW+wErMTz zGs4RZX2rq3wbBG1hHv|e)F+=w18I+3SM3Zg>9;V&lm$zO;vw zfPXpZgq6iSWh^(`k>d~<&-^33S=<$1#ZvP0Cla0qnpKij=34rGx~7UOV@5^F$dF|fZKXg6pm`^e4@|O20%_UQVh9? z(itZA<#5mQn|;SBXx%mU8>!)*!LYYY>V!WHe;@mGEOC=NTe!Vd&(0UxlzKMtN@Dhu zRo=IOwBedYEjy9L*&mJ5;aWytzE#Sp^;BBpXW$qa33oc3(j+yTbAW4{W40U?QNZ5( z!f(|_&eKrO7CF|aA0-_;IZ=Aks6g}x*GX+V+?<2^OUgHGp0knbmVQ%;2i+)wQ>EJK z(*I-WETE%Ex;ET8?lBS^0>Rzg-4|OHx8Uy1LXgGXU4py2ySs%D;-1m=?*9${clrzo z!_d=Jb?cVh`@H(=Y-l{Aj<78T$^le!`d_^<^yN?w8 zd`ix*9Vr>!H^gOKc|#g=n(9ifl)rFGeF0Hmqg>rW`rG%g8qSNW&};SIjc#KP<2=)R zBdL$1W00p^%^Ys?oAxr-kk6V!O)`2cyk(>D72O5<&uit{>LaST&T6`0dav^l9!~To z1jeiX=tE5JbTiey+#vrTZWCfpyYZQUx`E%mdY&KIYtp0BVl(FDg^LStp7)sFmQ^^Z zaN1elB&s1iqMbR2^QvcB2X&>~lH^P^gTI9qcW!5^IOO<`ed2>Aj149v`m*ceQ6)S^x4H$*T*!yAt=tT}@vkMH|ZN-)l`pn;3x; zwJDM!f+b~JA9}`>W2mBRSE?BlXN{oEwl#*<`iIuu&OAqPo6YjtEMoU@C8E5?S_-&g zf+Xh;!x-&~++KTaY-wNSykdB(Rgya^*<=lBHC0Vbm)l|<`(14%P7dUHSNeANH2)~y zgS@smr?Bh!C2d1$m(=@N6F8i#N$>NTC2sy1k$F)p!p;Zl>l%zn%@Cc@S6p2dl`eiqMYBA3$pnZim3E-T;`4@zms%(RfBESsIbEKiAKu7N}v zLY)olOAT36BVD-dr(>yofcXGc+|iz%KOv3DX&BBkF1c44XK?n`gw(h~Pd6}@ za1^z7G+n_6$(koy4`N>1%Q)L&c71jP8(V|B(3hTOw}y%F71!cxL34$$glenRO~-a9UL6LQhGYgAedNP8|^cFm*xT6Y^t= zOL}f;ps~15Dl60!-0By@1;;k)P3pbyR=iJVST)-W<67iAEHqDYe6qGTwnj$qcFQ=M z(KggF+|=1R)YaS3&=89F`|bJzPC4X*)kgP3Y-34grD>&UFM(UVP6!r= zxAgN&N7?RE^$-BB+oXwvWceB0*Ss;{1bia!u8X7nTjX-4 z{dS9a6&*z?x^=c#dpT1G@_6SN;w^n_QKr{y0o_x}CPypd5Mr7{5bsR3pgq>_R877< z$EovlgG`s$RYV*40miC+vPJEs)Q~F(7yb9#x82*^&+{C)YS#YjU!HWOH*FNtGk5$R zk<@^z$^L76rA7s^0x9BsVw_Tt|174ndz@8WH*|^^%L!zxwIF=hHM$40-0XEZoF9zE zm=^2?OAmXf{cCRdZ^tY)fdYuk9FZ-JyF*XBfhr89|k8!MZ85V!Yt$8Ijk%HyS1lB}Fm_K7N(BU`bi^wrmwQ>5uiF|LWHrI5T88}1lxIiqi2m}gG4ud!8pKkVz{>*_1#Ny$E$CFM*IQyD#bCQvO+|EqH9V!5m8sMc-A(-mb_KaX=_&;YKZSju81NFs zhxthFTJL&ytDIgLYtt-Qif=smoc<7Sr)K{A`}Z;bKza+?oR};w7B7gc$z|pCFwrst{cuTrh9Z6 zB5a0HBJqbPsWk)5_4udBF9G0FT+;d z4YnjDDGtncx*%q%t=e7g$qnUm`49eZ@5kKoIkz*XXHCn_^Zy{n>L-X~#=Relzu(P0 zrc1YX(G?OK`fvM+@=pS!zr57JusHa2P+!AP;i&hK5^5S_YitnM7y&=N<7Y|#Fo?}l~KE&iU;i0Oir&j;OHA0lln{?`nrCkA+??;(LT0V3XL+^c5vJC zb+#}q#lF}#Goc%xOaLLQjiW$FmUTUGDsUjML~)Q$*h?@wKXx- zH+(hb>IM?0a1+Nu%TP-T z(-+#TzLdsMAFZ!LS_ZrIhegazg|ozC{Xs(x>jjx~Au_m=#LIF4L{6;X@AHL(d%|n( zqJN5KLhi*ZZ-$V*HSJ<*v$Ul2Svm8#EozQj7bMOM^UX)mG+2J+d+JJ=~r z;z#>idG6+%%iNgJE`3e<<*cokJATc;_NKU9r30~PZR5WEZt8E$nv4b6F6!TsK^iVQ zrCd=ZKik$8P{P()H&SJYcwKpGN!vlIXkG7|9(pabn=O$Y4%*!s3-3s={fE7v*LL2X zWavyJ3-y$~tk=57-q19YZmcYmZYi@6`+JedqrQ-1iCkpgq+pfSTs+Ay7h~lN#4QPO zgg|>Q6SewNEh;teT88ecCYH1I^iU#rhcyB zu5FG@Gu9mVFWh65O2C%>&g_?Erm@FME+s4R8PA> z-2r23gzQ4CTJqNBtjmebbGz^6md#w3d@M;!zLZl(w3CtQL3c>9H6b$dJ71Zufvo1j z^c`|4HD3FmBrDzYx18fc&IM&z7n**V>pS+?Pn+K9r67(UF!;)e4X8Y=O*OU_qO#O$i0UiwLg7*>V@R_8Ml0O$X(QQzDq{L z?_L=v`J2pZb`(>XzRUJ9PS7yf96IJF#Mh!U+mCHvApu!sL1aWVuK;g9hh^pyo0rBU9q+z6}}hiBeP zPWU}KX-4i-wVZJab2l(DU6-+k|rS|QrRlL;4=NW zLS1qf+g2aMfE6Y7=N9u@?V*@f@8dHtPSap{&P8`c; z`GP&a+#S6M{@Q_K-WS4dWx<)@M&|;(j=sr+n9ACI*^1eUnd3~f;TpYJDKFIK zZ%D^MH>j>o!tT=w$w7o``-Q_Ey}L2jLz|0T#SLUD&55jzj&etNBXOMFi0tMG;EANM ze;dE*uQL)(5U){v=(qGeWVrXGE09mbF8;4z5XA@f2k!fx<(AKi%^aU`D0M*cx!)@j z=#+)I`=lZ4Z#qoene!~Yf9_T;MmtIM)}OVt4!Ij@a@5h4WJ($z+qby%u4DFtL1!b1 z6z*Q2qxB5)lX}T?H7*4U;IgT+IS;l{Qf(1f>fYjAAWTsjsQbknE|pWIv!Ff%34{DZ zprjN@9nr1Sd)WJQ7Bh>T1S(4vobtw*ms>WP%9;)vtC-qiw7J3z0j+o$cKJS#Yr%C} zs!Zo@c#h^BbJzAR^L);!n`Ok6)UePOj%uT z#*28LB>D>oHlLL*f$5%ozAIcS@hNvGaFdfnRjW;1!np95zb;wGGCCSu2?{5rhma57 z!BEB2+;Z4*2xCz(oDbJAQ|45|Ox;SVtTqaJiu;lGSz8Jg?{Ymn#+*8tnOU0<3ez@& zP5+kOIrCUn^{mYFap@~FMr78`4j=|DJok-fw|}i~k@u6ImaCW+h8!)h$9Y9Rk}juT zY+L6#=B$Xd5EXI0aPNYa;Jem4wu8s+c5#88vhKe|+Sz>GsR>r@G zMY+w^U@y@=+Ezz#iILSq!xEUGo0&o#ul1#@r8IX?L4kCYQ+@o|l$$HK$W< zq`R9pF%T)N5+6uIlv~IMh?Zonqc6og#Mw$Wrmi8(e8YG`R|)xQhnQIXB!i|~LVqKcA_h86n~yl{ ziHOs0MC?%3NJ&C5k&q2a1^Jp-Tznv05SvQ@(JoDs21y&F|D-sn4Kn|0Dj(FlS`1>N zTM~PbN0Ng`_WfEI5f1OU6Y-s!z{e+WH?P!G&Xl~!!tRSK+Fnw;T9{l4TIq|xlt7gD z8ridBrM|*D-YS-t?P^CvX8Va39cf6h|yZkp1|JCP~&GqUqg_- zB|90Jw3k@QFvPss^278&H~!2zmZ`50!(UVVAveOjX|Fg?x+rB! z-J}WPO(BKP04v^}9_kEPiVd z>r(S6<4XN{b{unv4KoBxwXGg=0=%{ZUhXv;jTlEAGaYIU1?e4NKfPiP(eYSa=72;| zSs5!=1N-<2aS19EHNi>=MdV{sjH?g$EFnpxq(Q=Ye!Z|w>>*tfM++;s+kusVg@J|~ z&tV@?j-kM8cI8pBi=Eqz_|F_2aB~y$N7l;!jr~FZRCGM0$ z6IRuWc4sddrT`a-EO z`ebkQshlR2lJbP=80VkMPt`1BxxIl0|EUZ`j#*J;=+9Py6{p-(uBD7ep1~;O8Sa%6 zg;?RexI*fPtmHwUU3vK$h-tKdhq4e>I~f$9o9av{QBue^`e>kbu7APhSwpCxs+VEU=<2fn zKpkY4){DFe9>OysOR0t^xQ5ys#HLTw8mK14st!k1M6%3^D|tb9Dvedbm2@dm_94zJ zT#k_LNE&iBIYgcX#Klsk;zSncQAF9sB9HuwItO`H(V*21B(@``V;H!URVV`SQHzlK z^;RX|y`s?Lhay8lR4*tCP#d3>CWvEOB3>2T0wI!OAt8z9xN>|bzlf_GxbGh>Scx~x zLu#&akUPc&R6!SR&}n74qx=zdHrvh^Ybc?!7-CG3=0f^pMLEN59QbnQcclBt}tZL8^-;z48p?h-XS*Kp~%LlhPg0 ziSam(Y^Kf;8*+L6!(3Hy42Tf7#Uk=+d4kxO`-|Hm29!$bE|CkQ`p@%))vfS=6Nnk) zY-%x)s;*TE5Z~xeY-NT)hUp&i9nRV|A#SWLod5=eLg+zivr}1YfIW+B1{T>6Pbi+a zh5h6YY7^v~n3cj}JE5oaLuo4w=NkAo`dF|?V!U_VwYaV1S^W#TD_7iekN-(8Hy>q& z^O2r+{%hJ%{T_WedN)(uoM2ySKCWF6f0Ki(8yp7=e}nk1bwnPso9#=VCc3Fr5jA^MKB6>M*CnZMD#V z+ry8NcE~lvx+2!mY6Z26Xos~RQ=6WnP3MfBcb*3PCB(ceR<0_@yCjCIwWT0=1(Cpv z1hx8=Xq6ffCN`EeGcD=I%rV^s`iSP2-)bJ_ocR<~xXZ{XX(h#9tOw=lO2?-0C9J9)nqW zoL9^}0SX-_O@c0!jxu;`3HDfh0!X;uP3xRi%Pdk8pKGeQb?^#jH{%B8yKbhLHU)@g z!Xy3$h`f>1OeIVVmRf2&cxju|o>DO|t!fJMg(i}U2)W}z8TAvrN4Jl*s$QX^_zP6= z1;kdl9x}1F5!ry@_NDoH}2CzD3hCoL%h zr87!n`X~FD_7Sb9%{T)uMehTdkZI3R>0 zx___MU7jX5gfik3u{`(P)6kvn?&K@v31q8TXZ;n)M|wT|JkZhiuT;+{SnJU-0X1(k zKb9_SY-(uDOx7Q=Zw+qbaO&Ss%Z(jet(^7s&*jES5U^+7jFP#=cgbDmezP>Pz^LkeXcE}rPovtpGti+0&!P4$P zS0&C!rQ`r|+a@T@rC;hK+;ya>Al(7v)Llej<}S5Cc_%hk`jcg-0I0DFHAA1G|4NTm zFUT9g$R1B~$aK0#Rzu{@4dxV>5b?}6oMG1{4=XR!o75*}IWu6+dW>#E zK|eT3E@b1`3uFuAr!CZuDxZXXe7YDxz6CE98SkJHB#{hrkh~*z7N3b#l`cxKBnqeH z$<#x38dIGtMMP6Y*jDsG(3b~M_jL30*_gkzpcLI+Q-`Az1azRX^V|aF}Z=*!kMt$2o>vn|r^zV(y%bLTNwKD(0N`wU)Z5vC>U{ zAMSxXK(ATm>Xs-uIL!)>B~3a@GsK#@%w=3PgMT`<+S=M*Ig7ZySZA<}pb?Q~=xUi@ zhyfEJi~YxP-0WkTDwFtRaSihA!o(Sf8vi>$Leu6X#)EZIIaR_e(@iE~-}yN|K#m3r z?jrF*=_mh9oMubv-?Oi&*3>Sfl5M0xoLM(Evg zKWQK5_GfT0@LRrn5k`SLuGW>Ni|;U#YsxS4aJlz$`Mkop-t4^@SJPW%T+dD57lHHr zTco*Mei&8RcEJ|OZV|Qz3aBRxiPoK_+Pdbt3AS&+i$ap^@6C1WJAxuywaxps8|H@cI-y8F}afSsr!GEY^i{-WT^c?29_E73O5~uq#$^hPKXw(ld~baLq>XvBjr*` zi?pEJ-r`AQ*A159rHkZ6Tf^Y?*1JSJFG=CL9kz69S;I};YHRlbMGGNNJ?N%0FStZ# zeMc*H4%Pvqpm%YW=q;?}8mTw+%gvp1C#Ajq83C`{K`F>7Ig2ws=4=XNfvEFCI4Z=e zyY-7K%gxuB4j9Lt5UX_Qtbxptdn>D`bNV(!vFzj$}T&Ge~RV^X^RE|T_`Yo&KtBJ|r4aS=mC=vT0r z%5T0ov_~#jj6wgo);QZ^PohMLvL$8}uIuW?hH1}~65288sBd-7pqy>qH0()t^&j+f z^(FK1{6^38^lr&1sjG7myraC^ysw4E^doDg(`WOTgRG}q3qoJH{xbJNboL8#EBkv} zP3sQ(4(A-lSwmSw2DMgoa?8NW+#i|7?7De+-%8&pe;MA3zB@w8K+N79v6%J?vsEiH zw6>E~nDuNKIt97N-^fJbvRK7eAUibUPWr9%eaSt3Qwft3-MMdcWTEXP*&^Eu{2Q^m z_~6os5id-!@@dhg4I^I(FY{`8Cn=Tf&qHook+;YN`G5KE=XFm`j_deqeoFn!KvsCJ zi~G&a4trB#eQ~Zpu*>U645}2|!QRSvm07_in?BjQ1V3^WavreHb4NY2^xpzOZBRpNVLCO=!WXw&GIQ19r480UeAPO`~)LNRR;^9r%r zn~ar>dcwSw<1DFpA(jOBRw`b zI%#au?38nv)z#R7ldE@c=&i<~~BI4fuip>R8%`i|I zpS>Z;ow_f}lesQ_`j?SkCt>IcwJAsr0V0Q`FrH-EnTWpqMr>Wt)Vzs29Ta@|CVZCY?|N35ez$n1zo zVeRal$Qp7(?KOH~L0XWjNG`g9X_4_Vxr1x(so@*x>5^SBsX=_dMi8$=wBv1 zAu_4LbT2XuS!XX*N_ys-l_zDLN`I5yDrIw8r;K)4#=J4yd}~Tsroo(sbt^kdzm4ot zc5&$r!Rxir*-?mN?3U3d(f#vL@)%zix&r+ma5QcH?>5Oz(wnCDOYD)bDrrVuH7&yU zI%smiiRGHtrt7b+xgp9Lp$iIkwz3vr9tj`azw&H>cqkpURbPs;xl(eDJV;oUeIV}e z=kV`k(weyoc(uHeURLX1yA^ROVs3CtJL?o(7Uw7Zcq!U{SA4|w#EIqub(*wD`^vyeG_deC+3t~WIdXHJlhL3F4B zT23Z$UOgfX6~=J;1DkvY{PBSaTy=gw$BHZD>&Wf3X#3?NLUX>l|Asp}XK2Q|w5RFs zGD0)_sWE9AGL_uI{4(}%(KWR@HeOacq1@P#ffDZ0Zwn=wR|m!cpg1qZms%n*Iq6o` zVX+!@Rl1VXBw>Gi@ucSIH8WDvPa^{Gn^MFYAJL>FUw&bY`E@(j`cZCkp*OCI=Ay>$ zbdb7$Tj3iNP^1MQN3)ua&-L4pJ)>klOPu_z=J$Td&pn0YA7Ck@(2K173LGdrzd)?x z72rJL4%U*bJS* zb`&udsuFU?*(>B}$UfI!#-mIm-4EHq<*96}hG&Bx5|5dVGq67QOwNMbNY8TbV1Fb2 zEU0q_OJX;^40L3^Y#lor`i;HTD@}P z?ln$EbuE0TFkftKfz!s){?Dl`Q%|Q6>0^=?CVMkWLT&84=67HG{U$y;u|$?3uTO3* z&nCVXbJ3Mjcuc9fdt&bRW7afjvH$%@MB>`e@6sr@;muV zzA$&q-x}xkJp$A7Bb?nQ@;h*BX-qfWPO>he@@B!3 z*7-y=>4+As-)S3VyKCKLjyJ3|gt1Shn4I>%d&g-Br&ETf`jb8-MW*i+mK#bq7uzOK z>0)WIzgC!j3`YGWVvJ!2;;T9bx3o<#tujxy%`*%WGcp<{yieSbwboP6{~@xr5!JX9ZtS&XrorAH^i8q^wEHgp(j*pX5|7n?J`FHXtI(*BMs~9~7}3X#oo`)&t(KuOeM#voJoB#0?3L0y#hfuRcd@68zpa0<_aE<2 ze`T(nZ;dC?m+7A=wg7Kpy|zwSEkBi8NGJFd@7}y;c_-YLkoC1q-X7Yx`uFCwYrP5Y zg7*KaJtO{;KVcDm=n^brEwnFY==fkVpbM+zm znTCq=7QRLH+RPC5D_)jvi!a0@ih+5q`-c?yZSDtoFg;4ydg zP~Lv-R_+q+9REZ?5?vv|zQ%^y$~0b^rh8@91nH?7%^T3??0DxAI0`_U7ewJIYnOU>)M;4i5%T3HnS9}qBD-Wvtw!m}p z4!=R_uHR-_={OeFq1dwW{mWblE6)5BL$olaDOtzIXIQgK2byRK@s3~a-kbN;6PG_AKhk=nW~MJo^CnGAES66BWyPv&ai^!9%UtOztnSyQDR-n9n2k}1Ib#bvvRzkiua@fQa|Be|6kta z?!R)!=6=rY<-Ov25C{#73H0I?aX~^C(SUf6r|K(e9WwPRQ5UEWOi^T8wtxUw05S#W(zY^Er);en=UZ{sYsx%@J!ht=`q9o%{|wyvbA;Xsnu%!X+mLXp0Gj6 zB90j^Vx`p7veNXQz7Vrc=_xJL?Q&iTE#vCQ9+w-49dL?OP0Lq8n6Jc=*~&Iw5%(6~ z2KkHnfhV$OrDx=H=02!%9=9eq zYB+bK6z&G*`;&PE zM3)O(S>!y76<$KuuNYR#pZI@xTI_~gy+QI6Wv040f2Un2!Nr5_+`zY3+Tdy%X)1Zv zn&HpLyX0-Ix^#C<8-rsCwJH%FI!mpbwJy7q_Q|@^xzHHGOwcvQZtg3wU*7%PzS0#i zAjT0>rT20SNK0!aJ3pU)CmjS?s5vx^wv#KsjV(g{MRcR@(@@SrAGVqNK{Z3}8+(gi zydKU^{$f)>>aEEPG@fL43K2Qyv!?nf;tt(gi|6Y2r|>D#H*p-!t=4hRSts@! zs*^{xRZ6JTSS&0;*_6M*WpdSo1>zoYC+|Zhwa@>CUo1Z4^Za}K`?(YRLw*}Km|Mzu z_}xNx)azK-+92+LI>UU)mFj4#Pm^ndJjzfe(_Yux(1{^0TvJUYsCeZJQNuji6%}d= zYHO{hj|WGmDlrm@;1k(%`e4ImcAj&Hl%|>0;ipT}|e*&BN zDqv~UQ>GxQu@13=dO)pEw@bs6Y=VNGf*pXpUF=P$6@;>T=m52zX%9Mg2D<_Kf}faa z^k#7TcjB}bpkF!!r-6@&17K^dQ9QWgOX**+j@VNq#L8kB@w6~o_=kVY|0}TKB4iKD z;Jb>U@@I)hF5(bQ4GiW@A}hC2_TX|!gZW|N9xV+j8=XPuSOq4nlalFPtP2_a-HhAy zAHfYOs9UDrY5#B~>?Ap~uzwV95LcO(+CZz8GQ&$c5EG{_GF-)(24d8q?#! z->3x6a67sbPRLe*thyJg9~B(KYw-9hz<$Y6ekiBZYx!z`$}{9Be#P$MDvTE~sv@6< zc4ucWHF1W46Pb=+@|97|(2S_A1~B#&M2^2vc_c^4Ri%SsJL#8H2{X5cQZ@Mylz5$L zJLGG20;la1@sa#S)xx>SZfFEJm?BIHZGf^%KV%` ziUBdmO!NfX>oXBf#Uii$D&obW2{)|e5)>haQ7RaNJ)uXn3?!X-*v;$+-L3BAR`9xd z;>6LZ+CluRhHQ>`AjK>K`*ovIL>U6AZ8!XFAWD#>G*hpDi#88zoN_p`x(r63k5GvM zWH!{${5XR@3W}sl`=mzZv&TTxdyUw%LLexf#Ou7O;FPR9_MRO0l=TlAZW!)T8ufY@ z%5+}rT=xc<@PPUZJo18y4Pmg;U=gv?(}n;EI0+0n12# z#97D?kR@tUpYVxgU_+JyGcW~|xQ|2-n6TwY9u!UifBT9%g@AlG6!+Ri_5*z^1xi&< zadKG&G(jHZ&}t|Llpw)Bn@YUL=g&eN?l5YnJIc8WDmb&73Xa-6 zuuK02g{}^`dBvd7HkU!K(ZV>+*@XI|));UocG-Oavd0-rAUEb!FXMPNW=;_J1I)s1mE-u zn5YLdkH&-gUKK1?3TKjyKxkY7ZXi#zC)eQYYZ*xJ8~zXjNswT?V1~ItCe|aix(d{) z{sPydJkZG@z=Hn}{f=5w_PVYB;r0mxEh+2lLZ^wPT1`>`laiikObqS3cifTEn76@9^piM;MjM@zQ zZUzgVhwCK%cS~Xf+W8p~M-X7*HiI_6A+%Ny7@GmGj2q+Rei-_~29Ropp)V9cbYyLm zZ!V(VK0#q26ja?dAU2gi>legT6(`~S5f?WOHgE{+v|F&fIM85AlchndtP3J*Hz+1$ z!paVVrZ^FN%;~6~-dcbBxf)M&1T4$Hh~41dCZnzbU|_B$Z-B;M1{9dq$d=Cm_v}B? zN#281h}sgAFiU-bbNJWlci7JzkQZNrX!;n;)yJ?UO^rgV&LU(?5TI*9Yl8TOxQ<;Q zJ@&v;7e|Zxp~IM=eb6qW)()Z{Z-He*gF#wED~Vbfil^J6UDZ;-Gi-|g(;0VZjXEj_ z9_&#(?KsrQP}Frr&4^vOPiT{u@SSco4E6mtxNB=cEc~Sz5Z_Y`WW+w;0FFZaOhqqQ z_NRB2Kx(R%Yx=3&S*AA=U144&aq)ZKchFth>b zI-h<_fOeR!sfa&{0R!zTJdG2~)&B4@{n5_d;JHTO8EPWZ<~uy@9{9|%pc9`&&2$Er zs|xD4Bx<@WNXZRB*Bu5b;STiTU*Lp>!IHY-^9y0w3-HQ+o*jQ)hl#8BuBV95dW~;< zhu&Sc=F0xbC6P3!_%Ju;WZsH; z14(-m@=6=PbKVERdNBN58ru9I)H*jHKC3*)y@#Nj)LLz*)>50}w^-0h>w@7q2yE&@ zP|Qq(g6R+VpZ8iOavdw6KUM&ZG#Iw^2xqb_QND1D92T?y2Qq8{^uKw)-ZXep$L;OGwT8(dR4qw>_l;rXt4`zXPc^L6* z8pKPR=9808`{Voe8W`|KY(`i?#F?AZeW(M9<4tDJ+u;LPoGf_CqX5>j&W^0yz@l-&z`9D zVt;JEH|j0Frk=p^^YtY3f0)*xu)L}$QA^xoFvxn*Am27ci&jVbRK-;SmkY1*&<75K zPR|QG!!z*cIgDln;qM#bdAgt_^S#+g^uHW%bjy&v;j>ypXJ#ry$YZ+z}|Kfs@HSA(BJlHXm-QeTuqU?Pz z16u$qS_}X14!tG;J>daL{SLFp1k|V%_80~m&BOS1730-W*vEZ5_kGMaCu77NfiZVI z$eUZ?#V(_T?&9@c^TV%{#&}f~WAr%G`9VDMQQ|mk?i}jvFs}2s&cd57fR}0tCU#NS zABS(egiCL}yyIOZUY@${qd+-u>9FT$dJX$ol6`LoVJ zXs31X23KI)x8cuUqEG$?MI552xU$fz;!$t!;3MPkehTIkTTx#sY{v#ms{>msLC~;^ z73lA^Fb9i)yM{#YboCOj?w(bALe`_d{G8kk;3?#-xJE=IV!{YtKjbr z*cby3o~9+?^S_|)uphOBSTNM$71+xm_`6t?cM~kW|dGKiX zn5D3R+4wF0ibff`V)oDk&sveNgVCRi7JGzeI*GgOLtCtdmzs*FosPb;8e{Qc-1{~@ z@eKVx4>Rq^KRs?FM&fnEezd_Y)JP(c2fwUfexI*JSp+s!0^I1r_*oM3i2}GnaTUOv zNki@JgQfO`AM1fJ6KOG)OKa`q1KpN<#W(mq4t`i4kJM{Fry79%rHJ;7Ihf)yc87hv9Q|V@EU`( zE_jk=c-r>p5$)0Dz46|74K!x3%unK(-ohd?VO=iRXT?9?&)KBaxg~AZs?`uFt%!lvQ8%6!a9#&1RM=7UIR5~ zL><0GEo{ZpjY6O7{O7qE!UoI3`-Z^F6~ibLg&q-!s}%edfKa%C{x|aG&&;b~e$p7F z=#7#Mft^lO%J1id*Kk>WY1!4zDnsLMR8S_r6ONBr^8#ZkiiD;)OcAVTmn z5_5;L@Y@w}mB&>Q|5_0CX-AzR;R$*M`6B=Y-v>M{JOqp1^Z%B=24enq=~1$L50dZc z%V3PDiqh6WJvK!Pb%g!&h7}FK4pP2?!EnrK`{12!__zG4CEBtLEWHtItUj3BHE@Ug z8Icv#f8C$&lF@Io&_Z!2-8Wcw{_N=^%9`I7VlhtdLFsqk=Pq13@j8gQxDF5X5aaiA zeC`oE-Cg*PAE*y6E}xc*`z7MiS_OV-YbJT zu8z4-3(Se~`+a}Rt43jDo{nC+>W|0228+3mIqFNi9%9CS1C+phu)^gSm8Sez5%l;o zpQ?j96-O=Q*HM1!0Q!Mi@}gw9f7(AAzkBdb{&VtpN)DI!=Wi6+(}hbuWwz&(Ba1_059yA93 zZwo$q{LdXvmBUKdkq(-3s=lit$`R*FrHD8_PU$jX$JX0O)VGP6k zt0r33gmsi2>O{9N%I9Ebh{H^04CYpwaPOx0xex7-uTk0IP_?E_hk{*Nk45=07StejSe zx{AnnA2bzBOjWuvc)By`ZJ^{!I3Zbp{r2h51#bcE&{5z*&je|{AgzP4*gPf*n(<|* zUgSw=P^XhesXFvRDj6CdNmy0g!PL8m4)WDAIa#@b9gptXW%Z0w8L^a6 zprxOMD&Z4oEA~-3VE-yf(eU^3(BtZ$D443$*Ir{E!~17W;f4-T1lD?y=w%XJ8= zNSu^B6YHwms1PuP|Dir>gc_t2P%99(saQsa+TnTn6BR@Cq25yM!LvRI{n9nMvAVmg z2XxX$;D(oD(xGO2lugie*04zTP6{-t!4P5%a=xEXi7IBmoj54d*dP2rr zV74|}*(~LVEwC@%7wWEeuus}mu8hnfN?e7!kvYgG*{C!}r06XWLcLNWd6WEHUWL8$ zZLq*SAgsSpN~?{q_w|&hNX`WRe-ZX1mZPUbsEsP=7Vs5Qr2R{rm`eb<0 zH#i%p1KsJ#(01sd{Du6p`;t$rBmGta45mJIm{JZf6%DIb?LN$ z@(|a_zrfX>f?VJXrar}CK66tWN#>$wG{vef33JJJDkskejYkl}Lf3*E{nzpdlybCF&`pJYZuvmstzTSqd(sr_Up>M`Altp#08mVH8b~+Uc%u&(9gboj zq!kq27l6^+67i+w6sIyu{v`E&p_|c5>nUG`?qwNy zt(HzdW6v=+sis67>^*EE?@_(cWBz6Sg^uMZW-Y@r19Vl`ZcwOrM++c~Mu0pB{RW&x zL{P}qWh>}U>ksQF<|C|jJQ0ij6Gul;Z!yzafwkRR?XfaXz9@YGzifkgO*tYDkd}+I zP!yEHb%HEClwHa=DF&^T5C{Vmbg}XRgyvk(bti+adsa?TDr4lD0_$i=jKZ3rKD2YL zfM(ke`EeRK3)-7HsucFrKN6!s_zb3&lAECy(?xBGZ(9g8sV`7H3sPg`mEf&*7Dq~Y z^)q#xxlDFZcOzo!GyhX=Lj~xb%u3Rtu9a^{+vF6r29?XO@F1a7eXOsJk_0p_n=#4E zQzo4~0`6pQ)(a)iXu3HaNAG7dKtdm`Z^*jn$K-EPM_buZx;$M69U?nO3-L*7NrvDI zCzx7}nO=X4`n9!L`RA=tA$gKA68fZfp%!TrD}Xn_@L&0p;wb2)b_XYEGjg%6@l`=Z zV?i3VBL{_(b;^3Vf-*yWuj!y4(-WnCja``5&^a1})3ZJFTxJ85*1u2@ID2+M`K&$k z0G#v%c$jM1ap+flRF*(x3;PVv{cEapKrDnES(`PbRbqK%2sH`TwOR258vC#Mbuugg zeDewLwn5TL@G!o~N63+EFI^V12`8O}u?zE-5UAg52VDb@?bovJpd=dyvc8kCFwq*?u~3ku(diQC}W-=%j`#mQ0HIS_QeKvfs9 zrq@aiLQD?6PgiiTrL_y3K7@XJkhL^2^`QI{i7)~l%;xzdl*^43B%k&QF zA6UjQasV}go(R587u_g!HrQQU8Yz6$I)4UNmX`n{N~)L-DTdhho&#O)er_u>K?M zX8}6LT&^`Zhkw%MeCIqSx$~%4TifQD?(OAWs1I@+QP2#!D!WTiWS7z2sViAU zyX7--2F2^Tsb%)8(e}$fiZ|%N2Z3T0nLjeXI^ z;k}`Q;VIG1=2FW-Mg6<(jZThyp|3Z6w#II1YJVVydp|Reh0I~c$4ZjGx|9@!lJauS zxp$y|=f!2xYPl{Rvjx?f@=HX{AvusxTQ z=X&O6W>IsDvB>y9Qh7n4zx=1PHCA08NRo#hG3@JNcdCz5qUjVcYet$!zpyhY?cFUr zAx&1A2_NmBorl6u)#K@a%Xd^O!aK7_`5TYOVXiP9C+Bb%&ga^#Hd3#{0H{cEPHCx| z@`DPcL9iwolp%tbRt#=m>O|UxxBT zw;5k^^Y|^>Frq{BlSX^k2w6yjZ5KOFGF)rsPiwh>D&X>%Ud}3Ck&CH!QJxKwhfCR{ zROyKvRBosP)rfpUS}J}|CES|1-Vu5yAM5x%C)-cv8g%hrSu5?Fc3o26*K+rLX)MR> zH3eUQW%fY1jFL~5?DgRs!4NFYpX}<=Ete_hlp4gY>k=O0U&OX5PqkT|fm(MQ0E0qC z(I+p)skp0GCJCpYtDftm`=d5d`+*eqe_S(Mhg`|7Meci~IJ9+HQk3cI87jh`)Kq1> zDx!Oum}ur+X`MQn;sV_%*vDHLfgVE^?Bx4Ym_M& z-ANfLYQ8ZolF&!;HHFCs>f(UPk&T#29@bT+Dy4;iVrKb}@}=5K$&4S2k9@=iQbzd* z6}cd1;R*E;57CW1A@4PpSb_@Y9^Kp-7!5s~r=;n;GfS~Hb6A(nFU?HGAl-;YBO8rA zu@=%{DWmYKekN(?9G;;u2=TI*p83|Ieh z6~Ifnfp(P4lT%tt_joOty0@&_O&KaLksW0hyXvI2SIHx_B?;{jozx{EgM0#q;)>D| zyQ9%RI>5-_Nc4JHooiN3Gf6L?uZjKoItfXYum|jFla#1@l@D{i%C{aPo=vE3iV zcrLq=UESJ)ul5b27OM7l<}>`2zU6$JVlE(gT)~(591a1e%mJ2eNe&8fmZ)&!sGCH^ezW*#$}nfQLDYoC%}3ox1ZSyAcOCt@R_F8rg@n-Cf_@C1O{m^*aVmhyXToiCZrz!ae#Y~oB18k4X+NJ_E$!t@zu*H`~AYdKzZqdhQ|g_~}@eU{AW zb5;sd-K*3P$>Qg>ZHy2HSXHbb73mJExusD(jEuQrcce@+{ww zO0$v_!kOd+b|j5$pHxDLkW~|)lYS-@Q3ZOdv&ubX1c`Wcm8()wi ztSXT#W(s$$H}ETJ=&z-pg-3cfWth_&U1&a12cI}?lDy2**BUz1k#E{z4@^&!Mvgu^7bkGZS;3^Pr#u z?e5HrHZb{HhEwcL7~`+)70wuX$1_e9=MSpiwzkRnxyPx@+~low)M~+;qcH00rTnQ{ z)@v-O5ZEKQvEH=V!CcU-R3K^C6#$sohlF>m)EbTD=LW8{A8X=Z)S_xk}lk8j$ z-K+H;_S`f4H3}MUoS4TTz$`i8a0vA z3Dcw=;$0y?ipfH$487Q4xvSEZn(Cx9l-l7}X)Gx!SLjeq%Z4;V9xAOOJM6rqQq9(p z{n7@$Zah`VU(&xk^;5!Q&dqh)+ReeXqo74SncpvoO>(M<%Z0smklJa#-HoZ`LuU{e z?Gaw@N^;<*#7>zhF_Od0u=5LZm?XORwfO92upbG3Tifi`LO!b!C|?=prbXrB)U|&U z>R7wPJ5E0_H~r^N;$C~Jc+nme8z{aNX2Z=m$0X^a*$LN(vibzEys+Hb4MJEPm)!EP zN=`O=xtSIlYqd9)kf~eEjAurcy_o4hcPrrJN2ydms72;{26~(pbi}#; zk99Q_&q{~IBJ!`wb-I0-`b>sD`n_~tPLThR3zBJ8M9KbthU6Mj%DR)q_AM!pn^=3x zrN?3^Qsjn8H-vh036GfuHf92SjXCE)VTp7!_O~-g8o{igusq00;x*NB3fR9pzX<(s z8#H38*qwVhrS@7ul3@k-eF{oBjmnK^`2@Z(}Q zi5w$i@_|#>o*A2s<4$Lx5Gvgem2fujq+jvBC=nY)-fP2H6&yWF(Iw{)!?EttAR&{K zA{7*llJ57F)JW_v7gnlEGu6{_SZ=3OS8hxB$gL_W_m%r7J>>#&XT_F|p&NfHdEvTE zp{E>$$J#XU7nl(%VJVHFqxz3-sBUMNUNL)#zLtC6~j^KtfAXOKv?G6AB?9;oc+>jA!ZBh$zorYdkf#&E^(&R!D=e>k`3b@aTj%2N2h6Qw)jDR zD&=vmSYJ4=g*H|LQ-G|Ryja!#irVT+tBuIy-+3gQbq0#h zIL}{Mmw2aP=sLBfwZa|aj`FQ}UOr)V#1*on<(2yARotHFi`bX)5!1(XZJE%=TrG{Y zk_5Li+cJewOd0}CAa>WvFRZsCRP1wO-Ek~C9V>*p#Yt-LQRX?Pg80%bORaK=+jyPW zCUzWc_*U zkyKI~PZHaI%mQAJzfSK1zfP5&f>q=7!!I*77knfyDD_Kb(zPUnZ2E)0y zheDi=OJJ6tvsKvWq+H+_6n!oc+}5El;F6WoqQ8 zHtyzP9@7@S0>fAl%WCEke`9Z*cD@mM()Dbx@9^h)89{L{EYX~?@9jl$HPc{rTRk?? zZp7qCFn5t2e#-ez@2>V>E__{>Xy?F%KZ|gf)z%h=tQGd6SX(qpyM^P%Q1!gWBN)Oz@@aC3?#cV4 zddzgA&&#$MXmDdEBdPH{g z_X62WAVDS{gJ4>m5sHdWVah*t*1~YfYqu0igMYMk+_3^qdKk=KSud%{Dp2{3CP(TX zHAgq@(%ts**hA}$Q!iG+vS3*h7ltwK>dlm(BA8dl*ta%#o7kGf(lXM6n9f?$VsEHt zHw!S4sEv>Fs=L{DgoVyN5_;Fdg`32^S&*M%C%3^!e5%gc#Ym}qLhW3Fz26pW(Q(dG zeRYMu)C2_d6B4@wY(9^08D4Wp`~%=W5p*X>e8su0lDT?b9xk7d*HMQB!AP!?>Rz7{ zudMVFoqaFXV>4>6iPCVXsoy?%L~Uk(sM zJyxGCSOR?;MWwzTHc%$sX>L10=LFW?0IpE!;K#8Zoo@xaP z!*pJOx6OBo@OPbH?p58sVr{e5T1~As^c#+u#R{7qaDxWUaDJBG?IU(ZryET7vCawp z*5P2f#o;(S7J9JG`ti|JdIv{*nkb^%z9RL;U96_;qb}Pm4dN+{WoERJ|6a}wfajL< zk_xUjNq(QpZuwuH-UM!le$;TkQgPIgWGNF|^2yRnJof%0XQ+U~<-?{&4!xv(t9?onaa)EDzn5##&E6mC}R1rwDwO)1U;G*^ld}q_WCy<-e5K%z)z6-bxA{ zJR5MASnukh^Whku%9{crsO_ zE>c9=NCNra;2!JYnv`c+{sWlzAa4I`u?0@D9Ys@7+!>7X;0n;60ai(?hSkyP3QE|O z+0t!u2%5RJ=1DyGp5RGa+)mF|m14gfvD5R@AE8f4#hZ9NO!ADdZ&q-!6cHZ6<0-?< z7~v$XO!d+RTx&U;!$LgIN<7O<^q%)%Qs;4G7}Rd(U!K+yCaN>7FRaJpD|{e(y&UgZ z48+pM?@xwLs4z{wfdZut@9rq(XnUBo`sE4oLTZccoLxJmva+P~Q|98*SWbC|KIRxo znje(I%46k>(nPsND(#Q*PC2JCoSAwd@RWw~LFq@6q4kV9=Yb zE!JsEV6ANi!##{@V}ZRA1<{wh>Z_o)8DL(D)b4I}SAB5qAO6qF>IOfoX-tXf&IeXu zgf;sOA77ynI%3acRpqc(T5;BBs~Z#R>GWSyKzl{9fwS;V6lSfb#D>7H=*g~LAhZ$N zOIhSK@Q@XwyWepQ+o_HlL}X9Jv>4KeqIVZix89SUCw={6s*~ItcXU=ul789 zwtW=bI)!I6z&>PkwyIerti{$_(3bpQF29nOHk}hh1EpWap6Ut0R*a8t*jbgGL(TWaY~Nh zCmF%-*5b@cb{@gx_)(BiPYB?wi}97NCl^xMDEH-2a$72c@0pq2RO*9PwgT0^Lb7pR zWrT8y8G1qWI9@sN%4ND9g}t>#S*CoWq{`pOXQd*Nn|o1}zT#I`rrDjj8=AvhdIY0k zE&tsctbP!E@dW1?UG*-f7Zpwm=8@@9Kv$qg%TI>wSDc+M;VC4Noqf~#i~e~5pQ~&2 z0Ofwb>@E>DM1fmDz6pz)5_Yd#wQH;Z!`07s8o7hKJvO!X&XbTCN7gMJz zVfm`Ok(BDqN(FVKdQCm8c2{31Q+NX8j47{KGn-J%y_e^Z+B-_VD@WyPd~}t!Nrj|- z@U{z4EewXWy^vYLcv$RXSmif)7gll(9C8dYq5E=<{%4EKvSJ{t7B|W!6m=KzI{D4& zXJxRSn!hsVK4zA-`dCY>ot#ZAnJ#?|UcZnhC8G>;|NlugWp9bR+MzHv27^(S;VkO` z_jm(m(|@3q2b}Y$OXfQ>QE#+ES9X|FYy)YpGweysr$*7MYQhEfV|?s07$&8~7h(Or-#dQ^$= zL`v|{S>DSTv`8)}{{&ihf{J7rd|)@KlpkT&^nzWVE*9Y@_?|ug&gso&821!oN()zUzwG`Kr)+-@t;w^oNgX8HLI1?g?%*MnrQ8@wz6`5#nIp? ze_mx}eZx~Zi*tGgrw~fS##9B>w;2 zA5*Mvd6#a(SPF^vq{eawu(r=RFPV*y5=e@+mZW9XMz|iRWpI^l?Fzb9YPsF#K{B;~ zP9z8K;b|ODms=uf+m@Nv`ks@bGzelRJpWc0bB#U5DdVA$W;`*blK0uexM-w@8IqT3 zWFBY0bZ(Kacp@LH&+XReLJxAjEa4P>PM^{SP2)GrzQ2Vz^bI#lA<(UI`0qaC?(W3j zIoZm^eONFyS@;N>ehJn8YnW?Agc|f?{YeVHz!W4-$*fFJx~St_H%NZ|!o5{XBnP^; z>%MD*7Vkbm%C*nam884NuAZ(=t{pDRrMvpLE~?4O2X;z3e(jJ=y0`ptb18|g=vP=y zf5);5?_hiRW8cBmX%GA78b9||@}X~Ab;wQ61{Qe@9CtCO@MrxuO(6XHyQ6&%nskB`X18)gJ|zd~{L`pg zPT=vk9WHZ4dag&pK5nnB@IC%zcW1P_+09{g;kU|r|ICd4?wpY%YT!JIznPo9X)<$TIV<&An#?a!*; zq!w{C(zcPVece;qv(kM;%cWJ+Hjrn2*4^53($m4y-+f%`OX_L^?W|VJZD`}Qy__La z$U-iw)M1`>MVX;!%6Vx8Q;mm0JblwxlxtUE0J>ss=aj8*#z*a|tby&Eg_+D>jG4v+ zBi<|nqF>QCpqJDqM$1GS@tW5~Uq*wV)McVo@f=cxR zvnLD?5*5wP=6B{U9Bjj8Mff`vtW*%iVP-+|b90MH1!MmjyDPkhBa?X zyt@S%m(R49u8wLw=J$7b-^WprZB;5Lucd`_gN@;Zm!Kc&gRVBWkUy42Exp%%V|&?^ zpB>XBtP1aYjh*p)bYjM2_}~E{*;e%}5H}jL4x#uIQQQP~By8 zHF_GE@d-Ywztb}tgN%IeUV3qU<^t)mjVk73^MYx@C;HuNX|5nIxG-MK8<|xP1Nq7f zOK}nRcqgHfct!`P4D^4eNa>J3L4>=;7uey z`Zv!pcW(D`UUzr*AGp%L!yj^#D-+0tqUf9-mXce&uly!|4&!bN^?0&Sjd|dBajP&t zHrlyDrFaqSBFX;UE@v0FL@>)W`U3rpUf9TDoYfoYv!in&-pIRfwaC=S-N?U@1(71S zSiK3~33n$E{w?kSn{bL~rF-?HXhFS;{)#-vAx1|dy`j;4@G6a2#xdh}<1C1AL?31R zW=>)PIu6ay2C)`Q{Sf-_tx^`;V@1~TK&_JYo9hqPX)TZEvDfXd=-=;Kt0Vj^8nvZKF9l?=asvlJ3!j}6Zc%tC{F@Af26COI!~#n%vWMcOSQc^SE@rHa86f`OgZ3Tc^gYdq*TX(<9WZ~!1FE3@nchI}qt^qmtr#5^Sr{%5{wtI- zJU+aSWQ7jlEa9}!^-v(xKPozF^W60H~Q9^Dfyq7T=9(AVkn^!|EZy(!

AIEvGFSE*zMwe!?S7<0-s1fIXgwpt=odXLYDDAoOr-d1j3$wg z_%c*EbTXI{91=Po+8F8{dKKJ2(p9P8-eA>Gk?>6teP`pEcjrm!nKG(0a{D4ZHvfe+Ks;PT+d;13~Bcv^UIcy_o;*bDn)cIbY{A08au z5N;K&7yd0&Dbyn54v&SWk^#?%9Mm>5nIkoDraJdxL&VpTtiB@6xQ?rx>nCzBQrug- z>HYKktNgcoe|T$qPk4rU{_$M$9`jA|FY`Du0!U=v&XOv6a89Pi@_}Wgji}LRW_8V)fImnp6niKVZ zxR3sdj*9M%tPJlA)d@8WEx}hZdpKM8eyCb#eXwh=OE6<-O{j7BRroTmtXbGi3iaMl zVz>w1_!Gkw!plRKL*2r`$N=LFh-Eie<^xfRfy_~@)!=+8>U!>a>8hl~aH&t{p66NO zD~`W#*|>WCE1bk%d75~hdoFta@s0L><{#tx-n-EArKg7Hsb{QrxA%_srDrPX1_~@* z6F$rmSAG(DKdO1v5PeZ+D!#dN(nWFkD9!}=sT0S$_!aea%T)wU|ZYe*b7+41TXdi68nue&4iA)do z4{Z;;OnsALr_3Vtu~+Jflq|`+le#A@P7;#uCQnH5r*=+loAy&${}P&-b`o|SK8On-9f9(`TEo?_-Z7~NIxv&`3!$29!&Qv-Jrxe ziDE)4?`v~Sd^x#d%GeYISD-Ibs;12g&JS;c`PIRAZ*+lw^jL2P|LH;a zBbj=0Lf-_l2lfT(ndDl{;S@1-f!ckrW=wNm$=JcTRSSbWAk8Y+~V~9mlVI8W)tqlMUm&hl(dd%FH^Ip z&QAU#sde&})MdfOq58oFfvRcq)4ajip**Cve2wc?2I|`5RJteWpZ{b4{~-E132g5I z(^#q7L-}KOJ#vN|nTI8=^6XOjz>myCuRD$`kR9>G(#=Wu#lOn)TzjPDa_yBY`!{_< zWEhFg9 zocwRl>(r<+3=wT#_rg@_6?6kH$}rJLoHlqh7pgiQ<=vIc3eo(NobT zcv(%6t}DybWO*{4Ts`$rw1&CMej)TxMr*aa*L)NFLH`VYbAK`3t4irwr6cJ)zH~yz z#D^Jv%CI$YTHG70rd)4F4LtKi|z|21^1`5OL?87CO=Qwo75wzNphCd z{b@S`XVNmHzDT){vMQ~0=uY@1dm*GRFy@naQv#;rT)iP|?zHgg(9%GGv>j=cBZ=Zw zZ`H)P8J@&9b?dM;?}^>yjwG`0BFDUGe5${QZ>77Kw%_GZG7E{;!{~3(NBRQ$fLIQ1 zs5^=(ZM4%FHKM;nSDCBfVtdt-n(Y}wT6UW6FW)b|kG?8#j}y+Ndz`LqqMj~GhBKM= zW}KWj+*j2#Qp(B1qO&lEm3Y(2XdUIdd`bnVm)3$z<@U*ylfO$|oO~zQB75jrpiWx; z)Tb$1Qojw}3q>ODqe*%K$#_HT;Z`A-QwCLROMSe4C;V-2Oj@nL1Y^AHj;q6JzwA@A zQ}S)_tqjry<%nyqw`|-te}11#)>uVXf2E(4DOSlWA1xgntAA&Qg<0}{xFdBCuUhx? z`;o2DH1jctWXO^!ntpo1dCxs% ziF68tLlRoY9@)*TS4J0jct3^P1XEI*r({TJnEW=WXOfoOA?4?^Q-P*|3TYQp=cE=1 zEDZVCSL^hDjUm?e_EP3ZUOT&Wk!+Xe(UXy%Lc;?Uf?4#R#T~wDiAfnUrSp34D%r`0 zsv`X=KTxZ9O2l1=%j(zN1;NM%KgJ z$4Nu-xCD1IkJo$MGZt^>CUllly+6bqiqDgEZZW2X#|Co*d}-NJswdt3cqb_>xkYN7w4}6GY31~&fByJWi9e?QE8R<9Dc3eB4i@Z&m}wv73`>Pqc2YNa z)~SK1X$MkTCeKV7l$4gFCqGI}2=oe63JgqZ$m=r#*F&puOJAl}Gg47}{R=j=&RJ<^ zA&V!yaXe~-Rs`#&iGj2F7`dJQi}ZgaMtzyIbMgTAJ-x*gsjJ$`6F1v$aGaZNWp(xnYvn&&Tj3es^=|OK@Sf)0 zIsz}flD9@&uej#%^V022te7Ev;s@^=c^UePUtuXFI91I##%a53EUWcpLe#`qa$=%Wpq*Y04mX<4RbK0D=mT7WeOz>&&c<4$bH))0O%tB9EM?oXTvxbjE z%R~x=8w5)SE~P#WywW#{5l@YHE#ZmxrE3^>&>$g;*ib63KKG>g7sStu%i@crwr7h?#G^a-l*5|?({zM z?(wF1N^*Op`rL746ZWM0J+V@{<-WGAqf!f)%|UT@>~q*W*HLM0vES=&BW)vI&N2MPsR1s(^k26hKZ2ZO1F%6-aH+}p}K z!n@H^#O>B5xejOn?^kgf6BZ_%@tf}A>IU}nRVFpdz>~XxPv?X|IMAMGE~0m97X2zb zB5*wAi_`{zdZ8WkD;d~3a(FC#+K-|AAy-(8*pW@VbIq)$_CjzDeAcX!_+AbOCx$bJ z?gYOMK1HZJA@FOszZn%Wx~gg!$Z;9V6U+sk@-Ft7yw7#Qo!=u+<9(sk(oFcs#oR4j zALX|&J4=%USzWE+Y3VEO8|HcCI*u9XdfT0QiX@Lup-)_SY; z9r+WBnSYcMCxCr*jLl#gyOyc+bgL$n#6o+%-NveE%#ZqTaej&4 zsTIw_lxe)N-MDJJGP`ifCt4p-$Yr#@<6f?1?qm)*#oR$J^dLGgs?i1A3*QK>3cU_4 z2)5)M-yOb##$XyxqLkC#?q=R5OKG$nj9H=~bp(I<2sd<_R9-pZ>gq1+sR#c&Dqn)9 zS6tP#p5DQ}|GW*nN$yPUa&!jWy*qtdeI>oC-IrZ|tFP7mt~=Uk_cixpEuwy<)TH+P zUY?{pReWl5 zo}?FyD$x?rX%icFh!Mvg`LN7m7s_6WbHgKHk@7Mc{&gMS1E1P=uwf%L(V!I{Bl z!OfxUksf-IIo1Bto=&FyS^Im(MekNjPF7y4f5U9QFBew?H9>3OQGBg^1-+tMS8J)c zT(#Vzy#@Vo{ukZ~o&(xY?S+=n^P~4`-rcd}bsSd?%Kb<%D$4Ja)RNR}@Ee!G&R8Tx zPCc1g!64v~hN{LiAzeKxBBNdSpc;bF?Ru*X@x? zJc$933uJ=k!BgN09u7J)ou%+w2FQn)6x>pqDJA6&a#Q7ZwVylUo$tTmYwPJurc770 zyw=9E*1O&N1wHp@t+K13>j$lvrF#doDnUMEN6A1{I6!@@k`SXj1X1ZAW|3Bs zyqT)>Qr=4ULH>WIepn#oqz+%F%#>;g&+(BvhyTnl`qeFVI@VW6Z>%pzsc^%1!D~Gh zofdUR+c1Gx7P%XJqJP0$9iEsGHa;?CK5e8J515y|&K;e-RB;qVuf+*+dAw`Cp+9w_K&vio zkUY2w?&#l< zH{F}heTipsy+}b)05TbKtb0x?m~=atSbvVEL@hBa&ruhtHI*eu3*on{MmgUP{?Ac+AY9=c(PQMH zj*h;Gdi7$w*K>I#e=sTT7A>LY)915h3p24O9UT&V5I?0Vcbxy7f23~&v!pU^w^#I^jJqAT-+#wDm)x3)uJ^9p?!(^Se3gA? zJlnMNuHx|A533RS7=!h<(aO;=(Xx657B?+ED+5b>Ba1H zYGiTrlD^tVG@GF2ZepG@M_T=0nk;qJ!vEQWTkJij3N9SwVPZXn=dcV+sxUsBJ7J(~ zz`sY0jd!xZmZ=Oz^(#1%Xsu`M)U>}P$?0#L13&S@%i&hil6wAIZ6&y916NbB>sH8N z6hiCWhdqNmo3xrJs9%z(+1lOI8}QmBRNhiQl6U4-x~o4ibN@k|D7QqTjkmBcP+Ev; zuQGeGuK%2d2i>MC#~A>2u?T+ouaYgLUtT|PJsS+q*l^ku_<`) zLD104@ZXQ2om>xdXf!@(n?Z(>m_pjla`cSPL7}f%@pgT5x7m#AdPb~|{X;JN#Yc-mM-{9-lQ--_VXqU8&uDqxl zI&ki{m7gk0PoLWoOsj~Ywcg0 zP55PGh@Iyd)Pq}a)PCfQ19e~O{0;A-q16_)#VR||IR~R{6DVs=E3b73{C5jno5tWT zdGVCE0Y{)V)AO95RNtZ8`$6~(oU$9p%_^7^H+Tw-;Une1F-X7#BME1LVzIi;H{^dV zG$nJk;UUrV=jemTKj0MuL#4w-qx8d|iyF7@1!kPNg{ZhrIn681u6?PtM49nMd?+1I zF1vJQemS)9+?nIiD!$T&dDeNBy05!hDa+xjc9agw$CdolHLqcBUI9VQCo~mD!Gg;v z)fJ24+OZUVbTy`)Kcg3E2v2zu^Jy2Hp{Y2%?2N5-M%lBOo?NgN!5jS%_0WOXO-{}c zFm}FUf?fyz-$Kq8_DJ({qnz=zS!KJ8rUv!^Yn*ik$K#f)^Tr_L%i#mfLv?c- z$Kh8nf)ZkT*+u=~)2(o-<8!0NYNAt`j1NV97)(Ap1Pc4J+1nVPUj@Id80i-DN9wt|e4OPeT!^iQ_ZV@0#a$(vFpBAQTM&XS&UI%ZoY9-?YXKMJDEzg? zFw6_XQOi$lw9B$U%#YyDJkRWArZ*oM?~Fy@q#scK4uMxOkt(h%HHDWd@gS!`0rtQ& z6o{YvM8D^>C<@|J4lGlYPDmzv&rYytj-m43j4t~Zxf_UqDviY}@vv|ghsd_5U9Z}8 z!QanWy{va;Y0}~@Qe`X$(OeQa5&k!n64ZkQ!U=k2Yc4n3beMuOg)8XFQgM|?)$+Q( zK(Cn(ACl3Et>kk}(>7~oT)!!4DEJ3Rw`5K2s6JCR%3kR-K09mC`qY$$Nq5=BU1KxB zDt>Vm6$ng(YYmsQD5UR>4ki)V z8~GyKHk1ag&^B;Ca4mEznrgaWgjr5+UejVx0dKtmu6NY6U7ZBG?E>fROXYKyUu&f0 zarI%|ACx}GdDXnG@2O44@|04fa_}-nz=&I^6jfTt%VALVlrq3tIUv`Td!lpM2U@#D zyhi0YSz3>$?pQo2NASL%0l$BO|KLtMMxL_5j=`<@7e+}6w`dzHi}ldFZ|*VgvW~W+ z242UEWvyKe4-b*kGaKxv&2UT)#%jR{de?sW9_-vjViWq# z9iX+{a6f)P?IVJ+)r3v-3})8>T-+Xkf9-*jx{2wiVNNvf8>NjZq%K#bUY`>w4zk!I z;zzMP)hW!WuJApxb3(kvVgCa6&M~z%TG#FHXah{RZ8-_{yRpL_T?(TmWY7N;RZj zhcmTV84Q!|rXs1LnhCvL6;8AKAf^LRKIg`#d9e5#&)_IJpigeMM{sgkjGiiw&;t$F zY^sx?@aq4<@98@xS|6;{tf_PG;qvgLKId+~X+1+{nFnrQlh`gC7!tXmYT&c;QW!68 zg6o$6&Od>7ew;W5Uv!7PKAnA74Lp7}b=Pwgbfy09WA-QhQN7viZ#gAe;gxfdJ-!x% zWI5ctTXYMPjgR^)y^Q`-^hzXMWDRK0h0tf=XOR;|8F&mUnLni@^!W&YYqVRZuB7QnoeG{%F^8enJ<}fK#g?T-r|#h+9#E zB#7r=#q@#ce@QIDj;I7@;(%D5n&T2&f|dASwa1BZG#u-D{K?aBp+7O)y5R}@95#F| z)_gj9ot531$|+XQm<_jKNA!GTOJrQMyP?^ixbn+*(zD^7Eo2I=$p6Cpu7dm2w^Ckq zQ!;KJ?bv@m&>3FD<*lSRL23zqYB0>achXtut+W}Zp`7Yw7zlTi`Y?OfDbJOnYJPlG zHYm-M_VO!f1lpHAFjm*$*jQhf$|uA8+!B7bIGnm)oX(s)nQ_zoNB9nhogyeFf8{(c1uwfINNQhs zw!D%%a4>wgr%akM%BAHf>ib?OSzmIR&R~`{ktxMPG)re$af3L;3dc_K&JE`j^IF~L zPA7nReV|ro!z#NM$`@)DsuFG%-D9M{V0vKYv!>aDVfQW;E1@1ZNe#3SHc?Qhih6b) zPiCi-0VUaAoUk+Cy0()X)_r4~D%XfNsTcC{oHdm5GkKOl`IA4-U3|ZiVCp==r)P!I zLou11%z(F7MjphIOQPxv;>eJXc~K^G)TP;z3!SgQ#EUS)N`voQ$oa=!Zx4j8-QBKj zkG9XS7dyd!Xhnz772mhrIHcX6Yk!OGG6BR^vq)4Vm3aFRFt1dCO>PeG`=@3>F>yi zc^^3(5hCA(_l3R)wGVX;XN%4>YQawjH=*W#F1(i_usZ|FeKnu!XHfsU%5f0OS7;CZ zRLiRcm77#6Bc+-0WTlJJOdg4nr8&BwA?&-x@*sJjEXf(s7w1!QDIUJ!8SK#I_&rrr z-?5K7z<6q=>{V(g-^k^q5#slpFCE1DC<2n$qYj+h(J)hG=K$wcl9gudwOZg&^rN|m z>T3hK=!52Svxt@1st*!~t*kYqFlwK>ra;;FZudv6ANa4GK6n_=u{<5TJ*eLEZ2a_S;DDbLoZ@ zC+o~=^H3qaU}iK!&ZE3Q$C1q5Sjd_44!7emcpPM5&klnFK7*6L0A0oivpQY-5aW?P z5@c@6~VU1&vWg#5inj!x72F4lj!vKr6madG^RmPUC$z5X9t4N-@;pt+>@k zqY0>{9L25ZG(XFq)HgW0Q!~E9wR%zP0?gSz>A|zW=I z1wsGLc z%P^;Ci1}q5Z?P-XZtd05u6)`Ntq*;{NF0(*6B4e8n)Br=x|EwSozF6#zeg( zOu2c{oe_<9xpHJBbDc8L710d(ZGDIF%uHvOMi*LLC?|H3y2>||6Y6KKQLe+T>e^1t z(Q?848>ZFQ3TW5i&6sKxwS|&R?#qsukIvu_xASLsm94fuW5PO%$znz$6_1Up`k%UB zxQ(*LP$LgD&hM;tnHq7A^|y7GeRPMn2^hL%!>xMIc+M+a_ z-dgD!wG1gb&D;;%`#fE}N8nuc^6YTub-%!Wq>|P_y(3jXwSF8WdNUNTJB@avwTb%I z`WgLO*wUZzcV@BA;fGm>*-dLDtiIHydRlqsdDoCw_nmhWA1A$6nMpiAr(0P*Dy?Di zaKnDdq{wd!)O$y*@PDCJp|3-?LT$n=!d7TbD0BF`h^kjLo*Q+zN$caeQ%_jVz5lHo zkQXR>)hDjkT3h#6cYXIF__5hxl&$fkx_iJHT%a9xJy%ok4y-QK6W+lw>S)VWKyM45 z=x$_I2BZi`fUA&zZSa!7cO!HSu_S(T>8Q&V-vnDZ3J? z?tk?b(HG&Z;41k-CBki^^NqJ=BWsnF$;lvYRTgWlVMYz~PV+_m*WGZwbHQ zuN^nRU)A@EXO(-lHp?|!eJ-yP<73%y=`qY^rlrp%IrUa#VAQ3*jOK_=h+K@Ei`Fu- z!tI@CoMz$}G8$S1sfY8!(EbMpiy`dTI^3BzWJ8&c$JIOcD0o{9y?ebad}-d*-l*rf zySBDgEuyIMEOAq;sO>g?BE@M?WI%XWC`YJ%XarbG$#B!iY?z_tVLw*nnSmxTm+8i= zWf5AtukoC_N4~*)6o#c@+t}aB;M*qYr=p>76yBj2tPoh8wlVE#U`=?35kz6S3Acz^ z$^!Rxf6j!12|W^eCoD_onr>3M5($(1oxvqm;~qIimc<2jFJm0++;{p07=P z&Fpj(E{OqYu{>STnf=a(J=@X!oK)!1(*}Chd3*ah z`kMMwU%W5Lo9z9;(@Sf~JCj#lLLU5s7#{U>VmgYG-;nzie+9Pns^O(QEvTkYg zFdMQzN29cOZD*sd`#|Sf8`Wk*X&jmIjnVbD!DTT~XcT+lfSj9EjTX$;%SO6~oIpZg zWty6HFr`Ow#^gpRZ34F*PBv>m?viE&x>X1$*!G1KD=EiWC#g=#!PGm!F2)?; zl6uQs-d8@pdWN!DBRPuZnwi7MdMDG?^c53U`--|6qh!Al`8o6=m_N8RP$V!pkToH41h7Z|hSAEx4paB(>M)C|X88?G#5A9<*6bRH{T_=53g(q&5= zoql+xpR@jweR#IhnUO;8ZhStgTk33qO6)W+7&D1B)qijsizC&+2#@=QBL{&VBT6mE)liE0i&u2%s9Tsm& zG3gh1xT2}gl`oYL*yv8&HQV7-vyZzrC;i|mqXr1sAhRF$@*wkuk)nrT?iNK`uq(7P z_<3+=Fnf4qI3>I#GKTZC81u^nv$Zf9L)DUO6?0wzYfLvJ7aJr?L`+j*>LIY;jKT7-qp_zCU{|;XfZyWb5^@cPN)T<^L6inZpKg{&TcDFmPwiYneQC6k5uMI#^&_wQJ0?6uK$ah%Ub?7`b9uE?t*d*U`-1ie z+$FcPSQx`EKe|L2o-BZS^#Ay(%%`cu05l7B$r{^!lOLEnGtP zi3M;t*(b_OU6+%qUeEknzXjGdfy~7ku=Ahd)A~`rsdqq`(pS$NT~9}HIdql%**{P% zt$OfJql)rtT%+{kGYm=pL;BSjuV;FjF?(XzpV>3VRf(T$Jx5z33C;wD?F|QGYYf6xT6Su1}QfYG#+u zHO@6&>+5ObUE#U!S|asv8kxnTIYReRmnF6S(DOrFQX?#TdWO=%r=ph)%dCp_CIcLR zT+D*6;!ixsPA`;|HSLn8iT?{&)=u2n_(BPTwW5X}`H8%hc)a8{;9 z+8Vp;^+E>eq-ctHzxHMIkMQ60Rre}dJ9$j(UvraQ zDcUWPJ^UzmD0l;&90+OTba*q2_Zp#V!McHxY1dQp26lvcMe7*;lDiSzV1q%4_bhZ_?0Wc zJ;D7DY$n0`&|jR&=U$xOx86O)wL|G7iB!q=@%O)r2K5lkqK?8%CaKFnE9ZfSC{8yV zJ zYS7p&jI(lIJ0Jbn*I>bq%@rVv?U<%@H!Y*B@mQY#$8Ry3rIUIMT>xostRK?zUgbCPLpfd48jq^Sz{`>OP~C>`Z}u9 z2OVX+bsg1PCG#!%r)8+3G-C|PpnmWICNqm$4pRQun8nO_lhw}N0ADc8DZsnA2<$V3 zIl|ZCAg1pt(YKiVy$x~nh;kzKC-0;WSjBmeu-ottR+F$1!Yl1xPWtJv(LZIr^hCFQ zoafw=`Au&A+l=8}#k8=n@Du;9*p1~v+wd|yqSV_u{{0OdocTS z=v>DbRn4IJm-U>LwI4^ivLFG2#A;wnnUvC~3Tx5BrzkVjdZ<<=^D&dCpiE=Rb5Z$SX|C*$(=#d0Lnd=!>Y$@iF|eUsVA%6<1Nk(usle*Z z%Lz1`xx;l|s`X5ne9TrvBweb@(!!Up#0=8md zJa%`tkKNrJ*xlXTf!*ER-QB2<-AYcK*xzq>|M;%CL=YU#*=Oyw*Sgoe?sXR)M4)nm zn#6Fl)oRFjs8d|z-ddu7B4HuRxkd+CB6C2#vY0kHJTuXQoy*Ke9WX1s>AC2Au7~z| zOJ04kibYf%Zcq_YsVmpyRa-RYdMja7&4|3Z(>p!`mA3;_h(0nG!#7PM3H$p%7QB}= z@y%zs$mhG!g0wJCL1$f)VU1j+`Zk}+>}XzHmfhuXgrocy&7q1)9+U#oQm?K`231$-j+#p^KFf_x@DuqeR=5_tuoF{- z%EHP0k81lvR6FNl1(i@Pj7Qu5Id|d&oyy4BSscsh%Y3HESkYX5>w2)Y34A?~5ufZMTnd5iOJa1lR zrLNQ6w1f3KKyBs;KmUqaWnOd}%2K&l4|Z{hxdaxF6z2Jq;WyP`-RgtCH|Ht_;>8wV@9U}19i(o1 zg4ad5bYi)`kL-aTtZOWPet;EtuuzFfQG&00SZV~3Yy>M_0XwWq&0{D&Z3pui9OY5f@mDfYWO)NJ z{+%_yM8z$vpHV>BU&7HS+P9et(oYF{*2mn zPG%b)LZ7HVRnLwnp1c5o?}e_33oA0rU(99-WnM!O>9J{uC2~*B)L&u-JhW8GL3Om{ z1a^1^*1~k@3{xc%sE%6E44uzpvnE);Dd`bRmmDazVVl^{esN3MB>M6MGQqvvF8<3{pq={g@fuEaAM&m^G^ z%#OS(T1X3sI@7}YXpcU>l{L#My)z?trXKcFX|xn`aF2e%%fEy&efk7kmLpU)+w<%W zG9e-}6$@;cv!p)PH5De+T2qk0_*gFo*`2+y0D!TcJ&TCdng%KOVo1r!HW#1Cs(FgHUqC*l?v=~IDJz^ zv{@8fb*MSQSVTOof*+6O1nw-Fpo%SEwcIl@nQ)uTYa+~iVyrOh(OD3yPeVs0hxyiQ zFLDzx)J7R}Eta#MGu&cs!y@OIeaI)CnJ4i4yLtA-=xw`;&eLDG4ySo;v7(W>mg@F( zOA)xrrC{p%qjnZSoKRGG1K;V2a>cUE`rJCi>Hx_dMg8(9Rr^$Iwc=d>Yco?q0fp;|MQnrQ?oYfHrlb+RpkUzFc8x*Rs!58H3} zRq!kCch+{?wv1kdRJQtlJ^Y{hSE5JkESSbpH7km&6NzvhV@Cy<6;;(7V>ac!rW%Er z9n^wO`v#g`eOd(YkF}}Dw#}0E**Mm=dh52VyaY&>UJL1DK95uJLbo~ zlIs#pdX(-c=}ZJky@B>vJ$%+CEM}THfx6@z7zz>!-?vk6=mcs+XFdPHu~0puJuf_U zz0`Y!Y;@9H6ib*GRR^xsJJ9Y}w0O5t(e94^ z`VCZNc94VY_deBv*d-xE2g~K}%0?8YZR%c>@q5B>8A8rgj_Q7l<%lJ~5~Bs z=QSDQG+3rHV5NqEsejSV>vkA#A+T=R>W5*Dy`%E=9?h8`vms9bUJtWqCZaTSI;Aez z11+-CaQxF)Ym)h8L`7$~CCV~@X*g+QMREw4SxGJwlG161$vsn|)vL@-si6Evh206KY@t%w z;%}X4IjBU+&GD5vL>d&GYoprH)B7)M^qV~Ck?72Qqk5NH%RsJGlkP8{N|?nQ2pcn4 zPC&2ZFlzc6EdN+LT01bQOh-xGwAxS&EM`+|6RkJNq8Cy5s3ix`-P6g;1G11`8}5C} zx*cZ4io2dVZ#tJcchUQ?-J!-EXUL^u zSvQw4*T~J2ZY3@7%N_JN)#|`WjzEVRFehM}qln#Vt80z3W`gJY(^k=cTi}sY;X%Xw z3)zBEOdctZrMo$uQ3Su43SGoe=$B4}J%3WJYP!5%JVU*$!DDA?v3d`bu>VCLaTjw< zo}z-7S?wfu;ykg*QLuk9F|%Mh9hAG3sl*W*I1vMk9o~sx-7VbJK_PZ|wt4%(3yv~o zGsh{=+sYfGwZR5EQ0qiVf>h7QPVqvo{$6XoJ>oQToKMm$pqOw`@hGlk0+r8mT? z|MPaB+aag>AKoRq)=K{erP_8a>Bmbsl1_jz8!?ZNzR;I-6;PO!RC4Tw-0M`v`a7sl0A*R%uw-`qO+!!TcUR( ztNRCbY6bth5?GzS` zB`DCI%eK&-Js__`vkg$EDBDyEeCiSYI|3R6XG~on)p&c9MF+F-nl@vXwnLu}$9|vq z4nI6ZNwUtiWmgVpMbLXV<8JN^)=qgZX?x7&@^)p2`owa`YFbyRL2`C6%B&(za~jt$ z{KN#cDBQGpY8_ZX2e8N7Vym{C-Xe#mI%v}|t-Ntfj3J_5BO*{7d&J4~uhEd*n~vBc z8|UUtI%l3+=UJ^F+o$0GPKO)yjEr@XTwFZ>igz0HA*-c;rLU?>C#jD=K>;s6D$`@= zy$c3^D+!-^fydu-l8%;ru5qqgt{JY2uAXS&z83Ld9FS0#FbG5Lwf?p&5Y5{kH`UL+`c(&I z8yJXBE#(JYD-rq=*822PwsEmB=Nsl1j?>K@Kk8>+izFDqJ$6iJ|6*`O6w#RRheFyCPrLf1_ff}8Pi;klMS6&P7zEO} zOW8`yTTost78^&k?;2@8te2f43wYKw^SwAOO=qvldOq!tw;#Lc7I98heG{>vFb135 z#X0FD=(mJdj3Rrl%M_F=q6l+!E`j>h26g!ghFC#OujG~=`yzgrR87FZs?vEGgTirh zs@ubPy&*eX%{vxGS#v7>s|^aab`uR)bTh)~87~VL{D58*kNOD9&Z%dFCG>-MCKfH! z3HneJHm0DIDihtjXA0N_p6vlM7rkp`=|J7d9D=$^G6=3+`O7TAB~my@(IK>fO?4T% z`ZI`^rYJw*?R}N5pb9@225?2x=^n{>)MzSO%h1V<`ag9+I|Yp(~R2H2DHFs<>;90F#r5q;|PM4L_Fhn;~RmJt+hv-m76R(7L}TMzBm z5ulQvnOOMV($k_?K2Xou!QKu)4fih9<(4+Xy2MgQZNhoiib!D;M;QWTrRbi90{(B|r%y%uLLd%TAr_u$G<}UudmRJU)o# z+)-^S47BsCDW4}{WN;O+dKe{_k~%R(}Y#-N1nQn-k~l0ua9D`Y^8edLTNfX z{C}H8wWhP>WTx8{I?^9X3 zG7G-lB)B!HqzB|r{m84z(PdJ`R17y2=N{S>y#mjFh*`z>M(1Hw&bFs?noJ{G$*&#t zKKJH;$-M**d!IY)gu>|%I%|HKjl?)?Zz)(;AFzz$_=y2rX=~*!jN~P}_R9y!&W=-^ zD1}v2CwE>XZQkz zOV@cfv%KM;Q)-a@4t)}0D!fxeetLu6mOY$goEBkth$DETD(G$gHZ#bp$!Je2JFp^~ z(m{P@8Av4hnD~7LvAdH_m|y0{Q@eU(tObGn#495x=x6x+TghqLNUKqS^5fK?Yf3H$z97hquT%R-D<5apcs4$J zC`T%s&mbTNK~>f;M}8I-I2O;55pMli(623ATQ89ZRChKQLT9tA^pu3ntA8>df( z6I?@!Mloa%Y_bpN`BX6~^PF44G~Y=cnUj5osmO9uS#$|hm zM6LP(^@b7jp`<~*`4}uj`UaUgHd1YX^3yCj`!B)N-Aw<$My5h`=O?G2HrGv7=!q@} z2D1o@71*4yL~twN;I<(itB4ZhZ)#uzsetWfmAX-T zzH0P<=iDA#K{q|v>jWw%TZBJdFkKY`jNXT-=7O)Bf!L+Fd=|_x1RwrEs)kqpD`%Bo zfg3fVF7pGN!sKHFT_2a|e5q&71LtUg2gm>lGl^*|REtD*qoS6}^fL~6ujn1g-50~> zq8teJ+XiM|dDIdAg$rB>#Qza|YYWw!`(_aJnhWw~*{WFB9o5P6rV&wevdyHeP22z74jmN7+?V$;?H7{~9*PyPN2d?}=Dgzr)a5x1Ibq{r_ z4Os6-Gl*v}i0|d0PsQ6N{o?{t0-1+qtT6QKux7Yd_%ZSZWgDI8) z1#8MPImmC4r3s<{)4gNmf$DAY#Yto}>zQJklPRr*El1S|`lrq?jmtOjGKP$@lJ%Lj zly$kA-?-88qhcNAAPJ{h*$!7?^y8tW}*UBcjhae0rS zit0rCkE#FH=XB4ne?UF9Ej=Oq=`b4%U+50pk29_Ww?|tewPn)Qej=?fx-aUW{rSO? zO3f|rg)h6#(#}u!w*@Xj`C+-^2~67q=<~H_j^Rx8B)wx7xyEP8CQB~A*M8}(-=%iO zRBbuaCC1Yk`5n#3C7Q)tE!@o89?VnONTf7|&Pj)!K?~6a=?~0L^nhe#@=z$K@pifZ z!iA|X)q1f`<&A2fu}4H}YWe?B>B>h>;wBLPOJXZ))|>1#T277Ku2im~WbUu_=X#xz zub%aUs!Ls}D}Kyz^2MNO@y=J|rEu}56R{t_6YYbc5d=GkWXp9^dgvNbd@G$QrZRPzI?J3jd3v4*IL@*Fa!wwwS*n4i7II@<4!wY8j9 zzvIe*LTYbRa5uWAyFYoN^%rKC{F6#*Js}xqv{71Dy$H4X-gx){LQ~3EuUUtZvna{~ zF!t>t)X1Q{_BPY|n@zaqY{x)I6xmIvc=5_>dLmdJ_J zv{jfLE3q^uC{&=O8Ylh;>k5mXI!jqd6!n!F?IrB;6sP5E@YFBNjytb!*1mflyPJd7 zCAdnvFQQ)>=o$#e?wIqW6TO_|5lQdS2^yUklQ=lB2I_sI-L>Qjj^lw#9Gh*qiD*0e zeL)o~hK_-1wx{-!0l9;dQqKsq0kvC!JyYC(H5dmqDvAEyaDPIP4VH(-L% zeqootGo|vdJ_z=`RT)K(*G`LJmB41l(gEHbc0my|byTNY*X8Ui4Yu8zM$-S(x zB)}ILMm}B75@Cz74ib&r`4giOoQWTuojpw9^!)Tb)TfALZtaBUKld|t5swvC zQz^p~ONlG;%Sq&D*@&t(5CH@l$IUzPUiG9U&YFf=Kwyw2C7(BF_r6&_${4Kt6Szs6TN}S-x9|qpK;fQr!^IHD^cre zo(5@>P?!E-tY;6dG!jI8`6SuRY&lNd1>dQpHB!k#jcky-QR-w)gV!2n{>yw`JBXPV zE>>ORm?n7_qi5U|e|XQkNl%0AJ(3$pX+%e|)NAB_-Qc{u7q665FuyujyV>6R&7(W1 zw5_0Ji{cL_>=`=r0VunUSMOnGqvW@u7?D?$RuB!w0_X>4g0(5!Q{9JMX@}_ZtY7>#IhF=)2wdc_ z(%aK5pnqVWR7XN;gg!~#H~1I&mR0=g_+7VzsyE3GqWJCq$a9%|vqYJ#PLiAG2{66u zJEPq%JY&3T=oi@Ot*O-$VI+UeXJ^vpnH8DC{z}Gz4x#?y*m;PZ-i%( zJJfv?HSPgu7#DV4O^!}pl~gckU*fC8&#;DHIS11t`xowKV^?d}V)r0(i*A zBNN@b7vb0q=WKAxObt^CDK2>s^AWCCzBBE?k8Ys~iVhYtn;7sWRm8HOgc0UL<~tNX zKWvCL4-R7|uz?-kV|1vq0{bP_h9#Cbcv3-Oy^VQDc4-rTz6{E4R=Kl%t;_~ zRp1n6GZrfEZ0+pZY?Z9mNRBf7z}ObxTA0&L3_<_ok{I#5O04D)TR)|Mz^CTiPx$gaOq$EwD> z#vNcYWyxokkRSU?vIn+$OD&f^kXa#Rsj{j1Bki50=*b}d z&-l(eBBMmTEcxAEV-3uUCS(k2DX*Xg{@jUA| ztHIo)%HSQ#KtfPT1Dk81Ok(yHl?7!0J-zAGHe}8lm>_}fcfufU8}z_+RZ8|VaRTw5@=t1w+I!{nGpN9_UX<+bV6o=7aaSNb8{r`Byq zkE!a0kP)mR1DnW+^2KaN-uvB%prZeqr~iyBd>#1IdsvFq@r3Qo{L)4vm9~VbOLnb; z5hUdVZ-2we*EIGSUa(FVx$!wt-5^ej0n!2P;~Q47jU4?Db8QxosUHWuoW-<=f4QH!)Pa}i5mcp{ z6C>X=e7&{_Jo^T$^h%=oO=O2%i0zZG@7-vmoB)G+$+J0vZ5IWTtVKRiirlLpNXZfK z(K_T$xyT!igOg5$=kf-u=oJi?1o#_S!LoI{N-4Q1Gbg*rKUu{E%;N1vMI|i}Um5X| ztaAl;RZcRWMyzsKdWAX|!SK4O;bGEJY3c=A;sE>-f0PG`!IgX|K2eXa!c5}bc=~yg zKiu1C;4pt+4(`QHPmp1)K>=XBau|&IFje(#tZ+VTccWS#)YFf;^kn5S+7=^WqSYdw z_i^Z^!D#&hSL7fytROsDIOt6!viqBGEI(0+84t3v9QIOU@~wY`6F>Nj>eywoGF9=u z_{Gt1`Ho^oec%E(&2pkVCvsL|*&(n?Cvs;U&2W1E3$nvz%0oDbqw#%}KrT+gtXc>c z=m0F6CDedx;SV}UzhT*YGTVTZY-Safvz`w?^CDrKZGahsk1^Jij)R=To zl4@oV>8LSY&kN>ugj(Qx29h=arcZKy`Q6!0El)cyx& zuOaxgg#APjwf)40?}sHH0hje0)8p1M!M?IO0%o(7io-+Z{vJd{!^c!9%*l5`2}Vb` z5&pk9*ya?mihZ6RhTmYgV7IWF2ypJk)L_qoM4xO*bXZrDdX*QOL+FJoLqAMn*Bt>|?Yw2Z58$<@XFXi%L&WeaeXf+8)^k zqdSva21I5udp}ItM;&0TSS@X27JC7yzH*vXdj*$iA$-o#QgdunRXQ<0Iul9&+ss?W zE&lx!^DeT8PKF(OTt-K7UQv!Ii2-y4WP^!Y$oOJz7J-N=^ZvT?=v%O@+k|Ch#J27+AnX zGR&-IBbc~Ljqfm+ju{of-vyZ72&%uTSWiFn3h?Fr<|Uy@AE_velPD*E~aX62Az;1SW7vW>35hQ{|;-H*t1XYzlXqc zwutg_KH~~gHCw@|A40Ds)7JIeSoTJ(Gc~<{vJ74kMJMK`Dr zwxqt33f@FNY&Q*k4ENwg`biUEZq`HLq&2=HEq~f_t`4CNqkxH+?Asi$kql#;xgLva zivQmUrdb;X?R1|L)3vN*41CL7tX)62Y~}D=%Qy#nz-C-8x0ZYuPCT`&=WoP}LDU*!-y)2*D{CU&?KyyFBOa3FEaQzpX{llF5WoPnF30P=brwDTsBLW`skD}LkKoFdvQw(U#45$rTt}B?0lCgb zu+*>8bI$Aq*z;+;tjRT7;ojWf3XX%F-8OPjziR+LVjhvxFVN7uVmJ02iE3OecF;x8 zh#0Q!D;$V__TqlD30 zok~Bz5@iEbmUAduguvJvCVk*~^H3wq0xLL`^bIz4CBEuHtm$T@o)d2jFk<+-9jA2{ zGneQAV*G-xfJbm?J{!BS&7a`MPGhP$i)g1IyyC~y+VfCf{F4$zm7~JAAC8{|`&-+3)A8Da=xEL+ z=axW$Q-v!1EHou&8xOPx`YSqq%A?1zmpPyVsj6Iq`CtRtr2_y| zwj~`zVN_PH5%mn09az?@6s3>`)Wxz{Ho|L)wUo06RVTwLqAmwTo{Hj1HRg)A<@5AF z-R4Z+tL%_(aR+CpmSrXf&L>5K0o0@7l9MhEcM5OZ*QfLeZ&-|TrZT6=SL%sth~Vg_F?D#xP8FZVyjeBqo3+c5{6TYMGqqFr4S^ZTFZ5^(80+HKaDg^cL zG_T>Pe-)EJkS_7nc)YP8-@(sy#M8~9p0UC*8r7p6ARgb9buQEEwSX)-m2#bZ z-BK;i=bbsN*HZI2fj4>t&R-W6us^)ai?BgAVGVDnS8rimAAl~0Qpd<>zA~DUA(o&j zG=M#QojrYxsIiSv9BtrNdS$9@rLCt8d9!J1dD)AV;#23T((@_|Do~)k-|{X=0>ousY8046D*t zGLk2@2lX6_*@76hKK#KV#&DQiF?s=>>rzgf+F-uj&?Snd2J=qu0{?a`=j3JT154n( z`Z(`vu%f>Bk8Sv~O+=(WU?$dti8KSxmsNGD#Vnc8J?Vixj%K#a2d0N?!7k5Xk-k2t zb#(g-S4|~549)E1unFWAYw3$vPai`FeIpVP&mpkm4Md6ma7yeXa@d(-ZzGs>+SaJZ zT3zJpXePw&h86dfljk5;zK2@T7Ahg9s9!~jp+wd5;2?A(OL5}|&tQQsLF)g7eL4mv zUqNDpn=sC&kOhoUIw)W06MaY=n*=jrIV}8KFliXE$9`BvU9TQp2e;we9;bR+k-YUA z`JSeir2^vB_8ULViR_c?RA}27t2x`&!(7{J_M-}YimFV1R=6YH{kyT8mqvA0z@9#g zKe`MlnCDbQ z66hT}%!JHZL>a5ts{=U2KXPquc$YenLVYwKy~GXS<{sm`IhLp*7H>10euZkBj^(HY zZigvfiFkGyIl&4#mrSm?4qTgqk`Dn`${xxD0x*{BE(aPxqh(b|kgfDa{l#{d$+Pf6 zKJ>}AgUX{WX~T=>pt5gJDNKUneHov=l6#!RopzIEvnOY>8tv#Ho=PUXl$d2543ui9 zlvaUpf0^7auXKtInQvrsRmtqOa8Hf-+P4bTVa9-G)2%sLeC5O{$afUJUyC?p7(O^0 z)vy;lgA$xepLt?FR()ORJN_jW716HJJ9Zt22YK6F&MOZcICI1z`XMioOSa%7D{F=r zr?nQw2g_euez~bEllNXRdukI=WoaSU`DQlh3Ux?7PJxF+!2^u~L_1aS`q8Gs|9|g` z(m646u;QKRW@#eTPw74R!5#<(PngK>$|S>FI`uQxT; zbKtPK=vO~aURYP|L$zuuHWN-fbsh%zXb=rAKard0qz!dof6mi1{EUmAmWiT$RrSkc zK&9x<@m0TludDc)cEs;3sj-!(ze1rGC7JwdH>c`*BEj9P#d}WMxmfuacK;x%vhA_^ zBUsfM@Pfyz;a%#ukBMQn!z_Bn&-?l!Ua+zf*}+fl>?OAPjVLq%uU?2(4(TobcP25+ z1vuy1$+qM1&bN8DQ#_mASn3R(+8}n!L2{o>Jc)Vy`xI8JD<7|M0v_Z4ULs0a$JaB6 z`o>Y6nZlpdJhvWvJ(_*if=;2X_<~j`xXpO3e-uBvoZlQn_m+*$kmp=yW+HD3v+BFU zqF;(##}I!!;H-QAr~WpU>ceB6u*OWdB#yZaG82oRzKMk%=4tH5f|ujDcd(v&Ky)tS z5f8F24uR@yf<3hj=Gr2xdK?jUPgc08R0nG=#Cqo>kC(9PudL23agIAWfo7ub;{ljT ztMDr`QtoXIpKs$S9OB+4;(vQ_e_g3oj7+(gwcN`pp42S9=bH(?op(6T``zYs1-rk+ zJ^sL!tep9Nu=qUe;LrHRpXBBK*jqSfgCB8f0@v}G{)0a}2j5Sdpc;vM^$kShzm&eP zShzM+GAGJd%GO1v)W>pI*Xs?&ay8 z!CI~p={`y6Gjs72(&Ebs6Bkxsr`IJqG?~=&f;K-BvtF z5O$r8zQP=GB!8y?$8&H-8&ptV^PEoeTsD%a&Xz{=TpM$07lD}`#&b@_qJ7=Vise6Vp7#5})$XcRdGr?L|v) zEoby%u4*DHJDOe4jo;gitMYy9flc>gwS89^&2%{1(q)2hqd=Ix0sJr?lf~l_MTchsTrPIelfnKj8Nt!^>{t4pwqiv$?jhT;WiB zM(31Q7rGQX@R{#Uda=(3at{-+p}E|FuZ#5%)_V+X?nDVY#Xa)TXRjVUda++Ockkj)GOt8>ZCxp!CGl>*So2R<-W%5ZAuI3mTi1A9 z;dHr%FFMDLIiK<*&hYm|zP^D!d&d9xj?ebJpYI(#JY_$gY8dOAg^1=Kun*s#VzB&5 zb8eT$nth*@$D(WVrwV^6^YV30mM4NJ#5DRmcz}O6&vN4ja*#J~GqH-<`SQY^&I zo%&%ZL9Fio?%Tl~3-}SfyY}6&ZxtA^#49Mp*21}(tXxk_=00#gh%oB7gK)fHvZU$$G&2HKa-XIZ>40eG9cwXLbh!iL}SOW`pZL;ovx#rKj^9!Eb4X(xav`(bh+BTS@Yq6ij zSkWB*%*8J)*?-;~8r^8~hr&v%S*3M^X6^JCuvLDN(d|ol-T72tTh*izUPyX+kg8BanU3oq) z_@3+W`N2~>%N_jw?RfT0oTpTX@NB--Tgqp?k06fx>ju8Rn``v#(Iec$S?=Uo%DMj< zOZvvD{^5xmSZHdVUv}1^5YMzMR$r68@PDy=->U(t2rcm)|Nm;6@_8#fe!^s0qN&K$ zMDcvn@D%*nO@HtWK70J1*VxGlu4U~O;k_q;A@u|C`Jb=uO3c)lR}0aaGm?q}(YNpG zX1tnmcDBJQcH*ap;PGeh@?{mi{{GXve0J-zgjo8P|8QR_o*)qKlZ7?@hpQ=-vQCY; z!V0WjE$*r=R@s;pZOZ+00#)pZU3cOR+i*V(Qtr7rcT}7IQHeXMlH$3Fur`HQqpbYN ziM?cH#nQ9xQ9Mnb2g%C6`K+k`f9Fa0Iv1Z;;@^t%cM&YFF2A`2Pp}>6>`=1KNu1&f zVc=7vrf2#O3cYg0>sRfr0$@Cl|_3qtJIHr_(f6Mv~dQFCF(yRp2JvKjEDL|MDo-0 z6W!r|`~1~gR56pJg34mB_>QOxoF#|9%QHKP{d=(Gx~#-ba@$^p zk%oA&r8JsrwBh~M@>Lr05kcfgyBijeUUHi|L`(WoHFF!DsuOkY1W>KnVm@jdF0SG$ zS~*>K^1X;x@`0Lm|UgB>!O5LU=ma@t3*ew(#mol5=*UJHlVOXl&q` z{lrOfHl2D!Q_+uHU>lsYMj#k{r5HFH5$a#qjpM*K_EQV%MP4u6r&yf{nlc!KX;G4JS3d4zJ>ydsa}H{Dl`k?H(Vhs!nfQP^%6@k4Xz2I$sUaaSHK z21q59D|(C>s`@IP)8u1jT5y%6MzB;*sYvd8N(mH+dV9I8vc&vG=DSDxB?_p$c)oeW z0ONu5OujFIz>b#?RaR6Ez)6}*Zrs~g$!v{k?DOsBSL)Jhxw2hyGS8%=>@|Ogqw)?Z ztB8<0!Qkzu?w8(ceo|M9k1J3^ahiv;|D<%5<7R8AgWSdFD}~V4v0L=ehfs&ftR57_ zxZb?t0La=Pa?6Y`(F4tq;P5mKqLQm=K=S>291LrK|Oi>+snmY5no za|tzx2J%AwCtbeOgzPZ(hrt($*9J;6l#7N9ORH}r$^mi*(7=~QcBwma$6p$=P*s^P zR#`vrjwh4_W~8p8rX8+#rsH!L{wJ%P)$rE>luNdO=5?(j9Qs~9e=WZP&0Zx^fyK1Y z3rG*;`Ru+=%4BaYt+U@V%TSo!J*AsQfSN~MrKeGfDsfn;#Yi?!S$d*1C|NUuSoM;c89jQ<~RR)6+b?w7*Rw*6jQQpcDJ}B_ zJ{c3SmY4EK%LDy_)Y}?k)bYANhpL+8EHUzN=OwYx{~@?>LCZ8wm)Gh{aY7p_4Y!Wg zn`zzEhtf;!k2Kx#QIFPsSdJ-Wjr__ZGu~}6nbIWR(N8ER;3SJcJmayH7}_1H-n?{ zSyWYp5N*u+H`~^|3N}RDlQY{;Le#!0oc~5w zx?qZEn~f1_yvU`0kQ%6~rIp$a);_@VL4V|TPc7;CX|Ay@r&j~sz53GIS2|$vh|PKe zon|Ywr(&h*mNnx)kxqVSw31RO-KA(_htxp{LBnjceoJa2pM%+J_jT5o<@BZO>BUBW zF%b3fC7@2Pxrqi?amfUBnG+F+cQgvxUt)4l1QYz8WG`tJVd6r-`+)T$4_{-J3Fz4&_ zm4~(kB8#3LO})iRE%4iPSl$Dws-N|RMs;PObi!yZjkQb??X^5oddnrz(0D>`NQ}8j z)HCmh?Q&kQzAR=RIPD+km{>=p<-Ptvz^;;hFlS&meZ~8!lhl;np#=Gr$%aFXez3ou z!Ey{5H1)7&EFqe$`yX2HMmJ);z=qotUq)>+*u-_=)` zw_zv5gP=Kx^Y7!2YB1a7K576{gUSxBSegHfzH*(++{g2M80S!#w)2E zJxN97DdflL;Ul|uAt@W@XR!9B+|nzF+Kcn-bI!iodiiBpCvQ}pjfaitM8u(s3& zWTtA2fg4j-eh4%3GD!PiDb`GfzDPOp=4#Rw)KYrL3OtN5sOFuQW}300pX{r=3n`y+ zmUyQH_I42b(aU+-3Ek*YGSgb{?5*UhL{FWmX8dN?#G=0X)65`F7=Pen)}#s{$;8{r zFJ`pomS>4aC@M}-n@i2mcu0~OhyrG`_$e)v+lcI{L!Qe{?5nC$xcL^8c#d3KDg){{ zm}ux9$q5D22gRD%|nE{)o9QZ(8F&mG! z1B6Bam3Y9Z`3#OwRWxWOatEPwV>dF>=oRHi%X@LbXh*)()|`k^Of+}fkjh|RBa8Bn zh5ESuTsoqjr57wex_6z$KSlz(Jp;X7f5kO<4`*@|=-dt>8(FF?u1oVxro6}}#7gNk z-K7#gVZqbiq{=lHmC4ikIT0f()WhylNq9+=+nqYPPur*oKT*f0kB|QwPuJ&s`m%a) zil-HKl?<@$w(#ml&bR?RfH-P+R_UX>gH_BbIn2K(rF1hJh@wgns{D(2?)CKSBFYjg z#p;jbOX>h3t_*TUh%O3o|&x0!?@Z*f?~pM{$%Y^N_WUrHCLW`1Sm3Q@PsB~Owr zm~o)W{pq5-CB~T5xvNC`u*z@ovw5jL945Ap@pYz{pQY-`K9FZhNBrjz2N7OOrp~iVc)G+f(J(M2idT%A^zLLev zVqBB%ssC`2jh2$sJJM3Uu#`^?m(varvx9-#Ud1OA4+H$fF25+5a-jdLC7Q2j{VwTzg z9fN^zOUg=h&5C%xp&(YvP~u?%80t)?jIAJHxy`2{Udb!^>HFx8j29XmOJzXS_efb# zCKxKO;@NhQUeiUFTmZV-*-fq~XpIOJcZ*Ng>2nx$Wf0Eo^I%C9$5XC9E^^b-LI{D1(1(ttWlvL}Z7-f7@;^s7jvC-iziYV z1&cYNJu{5+6Kl_gdy!GPM{I0JnT_pc3_SU>rX(FuTFED=fmI;$`-4@sptj=24mx6v z6Frq2qPclr{!A4yoj7cqMOCX2d#Mz4j5fp?%@vzeS~yvqtK=dT$TS+FT2mdSg>GJw zcPNLr#{6(m-qQ(_R*W#CtgI47d z^GDlI6^^Dx9cz5T8y!(rh>b=g=_C2_Eb{SMQYEFj+zSO^pQc+gYL`An@p`3%wApCS zQ!6D;HEYv(vsrEdTj>kxc@dJPPcjd)@^$bEIh9)SBD4nfOUubLOH%V$CZ9(Qw~%oG zHQ?LkPLa;M3$E7$_E)UvMr2V-DlC`e%(wtkbU&4`X=1*9$-Jxt$!%eYbXG#txnjK0 zL>i?Wm#Q)2@{{}v4S0|kX_7Jk93wBh=laSFX%DsNBjkqjcm^X`sk9=8tb>GiCPo^_ zTv->0f<~sC4#wYU;+q?yhTNJ8P$zi1ndGzb6!Fstq4HeAD94kpNHq1^C?I{Kde@sM zG(n9aN~_EXasZBXEZSTNOx{^fH@5+*u$+$GB~&RN8+qjVL^oZ`MfkpohG8z{?|D)U z^S!)Y-7B>vQ@n*Gm8G_PMj9xeFoaP9zG+t3ttXmq;115Ain|z=ZzZW7+@_bDvUEBV zjaQY!U`q`EedrEO|*SdFdz2J`Y*9LA)TtKbnN< zu^MB=S`^8bg2iOv^DM+bZHNStjR5xUdpyo9xrbB%|DBK8+cc&tU8EcJ2et6F_@{$J zxmB>#$I^4^;902r%@(tXV^_evXn@}0A$G?Y$*$yM$5&U1@;eKnIakwcY7VDfETbQH zT=*$(rS^V%>68*G)iO=``&Pqx{{z|(ANQOsFNO;cyuG z8;P7Qi?twb3#CQO(v-lFOpvNQ*ku5?(o%d+Cno=V0srZO&dUMjR=(%T3AiZR zvAFu~b=J zz-iP@8leo8ACTv2oS!GnGv-#QrF?`qFf01TcSSfnNH?601Js%7;MZ@ZXfyb6X5D8- zv)jypr*9$1N0oU}Bchb7@*BM5WLA8n*_E%CvZnhun{R@E6+sKI8Py}-Q=17so|nk# zIF>n&_nZQE)yqD6ffnpwu=QxY`(FHqJ{@el>! zygWmb35&grw_+da3MEBbX+G0L?}M2SB1+lAEV4%IhKKC1UPLTSP(EykR&+72w2_<( zmpD0XA`mRUlXMX&wV}*`-$1kF-igJE= z0{Go3X*=CCV>wT+VrMHsGY^PJI0ScLM0UV3Z6LPK@#jL^z^WdY*Fd5|rSJ5EzvR5U zNA6G#Om-&`;ytj!cGT+<$wixSGC#tO`!NCZq<9QwTM8ug61@n^S=|IUVB^6T@_{U; zMKhuVu_Y4$VN-S|z9<7*(F11v*epr6^)~t%7o!sRMhJFC8|j9eM~TAMRg^2T*E8~) zqo}#AgfT)iBr0ufA-;HhMUgEbyh*UNqPVLcmT z)q?Z<0{G>>zNd!gw#zrcc31L$!gvxA9_ba4O@G!piF0*0JrqyKUt00oN5H%r0D?b& zpBq3GHVr>Jf?ogTJP!@_bpta$-2ZNH{<~qLAGoJUAhxAI)DM9?ZbBh%4E&}2FoANx zR%vJ+hGP>6$8rWM@2mcK#BVI-HrYW&qSr|HE(+&xIV|8gSngrD6U^{fW@g@J5~Lrq z=8js1(iz?pE$RHq8qT}&U;_P7luo6TQlb=p^a6A^PH)JFTYSJqddv?cjy08kRx)QV^aI2%FeYG`8%^+96s;+Xb8=Sn;QD2ygo_2b5JLk(J zvw{&#U-W(LueL=GLhU3EyWAxf5ykrE8W*ECA%|rT-FNA&I#a4{FrBZjHOw~OcGz~o z7Gm?a9#GRO>7>iXac#F}wEK?hoNEAGkwM-u-lyKr-W%RMUbkn9C(zS~zSLu$yIz0T ztl3SE*@BAVQ&EaakFUPcftvqv>eJ)!5hI9uJ*dam(L1AY6yY81dG4<3{!AxYb*If) z-xcr4Z$4J?`K1rI9u%3nedz4a{vqcBlkG(;L9)xRq4W_elI3vAdFupQQ~M-)NqZyP zbI}xvgYL40^dZeB8}-#0qVZ=d%m;>`_qv$oV%m3l_f_Yj0u=wbI@~tL-h;_p$^HfGd2FDHN~9F0-}j_-6{2Ieanfq;w?Xomu}2ul-%Ufr*y^_D{3LjT1KPJJE=uABCJfyEw9gf1OI)$VT4W;+H zXvDFwOsNM1&bMc_y|+9<=VPlS76|$!JwxA^!u&#Zn*;P}-m}d1Tbmr7lqvCdLTqA1 zXG2dqV;)`J&20(x(E%rdHl!Mss%21IK+ypIfK86{j%{|Y&1L95_9irWeWObd=oGcdxP_FdX65u?JC(0ailV3A*SOK15o zEAL?DYY-D=hoCz#8+D>V9@TXvaaeqYxMOi!;#($kOstmt+x5Y_(x@#>Q5sp|t^eBQ zFr78nZy3>7wLa2DK64yIn4XXy~WkgX(m~j{n8|%aYEPlO>wE? zipGtM8yxpU*uO_8W@=w;8 z?exl1$$QzG&zK`swY;^xVmiIU{?)#f4(DY19b0RQMa`n*Cd%z;#-rTy!TXhS$m7x7 zgas0*P{_bm@|GY)@!^R|fS|&0P zVeP71ZZ_Vu)YR;HI->IP-Vyes!64IMeUB{Qy%Le^|N` ztG=g?3jJLDC0ev2Jp<{>O?3Bkk8mYA>$wIpMX^_Mb|%KvfNeu*&#<-28^yWPhs+7Fdm1xw=A7pwdaipt<2_g1!R~R+4M{_i zZYFg~o|&v9FG<>#R3mwSbC@&1>Gn=matCPzIBN) z!c`$Y`sa!-uRcb7diL$qpBYJE?j+AH?X_W-+o?Kwb~cM$%}X^g5G|f{)&ll}0c(S! z(-e+~O4}jgPT2RN*|wO#Zv#KJEEM z5B?bM1=K4|bamT%zPi%6hB!Mr>pLZ9J?G2hj?OR6d9E>dXo@>mVm5_Gu=#EKV**GA-D1zP8jfe#k7#N%6>*{^metXV<20=GMrle8A^Mw}( ztD2@x=<3u*j=lvFidk9+gi|8Ln}BY*V%k@S0c!Z~NG=b^X1UYS~XUS>F= zu%h0#q_#!a1T(Yq`FWVY`@|B4;_nwrE+tz0*2il7yg5CY+}&OMT=ShPU1MEUnP!>Z zn@%gON24yd(c8ka6{Y0qD3+G+SiPORU(w*)@7drvg(^#ZS9Y%~H+FOgt(W#~S}pDG zba^ul%2Yn1J#BpOANy@NzvghZjGO%H=8xE)NB*3TpO-YAIdfk=|w>BRY1fC zX;P$0l@dUTln}ZQx|D<#NIl6pGw=1Ei|bi?PVoJ{*}vIk?N#o+?zQ&X_nuW7t9YFg z`=2*p&YYvhF^zcv5m^WD_%w8l!^$IN_q#?8~;|7@jC9-ln^ zgJB=eKlR4xlV?3Y`-<+9=DywgR&!CsN`ABRkbe5a*T8iLjvd(B{{_j=&HY~O|IGm} z^}DTObaVTjv2!m{%;YV*UzLO&Fmv&lC+a(bpU?VP_hi}5Q5rLxsfa>r&DnF#Qu>1K zNs5Si&YU50&YoRY6o(II?WNw}u9>&YJ-GAc!B>pfV&s+!-MR2%qmN$n^+lf;{po`L z8a8#{L!IyRZZ`Y!X@^bS?$d`pz2&ooroB1+=$Y@%T5(QS&pFLyIxg)zqT~45fqEC? zT0LnPs+sgVJNhg7(O(8{KXmNyi$-+M|NW7p7CLmHLq`5!_)ddHcAc*IGDURl{;{m! zOH+nS`SwTifBL)6#(v&4tv3C|nJ;&LwP&X0+7k@_UrEG&7KbZda>4!~!efq^SHl4Mu;<~J@)!cV$_UV(J$9gtb ztf+29bbhq4wW52R*mY9>EeHN;@D@Yw81ebYa~GPu;GPR~54&}~U51=7`0#=M-St{y z)$SoPwwiX;=imJN?$7>b>cFYDP5sg5Kb-#1j9D}HoPFJ#IXyG=Mr5~EH(b%&v8Kpu zicEQg-lT8pJ>@4lHkAkZvAzTKsNOglr*E^rr1fRTYpnW-o@HF6Z-}2e_uF%p?4CG# z6Gg_|b=EaAC(Jly`aaW|pY8YAi&NL0+Vkl@rfxTV=k9kKzwbO^z)gdHHEfFo4qSMj z(Vvc)Ip)dHyN|kj!ENV1Zs_2|Yb?{?L7)Mp3)YKCgSo+%Y|y_M9{K z6n*pf;qKKH=X-AV0o{A+`&X;a*=+7mMd$jxo?mR+xlR9T27YVsuLf^0WcrZr5B>YF zg@@OMy+362L38?#>(|@auVcsNXFcChRO-{Z51zg6tP^JLHuLP6ug^R~QC2R{*>`3R zR7{bh0uJ75bF;s6Vj_$m%Yq90^E-8ax1Y

b;0(S0y`SDiI!^CH9<4F{zchPwn7$`>ucDXSt%$Qz z6&rtR<-bT{gyL_Naj3q!_>(!mne)_~Z|YlJ@6X*_vHJJdduONWEt{M5 zlx2u!2Ts;p-*TFbf1&n~o_wyWcSyFXe?w1~ChHBKmo%Syn4ZZ!uN9W(X?A60MOr&u zGa$2jyA=6g55+!sLaW=3)V#(~%FP>B%j#XlqZA``dqrk@Me!4tQskYT^uE)bTAALd zn0x1H_T+lKSH%}NwrPyio5ZVWzGR5L*L8>1+;r=E5t}F~=L335_?SkgYv@g#-Sy>w zX&nbDPTri(1-d>_{Nc;=cGU5D<8c{9%sZpw+nNbkqT>e5AibnH_=WUsk{{|h%f+g9 z6+JCnPA!a8B!P?d*3%Z6qxnS7Hg?e}x<~Zgg@Jkx=*{r0^(?5am6BIA2dmxdv>s-8 zMQ}Mm@4DVre@gEcJuhm!Qh&03yJ8_;rx}~GWVQCv3iiKi74KSlGJ2Yx#q#d*PO;T#wK21DuRNpD`LzfgU|4~~+>p{+JMHv~d zI4P3^>&A!XJJDPPnUh~XXXuk6~t&Sb4x!AGd&kG7nV(v8%U zm#+!ty|uc3oH(ErY-;;DNeio1vEJ;R^sso!xr9xU!2tU3RqwXW+NY1D0c0zRX$gjOv4SS9aQtNk@Qy1Hg- zw-O$#1K&s%Wd+TFc4&p(G`(@~q@G|B$>l7~l%FOUIYTR}Z&16htK?dmhdx*G(yIud z$0&B%%bHbON3j-uqW4b^(Q)OD9z{_3RsC!A8Jcz8Q}xc#yxBdn6c@HuyPvCiFV}OG z$5dNGGpw5kuU}}!cD(pFTkAPGWOF{2)wx~s#XE@t?+BK|^-O4fJyCf~x^qCY8DY|=KO?+tFQ^~uL;_WVY1aEk2EAW?j@sJXT*8*ArT z+r5=m0~14fwbtt31tdG4$_`8x zoSs<`Z@FIoRI4+Hxx9qlGW)%>X=AuiSJESak(|HH&*)}`|{ z)#6(BHd^iSHUlf)d1vovaratb{DPi14$;itLiJgCMs|%>4K1Yz8keizSx2!t=16Ng z^ptO9y=`=a=EI*BFGtkA+Nxz2tw%medVR6pFnd%_spo2*eYoDzY3P|cHSq+vL(z%O zklk2GPf9;kl-x;rhNN$v=-K!dnr}Z+HQufH@M)Tp=NpCJ*2;q;^-jaN(zjDIr~h-U z5#LIXf28#QH%Vi663zG$T#xAVp<>4LD#Fw#)xCvUI84;KN|gVnDACZfu4P5D?Id%2 zZS4YS@6B4T^t7;@s8R3#h>}Yy`pI>&ADc?zI;CT?_5GR^#mDO;MXSkD{#6!t5j|H~ zUp>oK+P2kk6Ri&1S=$d~e-F}rdp+r0Q!6gsll?zZ8ZcSC>Nvr&s-757V~38TB&AzO zN`9=merW+Jy&>4RJ&L8 zU8;Hy)l-;Vv^Ia4*4M=zlg++O`mPv!!sG=}O|hL?a`9c&yRG=PiD+EYQ_h#PF60%x zQ}&SHJxxz(&QLEfPEYJNmxQg{TtM9XNK)~U`n^x|A1rBGuO%DjNc!RDB+2s#t^VIc z>(lm;zs03#>U(F`<|tnLt%7nxwaAxPH`CL!*F?DorCXnhZriEt zCk5jUDu1Eg-@8&0ai{)&m280B-m>!tsP=D5uh!J8Yd^&vxJS;DQ z+Ll(YbC~QLdcL4?^Nzxb;{RfLO4p^eJfF)Jy&$gg#@O?s(51qdx8{B-8Tg4bYiB)& z`KI=}>20~A6?@}iwe%;wDaTuTcgh0aEl4NHM$M33EGx*4kv{xI&!;sTEQwr0KH4#g z@o|XOkPeaT{asw#R@y#I&y^mLJp4`jfztGYh2t}N(!ZMIbZ7O+KbCiLm`d%TH+0vR z#w!|KnGx%B1tO{%tww`R~+g%+VUj(Xv$!=o#@} zwX)>#`Z!rB_}wi|FRBr28`UtSjq( zsBoMi8CXkrjFe=YugGuL%bz>B_hQY}{Ji%^itKf#p4y!(tX34aUQvvgvn2cPYc<9| zS+$kqgFGjS9;>%i))ZXRWDD;SoM%d&Pn6ZaKnZ0OvPI9eB;a?_ z_;WFZ#>)RU=yDtgKsd9_Q)f81ExT8g0ZrlK7D zvR7YCQnbEXdg_gB6z^@WBCKd#dHrI|ybtR9gGT0C_B*`){rz|B-@pG#e*OBb z(sg?0r;4$#pH|9jsArka;K`kK)YjY__+S-|JCvgVw|u3EeIviNw6Jc4KHA9Nh8 z=xzHeF2j3@<$Z%zRnC!ru%0-!p590qFaF&p>P^&|%Dbes$4cJ6D_gLN)=q39iaelE z$s&z&dq3|vQ(xOUT3=K8i@uKW)1Li$ZthvS_oCjqe2FLI{p~I*w1;fQR`OdW))$lP z9ikW(KT}M(yS3VCe|a?L>x+b&DB|8*>Sw1&W3lD)H$T!m=gy5KHL`j~IS`%7jnQ4FLG#R&Z`jcZ1#|9?y|TW-^{re8_w7gB`kTRMhy?yV=dM=1W+4xKBD zYR`9E*|Cjc5&TW7T|bhqy-V$5K{iEiQO>>{n{KboNdwK>d2GT)V>vd0K zlls5AX7^uT-=Mj*>(>7754>a0e1k?0d~v|2fg=Xa?tf#yTe`+}J*Q|h`a+@NjGfZk zsi&-?=WN~mW6go>t=O!iRsSFK?!cLfIq`?a`icYeM8~Agn##=We6VYLzuo%p(0`}? z6Z>u0?>v3SW{s}JyJmOJ)QX|)v*YnohdLGqxkoTIqfu1}6 zL*LALdd?biw^qFDALz?nJM|0@PmWc;agtUrt)?%{y`V3tbtxLjczxyUoBCe!I{iNC zI#n_MuhMw&=Zecbe|?-{Wo)GgkYhCCzocg0&+ger-#=JG@gHZ-U0>f;c|tLHM(IiT zRa*Cdv&Ogk$tUR1`iZyn?DArdf(7!S81!>#Q8ZrbAikkq^*Z(AT5qWF^v0@xhDM~< zC>rI_vSQ;DS^rKwk^i6Gk2D7Qh9v)Td0bnom-&}s4jwE^(No(+@gyHqJD=7^XcfW6 ziYC&dC{1_Ne_uaR>&v@qYiXQ0rWK9u(%K3dBR6H+K5K5FHInN{rXFvMlFqHtcu3!_ zenex<>Ak-aMl*V@?OnaGRpS$ld>VY1e-GHr!ENwXWT@KI12Pr{>?X zzK3d6%(TW8va&OKPg0bk&wHjTI{(XxEVr<%-<`5WODOi?1@d){)Z4d}(z^%wO0inBEuIaQHNPS84!MKs58p2jt^WM$5m|1?G4lwVWN z8tzv_oU3Jzw$`?-Aiqp8oVHfa{jzMvae{wOt>YS_UiP=@h2O7_RIIW^wee+)x8?Qy zTwcL0@(4bZ&%K>|m<6?t>2CQctE#8$Riw~|<@@|m{pFd8ExNbXPoALoD_2XeuhE_U z@?=iZNN>D+Zau+O^odD|>9I}yNA;7`+V3<2bcfz5`?Y+JZ6v+JHLFDQ(#f$EC zXnpl~OGs9`<;hHtpL(uFNn_>93{%fBUMtK`k_F#ZHLas@!vfOA5zWOls##p)hz;dq z9;X(r)7bG&abm80hQaN}=E zU#;%z0>)v|KI&)vqmjiCqdXca8?xNT-r)Vx}Xnik5i#oJ(kIoYn zY5A_MiCrgj&ENI5=5zPz{8h(mS{?kNdY-5Bw#@1BBaYF?YJlQlzSBeWqM?mjdwbM# zp560W&kSk9WBLx<_a$4GX-({X`u5fK@>!OU#O|gyP+qEE(9x?HjR)x)bXRFk?jM>n zp4@qA=Q|x+%5ynYV~VMoc{reXljgLxX*7lNcKR0A2-)-HR`5fuMA=0Wdy~da-_v-apX}@fs&}sDJoi*&uX{BA z^*~ETuaU-Gq;IgU-ICK+HAeiYRsfCFJP50k&(fN`9i#o%J zd8|CyS@I>3)qOOwTUlNf(I;inG}0X?Z+vlS`W_m6pQrJ`lcLg6@_zP{He4pHCi=)! zz4_54$sDY0y7b@~wft9&kq+0K!HOFH&yb|uuF=Zj(zb0i-lc_6icB|58*9@x*VyQX z(uMPy*Gdx}mFLZu?N?~MIlZgK_(!X)i!`3UT>5vJ`j0=0Hs?r-iTAXQ#_fvpCoR3Q zHG17mjRVCk>3#0FA+;fITH-FPu?tO7!X?dhuX{>sneE!qr|D3D+nX+rA zYs7zq*7kiv_t$QXrbem9>5*lbDjUG;8F32k*ZAlb{V$iNeS!S$^M%>@@{O<5yv%jF zJ3&4k7>rUoYs%7mN4z*ia&nI5Ue1><`De9rvc}1Ji&`@T%ZWZ8$V309F#nV4yGU_( z&ea>smx~{_YxMSJYldhsS%w`&&r3Dxd|w)dJZ>lnze#J;Pmnxrp*f?q>kldZ$XMCW zoAn0yaLtsTFOP1lqQX5P&H7M%{URC<4c83isrn|%)smhU760}%>EH#89rdN)BV_kj z6Wvt$-p$|CPE=IcDb*$extZP`;nTiH>kk+|erZ{TPXf^e&k|4cbt@m|*(tCUF zxr*-g_}s(wHM__4v}kR8LGFy+(;H_fLge1^nD^2%o_}{VmsJ^C!_@-{z~*%H#9~>0hOv^C_D2n~J*jj7CZa%DZ@4U&nk? zI6b6q;k+WP`jt5FWb^x4@p-M@idt57^3PgPHbyJ?=5|bKJw0AQ-%9zq>;bFPAJDw< zQ?iQtDNblpV}TpA-KY4W?`!n&EzM+Jpr>4)=$)Yd*6hMF8r?LS8%k1ssag7KB<-JR z-hN?O|E=VyoTez3=S!=8r@4y@H6F%ip?^71t<05#94SeEPqV@Ys@^rlhxzq(z;g0X zej(lcxUr0+Qs1T44AG5x^0Ac0)IS!r|E$@*o77{SDhhv3R%V38*Vk%xV0X<5%&!r_ z1WAS7@Dp_3Q|z4`6)#~sjX;)E@3Od7bdHkd_O|9Q=wntCH}{w1-=ZgD_j3ROJ^@pn!cbQ-P%)@WA#@5 z{=Ovs2DNgW#-fTMCS6@z(2s5|rtPcZ(3To&67A{=&FxH()$gxa!F8myJBeP0%WAP5 zu35AnDrHOESwSPz`4t0(s3OcpzAW8&OiwU~gmRr~xkCE+XKB?HvSErEtb5G3Pu2{_ zT=~93H9tulhhu^zfoM>`7Hez?tW^=W> zwDNY=W~Yngy7XXT8b~Rzv}pz>KrF&Iac+a zrLpZ3ninL#*5%^)^_st`3#;AKqueWNev+cqTrCM2q}hm_HH$D#JYG$9{(kizJ!<1E ztwugsYfq0+e>hI_R1awG{7`u}yQ-yKWM$q^&PRmljncn;HJ^8)WO5J9O7E)oH!hTf z5~u7GS@gR!b9$+?`ex;RM700AIPjpnym2xqVVF9qcQ5WHWdZd6&HwwLtLs3 z&2kWv=XE``AO=t9OB^J;GNPDJp18g{ND99v3EM|9v8$}^4x;r&;^%1jV#Ab=m?Up$ z;~5Asj-Vq_Lade-RR4=gB|6xX+8&YQjMoh1KU?`G%A$WHY46d$u9_ASgiEW{rDeT| z@UgbG_2d;ZZ^tYgQbY8o4oMAhmp&7=ZwcQIB@OTB?ERM1P1k+_tq?y#u;a;Z-Uv#ZzV#lfXyg{_S zN;LnKCk=rf-CcXTMer_oy$sM7R$SKHEvNu2-MF zjJ%u^HBS43`k1#gTXC^`lW*&->_xQh=qSnRl8S!*u>9i_1jTOZWA~6093X2$?0I7I zbcq_Uz1La7X1c`8}Di(-q2_2Wmme*GWzt)93#Plkt=$74iSG0YkrK>jzcH%2tBiX-GbbU$Bx~8|vE~Qc%i|ae+ zznvs@J4yTost=i8Kr&O4#7`9!i0Oo_nI!5Uuf(}|UE8~oV%G6@$Yw1d4Ov>U|Mgb9 znr(&m9`ovnCeZ)j$acm0V?__DYK9p|dXA_p0C{Qs1583h$!aC_dex zHu&b$v!W*v7(Z7;Gwd>YIjgn*M09>#()5lf#}iNDnoU!V4z;*|XuOg(qAy`*wh`^O z5kA}M{|#a7Xk_qWd2PfATT*3!4yYUA$-9a(^p4toQdWcKCQr8P!$k4t1GUm4ySbqH zkd;*vk(_oDCyo#e57%~>&cCnJZ?`Pc7-8EZ$exo_J|OI_l)pz@JLYhSDn-n?>y-X? zY3eJjKB`wwSB9&PT3J%JPAjevvDApJMl>SFFZ)E;A~$b{qZ0)iv;X(YPTZop{wS&b ztvpLd|DuIIDHUIv7;f0M;evc6LAR~?(_LHIbg(37UuiC}yok)WwDf7A7LSPb)*(sl zY+2uB)t?aQXeGh6xhT7)U>YX=;yJ(9(ujwI=l#;Kdjt`!@l;`uxUs2h!dPvawT|nE z-V3T!Kb8Ab{AKQXim-oO$M;ogN{jZ7$!gsrPyPz|A;0}1qS^VP-lZ*nnf~Y%@oAc@ z&w|=`icV}R$7fo$)u#*=H+ci)eQCi2QQ#rb`5sZ}ucFAck~ID|N{jDNy8Byt_~*st zsd@^8kFcKX@~P@m@6Z#Gw>6TRUmoGm*3*pXdba#`J&*i_MuoeHnu`h_JQ5k#$75(USg}+9NL7o1!gJ|G4%KN;-*jca5laM@v6%5Pyk_ zhhIg%PAovjkt3q#qN<5MvP%3uaF`~T-W48rO8;zGP4xU)`DeuRyiqmZ)uPLb;{T`0 zJxe?#F5PI=K^(P3btHP(DA8n5$tM2WQi6F!VMr|1H8nfGvZ%Pcq;`O|F14z+5oI-| zX`3qk6G!ngNf;|5rpR)`UJ?b1}ybgp^?A^|5uHwPIAnW?P{9EEozSWY*k6XMX_UQ;wdl}JmB|(Lk zv%FxVA80DayQ<;279VdG|85i?uafNDB&i@C9v&86^Sh$#``SJeAG*a)>?-}i;Fi50 za^)O(OlbO~mKD2SbR*W{y^^iRRQrpng}2Xv{M{DxpNLCDexD*L5a*7VceBN_IqGlW zbhqjwqA2lOwennhyz#;E=;?D7673h0d@doXAwx?_f`}4{{deR_dIO?H($_Dnl{pIw zW8zQJCk&NjbP5Avn<62|$SBo-^lcyxVBd&3hpcQZ4cAb z=2uH|RU1~@zd@qY8oIZvG>p|88|i#GT`eul?7zG#F2OJ2Q4%lo5y=!DFq|i9^H6aB z_y>u4ABvyEfaF_}*Gpf1ulWyR{9@HkkR>}wJ|L0*PSN&%)H7b9-ts!x<_Rrnnkrro zmF6uh$}b=ZC5j`M>-*P|om-@N$l|TKnjkt8S$q*$w#~&6VjAwN5$}KLoM?T-m_~z$ zs|vOqsu5{lUw3yL_X6PqZDTC;HC2 zs%pbua(qcfAJ_sc4pBEdB#&^EesclQrc-pZcGOxuF8&R&g4h0nsClobdY5{<-;19Y zi6(#4|4*_u*iJ@?Pl%%UDrm{uD&^kuO_hE}8@&vi#3B-}6~D8euIEZapk{xaqnWH> zSwS+gVaqNN4RH-|e0BYol?5InNoVA_izq@*@=I+;iAvv-<>Ctu?+J>#1kq)(1UCw% z=cJJfsJ5L%MSC2?4o|8p-N>{{Gy+hJ_ zq2%K{Ny6pYZWLdi7DnB&PD4ezPEi^okvmPe~CYyzIh%hJY)qUr=u zc)YfUEbW<^&l#n3ypeS!Rm)0$iNX81a=fUT?@`_K zpsdYe9tDYI?6rU__7d7g3AUlao0(ZgGDK^=SLGhi8S!~1wD|gzO5>x`f6#;AzdWfu zQHzNht7jGB(F)?`s)B;K7i|4PpmIJSy(MBB6t^5ZTQ#gwe03y+d~G zA*CT@E6K9#(y~$iC7KeGbT!q-xZzd70Y-PVJk*C<_!7Ap>|T~^yRVxo{6?t#p(@2( z1)dPmZs~ox)y9*e8Iit;l76=K*wiPLK26d!zbxM>lGCrt_I*v%SWmjYx;VLva9KfG zfp4#cBBM>ZSJ^N+fEURI|=n6D~bA-*%KljhU-^A*{J@zO>{iWAiy z>$X-^OI|BERy8jnn5T({x68l0L}QinRO4l$&O?%2^qh#!#D`u=XY?O$3-_m$AMB?{ zqq>Cgih`NdrfZ9iON$5nq)Bf|Dqa%x(59y5E=Q}aZ%9^IclA9%u$*w2C4Ss1yTM%k z-J&R~p!&(yttLwFWy%JLtpIkJ5v2ivOM9^pp}Z6Yi` zB}_Y%YZKLSpyug*qOtnAg1YF$jSOFzYUcmb6qqWq$w1m90^y#5abE7lah zabDF>mh{7GJEv8T422%t*|1M8BwAKZzifODa^6D6GZvj<paL_Qb3Pv&tKZ3V5<3M3;}054l9U{-SzVA3aUnJn)kIN% zIl4KjeNoYCM^R``(d_V+9PcEZSV`FSlh(c`cvx@wg7Cl=VfF2Eysq&Ib2E%rK9R(- z)}1-OIjWc06XI4gF91)+v@HGd!U9R}5+pN~i@DQx#gR$U;n#I!ltBOev?#&I6fJv0 z_JHqBE+(3NLp}7?qTjaC%@t))Y3*Z`L2H>?z@vp{j2V}b4B^2KlGZZ*Bf|bLQ5_8& z+p>ZiE1xYVp2`H#<|xlQh8@xQ=bc zR%7+B63m~!DVn_9s`)jY{abA^TEz1s{x{>#g+(pKGJK(dv;opFV$&0)y{2&r{t0uE ztn0=@##<%2K3-$5cnKEI3j@X)`1+nVf4&7h5)O0&1PvP_aYiXvQ0(Um!u=WH`&LVG z@KC2n8qg=p1iB0yjNN#u^ID58o<-^>Yq*5^B78OasI^*_XZe<80JHuo$$MtgRTs1E zb5++6(Pe3sSx+*)ku1=5vPYZiYW0=`(^9YS<9(gUrY;?)+0%$<6);W?S-#6g}o@ucQuQ61bDlWZ}sLd5wY!sRXTnmok3zf<** z?_;%JYk9GZ@9>zhOl%+M42#C|n&H9#e*{S$CCC=jaRH@53FyGr0eD)rknkNX%fD)i zH>-$0%;PctMh}RlVjG65275P1K5v*XFUnXHoS_w?b^IVC9og0cZqa|H_E;X?!}v&C z!@p!!@H3rF7Q~))e?rpvsB{dAhRuMsp2KhsdWIJzk;F0g7h?Jg4>W_8>f#7;iwBRj z`k*Bv^c3(GJ>r`k^i^2D9{D7s@ncRzp(J&Oe8@3xi7YC-RuO*d3QN2KX1Em7O!s(V z=dXEquA5#FN-d}Ro-xCUV*mIW2=fHk-nvS%p_j~E(2FvUwuIVY#$!3vv54C3P|x$G z#tZ*YpL3V`jeBI<9+n(28-s;1RnUgFWnbRV#;g=yW&nCiDC0|>pQ7_TEq$RipTW07 zEa~*>(?xr%$@{8@xqI%?*LO&o<`WHui4ryOuc=gYWR8w?(F#fUituDi>X89@OfDc8 z(BcR3#Fs>v%^0i_jG&l3feO&Pzc%Y4GYLG4L0YgMb=}2sz^y@&r$w}(QD2b{N}me7 zz9JbMElOcI;RK^BdODA}_~s0p?QPK>?xUw*`jK?zW1XS%w4f!bY6JPofQ~a1$KyQqU1* zIvBwMC-&0w5ev4c&wb{K(O5V|E^7qPgX1Tn-sBbqnIX2cD7d=rViBPxdW8PK8N+3r zJ@cQIeP;9d3I_9T ze7y-yRc#qx(*X`kGZvp-j4%6m-k4s5@9qp1Z;*OuhXh(1f!}kq@Q&US?d)vr?X|#B zEW%*r%kw{^*S;nEG~MtR@%JXlHer>R`@&K)>&o27WL-h$DLPUXN?OyVi@sMe3?muj zuwQErZJZNHL;@@WNCrL{&|~$OAA&~AD#07q;8w9@{ag2JX`m!@E-+zHY*nmpw91xm zOW9L#k7JnKqe$#pn7|WKY^A^pObUO2r~emFSx2cIdxwqX$u?G){vRnDDY?X(^VipA z3qx`sq3=(Dyv3+$<3q1G<@Dj+_1CM`m#S41}NXz6e z2d2A$T_7@PkK1&pM!C5S;c~q!ssj|&R1CT&+ zCnxpTtA{4m6H5s+#HQh!VsW9NZ5lEHHPYYW52NFzyKN<$LtcvxVS})hSS2hBwLuF7 zBX7Y2OkmfE5E+UHHKh zLO-wa9#4_}8qR|a^33`k=7pJeVC?}bD_9wZ$M|Z??o_#3bd+aRP!d1J6h=d#1h$R- z5$}Ytm!-y<&K@n#TrN+zr~|pS*T{1%`*Qe0SRKA(=$_VEiA>NYHk$r|lF^Bb3g;8e z{WJ?oE-w3zmxI({_igd{?ixBo+3XIc-itd?3@uId`nLvL>FWTeU%@HVT^RooA_ZjKN8crcu&=t9n15w# zwXin(w_dS;Rx?rqciSz-*=QD0gUrSTqEoh~ZVjoyzeX-CnXZGeMCq9*VUO1ohcj4B zHtwJ)wr}Yn&;>(2T)f4`!DDMII%1y^Pl&$XmIOZxiqg-UvX+6^BY1^$xPN5k23XN* zV`XRzmCvnB$ZFY=7M~NUK_T1scsk}_ID(9z0j7<62&j{u76{RS0j(aH)iQ7h-<|Jj zGKOWm%(pdd4fv`gQjp#NEu*yiWJU+%;~6z$X!H=f=FNT|bjQA#=eC^qJ8X<*JffjD zb*ujo#4x;Q(o9xMEw4EZFWLQ=wJp?mpEsPS{}}#tx#*=_$-J zY%g?1LSx0K+q{ND=Ct9VCff;HeWV7D9?CISfF!v8gI7pjB+_Gaq{AMTVU9FgmMN1` zmVM;dvTWHY8eL?^Fxuj~U$v!UOoA^4d;?pQ1V;G952=mCa!OjKjkM`=T0k=W%~)Wz zoFSKyW0!7K{YAaVkJ~^F(_h<9^T@=bCfjCv-^gE8s(=#?c&;YYD7|;+<)di?^oAFh zV>f}+@zm1Ox;>zzPl4~D6!x6_P|Q4{B{t}RwM6#|Wo(C#9m^-O<+kxG{IdkZ z5lfqUPPgH@Y>zCd?N+vMYW5dYpd7vfEhBSCoqJxagjbYdq433^lkFV;9?G|F;rYntAgIWmu>@Jc)%<7Xn!FMWI z_>7?v_>gA#@zM6qDcD*2H}C{1*k&+yVktH?XqTFhAM!EZ=KS8rzMxgsYWg)pfecdG zu;bfcnXnx8ZS03-51$Igz@Bo@()fX~f52Zh+l6>8%Dt(_8E6Z5TmLEJa##WA41KU->4EX*(tFxx!MAgt z>@)Yv$dftax`73#kWOUDb`ROKe*wLVF2p0U1~3}3y<;S1TgR9IuMVFS-;O@I%pgDm zFmTUm>tKJ`b0nN&fo!F%d+0jP1HIW#03%~rY(l&TOFcdffBbXCMegNo@l99oHq2;| z^$>e$3fphB1X?EJgJ8kYL+E8+7M#7#r^;p9>o3HsMMKLNHRmikQzVZwm$9rN?e+qZ z1WQAt(0l>9Shs552Nm7_S&k#EMGrH(Mm;<$Ft+YTZJFIW#oKo8Vdzp8U81}(LM~HJ z%KF+k2Xp5~5)HX!zxV6Lbva0fO1K>*GQ+0HfKFe@~ z=I%i(E#Tw66|3j6P{T7T-~eX!q|r6^d(07Fs|>wuDV&dWjDAqo*hVhMOV61-M@vOK zachdbBHN|1M^3|ms;(smT30j=tt+)f!V9IaSe9tg+#jR!)@>jLBm3fvxqN2H_P)%d zAmdztkLh3T|$_`WsPykOqP zBWgbt9;q1{p7Svq;HTO=)nhhi!7pYBZOJlE=)T{aGXE@xNL`UUAj@WHB$wv}EPdbz zKRx>Qn<$w8MHwAOg3cyMHP)jJir{^#uf#x0hYwr$Q2o%_T((JoY8h+91 zGIyI9Mn7%9TVMlgIBaV~dCw`LDZs!J8QVYh_?S@MG(fMgPGD*u2yYNSz&?_F1ia&T zi_GwPZVU;CuY?Z<#@H}4t7>hKtF#Y9(J*{PY*+EC;u#HV`9kCauU5X!wS7@!ZRx&CC zUto?t(90TgOTIPTBS@?@JO(~P4uu}JQV zNHuPm`7v(5YTN7ikl8J6Scc6P_ZikiOQh?^KA0P5imik-0KdX=fekP};T2FC#&FV{ z0XIe_;07&CAzvF`F!m_dxEfz5z%Teal{!ro?orNG%5urxZJ1}|iOhoq8!2V}!4P;( zz~dh@8$I?a5-b{Xn|S`{FStIbS+)sdyHNoZV zYchvHNqb@BG=FH>9)DE>JfCFUg$7tTs9<_962>mWFK^8MVkx*n-hIzwadNo?bY&x* z^-26f0eAu&g$|ZV%aMJUvPYUMPmwB)IS&n!$Jgwkbn+!Pxgvq)f3XqZW1KTTUOY5L z&TQ5#v>@072lJJyYD&1BG>&tHyKzEuBauE@3XpL4$v(5FkqdZ=Ju(0B$BZL20~5U_ zXJBVuTidK}=AgM|eDO=cn!PI-o}*nf!cd0UV4kz5K`RR!-wGL zIa~0vC9`a^r#G-C7yF3i1ZQYsnYUD%uXrQy+g8o|HSS;?n+4>S@66<4xnsv!KLnnP zm$I4TtdZd9X!JVr!yK(wvr!8kfmc*|<&Pza)@^%Z!Od}V({m$?hpXIWL=1Mu)BKIp zTFRk=DO25>Ztf4ElkDi7rS5HBsJ_ zqy<*Zumxvu0UKjkY?wzQ_P*h`=@7}L9qfcP)V9O2MeByc*p)q8FY*rGJhKBQ!)cDJ zasz7Q+E&UKxh*x?s)zPV-Nk14Zqal`V75EJZW|md!QE2on$k|}j{6GZ;~Z{t-hJ@0 zjg74`UXfUi;cR9$>_No#0yP#RG6rn^7hJ&~I4R?DftoyE3NMS)W(-0a@`^5|1em!G zrfjhCx#?DHzpXRyuo}<&cTIR3;9dLIENpYw%VKtQi&3kyt)U1tj~Ym%uCWo#8p z&5T!5C%MdP5vwMm%CvA6|)_?|v+H{~V$(d!uSO=~G0VBn<$`M)twP7iE+KR^Vni}p)Ood=- zDPf(HyltMq)}yOAyzVzxFbe1y*1OHv1MHN7zrK_hIu@M#uJ?p$9)p ztmhzOQGvvqq+LI&4~C|nuh0d{Es)tOw7(dbW9^uWvj-4=of_hmS>J4_T{AdgT?@DD zzs17E)`5X}$eHg}&zu6cx>Q%}EtCL`Jjb?-n2J6}exMNT7mUFMx_}+N1bwZ!1^ukQ z@B~<+XF$Wxas+mz2Iy>>hw}8*_&7+CTLvC5vmS?cq^1Q^EK-Ne*w10+-%#57q1{*v z$^ccNFITi<2{-n%5lJ_l3$2|$Feaam@UBpeR)K)Ni@wG)o_-sUwS|5nVH*itl%yS6 zH=a;{pYO){u}a&105S(3?CC`2jEVIjnoxAabpyY92=gU+hCH`xn`>o;f}eX-pkw6X zXMEN#LroqmEU;Qas(aUNzF7;k!3DyP5coulWc?N@>GOvNl ze1?9O+)${%KC(|8ZW~w&9xfZ*P2EmS zF5}sbVWBA6W87jVEMtbx7Ioe`p$W&d6JA+kOc~Eq+G2Q?-Fwf_nr5+a@v%Hg0>ZQc zEa?wz4X_OU`B_o=ZR@CKIP6y=8P$5C4VGDIwU^yqpJmsz6)(_d@CWFsnnnxIu~5_3 zrn5OQ1o%*I|8EK^st7S4+|njGL}`-3iGm5NSc&&xBvXgzc@ zM8=MeMIRxOvmcT8z1ZK|b(RLX< zwAW<{z~#&Y7VJxX$Wyz2;kwxyw{QZ^So?tl_<+nXx<`zENPYHgvf{+g_n0^3eGg_q znOXCUDJ_Ltv=!Urb_=CLD?iIAyrC?*KyPhK{oD*6mM7SLPKfvJ{=zt1Nh(L6;SWswUEWXU>1C|}#vG{q%89AF1Y#Q;JL(GWvA$(ATCk`)Cxn z1Rtk`W|RPe;Kn)l6|UG*G)Cq$_YHONFj9MI+puKs{AG_W@{h%L`?mL4F#(>b4_)&p z!LsSGf}h~o;{q?}!#n^M2At3<@S!DeGUvRv94}|7S2Q?(Kq)#);V+)jzU%71IqX?rlZqH=T5a&bl63gu?4ow>9L@LY3O=vFOfgPX$d-J3$9!{N14H)92kOM@tVkG z4o21jQ?a(5Zwl2ZVSFMPz-28k^iYS=$UVM8-v02YmbGH|6n@_}xKq-)=XV199Nadk zJP}5gtYPlOEFGlTT3Eiol({ORDKO{a)vtI;r{8ht>6OmFkdJc*wM@BD@pu(R=`+x?U+Kk>U_Weew=!rp&lV-B}Vt>q@zw)Y?5 z3f#^3r|_CHZyw2%E6$Mr_^hUd_vwSeU-%7OfuJueq`^OL=2GEj?r@|mxqP>HVkrp~ zgEw$k#w@jX(V5YT4P#vI9twVeOWCTJ`8;xA3{!iqd@NFwYoys*bT$45P!}9LUc%q9 zhh*8!KGKZrL!n4I=b>n+KQsuflEeI;m-}Td7pv}7`=0r8kCt8>>VP41H5c1!jvrKL zLr(rx+9j8<00XegMqgI^YD+_vuch5;+d1zG7wM%$EK4-WJhGGo#saNVYzdtcT#`E} zK8Ei(8jeVIR_4Il*f9I&<|{m{+NHpUEk^eESAW(eG^cN_&gHuIwr^&h zx_+z-Qdw-Q`4O%}(=18kwJ#JJyOwBSu7Vq!rY6^dw_}edFk3Q1Lo9B))rBLZlwY_J z4;YM1G4iqUjg_vhg?dwW$|bFK+6N_n z$}%Gp%Sk#vUq@$(1gDnp-5g07AAuig%)8~jkF=k^VTWV(EK47XtQr&A0xmxdhEAae zl1okG0A{GgX1I;NrGc7|`pAZJ6u1I&Mw#|8Gjrg(aF{#b z6Wk3?wS4G?yt0ZUV@IF6PRi3Zuo-4-2~x?Ckz+hU!yf;a_HA2em!F}6ex_bH%yl{E z%q?FxvV;?3##A-!fXCy8)MHwhCiW-c z7@YGM!mA@$aRd(GX7byDTMJFU*l4t!KFV{uq((|;(e!|lh6`K^-keo&4&_Mm*n@K9 zs?yEYmO5PnXq9WUb!K42K!3${KpChmPKLGd{vN2Xw*Hti$hz?(jT z^U@!2&nbow3fcM<+E5ZpK)>r*U3;SVrCevNenwfeYFb+pE#1W6u(uTMkd8Gq)!n}N z5uUgfOGNCixo$fg-jc?B=Ldh}%}|(Erg$XR`KniuOG?=)1P1CVKhvt*^I6(R`$dYV zmwzciT~(>GHWpY+li-)W%NSBWvS?Zro+5AVG2v5@K4#dtvP2db;Bc|SU~HPTvg(Tb z*)kTi70#2-NHCfh>cb89j%9B=%)7D=o!YIKXw3guEFcZ#P{Y6ui)R%lbW>J&8B@#OjxK64!Lm&8abUnc3v+&SbA1)a> z!yAfFtAB--*8aY{HYSBq=@l$rMb12GA-C;vU@;V-o$;`afWKS+0+cTf(K%yP>WN%d z;jWf+?-_hTBd0na^e!!yGjlOeRqNyqR*zq5F88Z7lpN)L-!t;_4{SL)U#>V-;p8g! z-0M_%WQrFFD0M}4;CVEw+B!K+(@-|j8hhd%l|6UhYq(j3DERcHh9OC6q^s(8n78R~ zLSgqOczE%hg9%4VaO4*_;*q#LuH1k4I(>Co0UqaZKSkb1oZ$ga{-$i%a~3LuVlEM? zIF)+g2UkvUi)eg)#>|`nC*z8&Vi7{KKw4}Ika+|aditt(Cq%2@TR6;)8V1hrgUDle z>=S!rV14!|hdm?QGG?$g8@~b-wgZ?;O7N=U?o!!@5|-q7OGd&?4eD#}O$>WgLaR?` z%$xARN2nJblp|-ZB_1NV4PDNFj=$y2J`WI;pYQq_T{S-5lWHA~rxTei<*R3RttYvba*%T?h|O@_9>QKhg`fgw6# z`7XJ?Y`r2iS4-L=StHYvla^Y^TZOMcnKSf0Qbx{p3eTJ3a>z01-RRLmC|ziJ*TH!^$J^=bdHw$NI(3k zauR5P+!mj`pYYhanm>#b;5Jw6ZSkN_{!Ver=4kFf+1%xrE9xUpfi`!lu(xAk?iY%b zobCCl6ePFra%Ntb>ZP=8n6J54sL2(-k~g)HQk9x={$*#8muQ&turIlt2b)pSGb@13 zU;NK_J9+Ar&y}0wQ@~hZw)Ixjz>-H8W*3(G@xeVV%!6h?T@8B^>Qq9Pf2=KI?#JgdElhKy!qB?Mgwt@|SGi-^DOQ*k z%J_s9{DUgcD}T;XTj*%YMe?u@>AOO`zQ@R0`?1|RegVLj9wK$Y!u2DC{`r16=elU7 z>BL>ms`^V>yS$Slw#D|#ZABldcez99_-`D8c`(g&IdX@5wvb%KUZxFe7Bo$YkHIp> zf|vCK&Ef}E{Bjm+o@2RF)i0OHzT|CBp>4OH+H;?4r&VF_-AGsRnsTOzwF@~bG~$ff z`tmB}tMf19go>tkiBLj~_KMRxg`U(B8d|r|)T}w8UBgF>Ko#Gb{*Jj;B;Vt4_ezE; zSoft)ktXX2yd;r^C*4VWNRH!J%f-=2KkzUIED^L=r9|W;x%=|gm={Uq%=H2>XW*E959mxSdri(q`?lVZ zY$Q0+?73^NR-XEW!sPhXVs*gK!w zj)4<@a4kKIQ)wRvxia0s*m?{n!H;Oe!5^OUT`kimIF}kCxz(23-?=^7ufmX$&Qpcl zkVOvRX`zWRFV~z!o`QMG`&guqGx9|C3%))x?!hdur?zVCr16V%k=J~t4SFg364p`_ z`r}&wE$f6F$D&x`@G(bf@e0mDJJ)2scBl>7w1jb%c}TY$49gLX=MQ#Zn=@Nxt^%uD zY@sJ=0SSNq0z>pPJf|I(PtKGoWw~P-lCx~pD{_;k&^qOFEcJ6nYRY>IUMzBKRP@yE z4*3cSfI0h=Ppd_PsNI-3PfGdd8lWj$K(dRkUVZWg&-+TZ@uQ?`?h8Y(1cK0l+TnDe zeW+e&8Cb|=*{I%gepAv^=G@mDNlTqTl$LzX9m7@dG<2yUP;*rC${l_89m=<-IA{A^ zazyH5BaBTc9mt>uc|*T>vGtwMIrWx&sgry_90@nJY1a^XPcBoyczfTTqdhGgq+ISe zt^H14EP|z>P2XE2H)kBlV`yEAsTi(S+oer&r?eiZxZ^*RaGlhbw1Q=k4$g{{mK?Fn z%yZS#@b>5)P4O&1QB1Yhb-6 z-9J*u;Tdy|&gE3<>&xYu^y zPWjNA=aRMwZrMJGVKwgYF2LSvJfm6g)soARo{ZTKv@bt+;XW-~4>e5rLSOLo6_m&i z&QM26`xu$dRdRpXF;ZdblB1-QGuOl(o|7v_mmsGh;f#Au;colS_etZdDzThfc1>xh z%g?gs^D1M<69+VuhvKj$v`MT#ZRIlwPe@I03-D!hj0 zP=a*lwhT}&n0VzdSFXVlLr$*U@1VPeqN)j|RoNq>kzS;^>Xq6H4K=M-)=Q@`=jUhb ztS@a}Z5b{AK_~*e=5OTJSkWV~@w6Z#s;YE@N1!M?2!xA8Hzav$wAJ=l{Hs#C`J(7w+~&QQI0VMu50Bi{uEWDMEze1EaM zp^2qDoJ$>Pp(@FxuddRh%oK#Sf!j27O|B<>z3(J{;jf`C*rxTOvw^RA$7!Y2f;+ja z?cTdzw?>x{DU=V5UDjG@owNwxEOZVhO1;*5uFEfF!vU}}kNVnN zLzX?(!}ZX|SW81m!v!p~W9gu8;Vke)O5?qx{aBJvzC8bPPE(i`_;bavNEK<3b#oz} zN@N|FN}kk2s(+?8d!JQ#kvtrIm$UX9q?5wG7^r~N)N zZuyoJ&z$_6$lsPiPV(Hy{(~i@;8p6T&5Qutevt)VC-zPF6>I3;EwORJPbiX{p%L}? z=hjTqq?GpBAwfceGkGinq2j#!?#p{ic#(zFkbFhElfn_G3j9S{4Q=+xVTnp=jw1j6 zVw~DiB6)ly)o@gyN$*_J${Ca}HJpYt*{6-#2EVKzlyJ?R&J-e%DvITkerkINMJ5 zP{zkx0e{H{KJ9mWpS^J{*Eut_QjXG=xxQRW-)r9;?Sc=HX3M`ZGCj@baL3f7PT!@C z!h33g3ZaN4gfjU77m&hB|4Bgy-1~40hE)pqJUCLDrNQ_b)1sjnUl})KI<}ngaPcmS z*W^^|CbhRqo?A)lhHqZzT+aCT+~umZI)&QXvCLJ6}<=)f|bb-3)k$ZICrzA4NRoSXoIklva z)A^%|ma&vBGE0hEFY@ELiwW0N>8+j@ z%v>t6oVqyDMy^c(V_BuFd+`D#*cn!1%~iW>R!f&WE?2#dCWiJLzZ?Un2GSf;E@i3~ zp)dBuZg8i1Wvz*wuygXr#TC*1;MZwW2xz3Ri$qQ7u21Y{~9E^ASKG=dodp_TZ+`6W+Pc7|b zlImk12~5>v6?!O>yg754@$o))f@?}}gp%aq4=+r2%O>Z6C-PN}#xi4*62B;A(u);3 zamV%B#@HVu6;1X%OM$>?K|>mlRVC5aKwF(}j28T-G>@H`gS0nWg~OQBREwD_EXjXWhE z?Nxt37YKbU^|IW_o>c}*$ObFb7=E$h6Iu}Fla zDtePqHMz2-1hod9*j)53K6ZKXn)w=Qr{$_@Nvd$74+UPIImHsoIgq#OiE;Jl(IX7{ zAkI9NtkxJj@E(A+*bI7@Sm5>+(Bgt)siE%~b(Q)!3$>iHXgSx`!_XrzR`K_FN#U$q zh0E2H+)rAeZ8@t-25=bSd0{v7**jl*UR&VylA#Hy;1o!VpRWvyM}nkq&2e5ostRjr zGt7nrsLUDH-*;cd`aj((I8|}<2+Ff`X)Clcj<)LNW4P&KWHc=%)jbd#&!0QM?-JM{ z+q;6dy)9^Ezsg5b%2vxdp=1h*nTLw?ims2lKO$d^rtpU zOJ)H4TpUgtf47)@;d-dy8hpR>mRwu2O(D}g)S^Xu0dU0}A$KWb_@Gzl8j4mam_E|| zk9(N(GodMU1ani(_Ka4YhgKtNhM9CyEt`R~n#Z2^fA%-xpH%aC-i$J)UAUaKas*?y z87_1DvY+9PtUHJ0pL6aOj+0`XII>xe8S6xvNh_LQUPlXj$NhuLqZ1dPyZEsi{48tLd>8&XYSi zrXvf&B#V+A}du?2~t*W0(&OneODg0bZ_U-gfD)72}r}QmFYTuIWC0s6fT)uDX zUzX~$YTMNGW$in?{Z9K;DM`!GsCkdkyy9b$C#lZwtG;`#ljat@uUbpz2rO0na$cY| zZ$qb&9$c$5=C0|~Hy^qAwWnL&k@Adb)6e^uF)I1;uZ~4suJ2g3S_j%tz0R08M?+=E zjA_9jnD|)Dne&v$byY?Srkq79eV+UUm%tdfxGv|W1J@-jWlcl(z)nk^98*{I+U?tS@@c^Lad9yjLP$5MyAgLV(Wz7}cL|B}aP@fh(R3Kc`Mattl2d92+~ z+o{=C+i<-l1qrcsmG-$yK5G)x4TfOu{AC|~bAEI-DL%U1QYY8(n0$0w#y9!QBc2C_ z$A#yGm%i72owOWNt|}jvsY1=1S=uA>&@r@3j&e+{q=ed4j^tkIJ5xb13Yd z$Ub~kpOWIf%Xi!tmRu=aJ(lxmZjR{{%V;)G7_MNHRIWp*r1@Cz^I0{QwIwM!>YL_d_?RV6-~8BGpp0BsW!*NX$R5|#eAwakUpr3=9jdvK zCr95a_@igk>()Xcb2YkAg~J>zqfan&T5N3LsA~zQQ;Sn`RgQt7qyQy9(+vLirGW4E z)rV?6@HXx#Tct$xoOX+J`aCjDdi%d%n^H+B{SBDH&u9-VSk?+}&2RHL=Q&z7iww|T z&`+fQ!DcxvK85=x?&qIA#@F`Es&%AJA45;m3_6Btp<>CwS$d;zsQqqA+b$I;gVVv$ z@>lfCG@92=TRQR_$vSA8U-U70SdLY{hnJeui-7nzW-|fYbtrp)lf4x7K|xdm8E%UN(!1_PWkCenVs_)5{vYjqlITVAK53xGvs~CwdW{z!ISy7lrA2mYa|^yQX+Y1*N`QJWB#q1|GNWQUvQsu z+lZ2udILl9lcRd>5-yF^;5zM>vy$Tc?46f0bSgSW|HBdSfYCP8&qQKFBipvK?RMBU)#HXQKZncVG`6|?!ljZ@JuBRF zDm?SXb!eYswPen@*Y|$uCyEedOc{h9_M#mrL1Ko*UoR5alJ)u0y}xy zH4UwsSM&Pvt;j;jYtH7HtDL*EuTnDOifUgKIjJ7o>#5%1+_i8dyBlh*z#Qg5ue z8whEo$}i*My`j%}N`VKz;MRV>{i?J>>Gq#>)Ub!&9PMXS`3%(XEotVj%M_lQ-??YL zmwIhS%5{4_Zdd z_ZwVS`;Od?rx}Y+%lRAj)E}4%MmY<0+Nn^*-L#^n@=Lurrv6-mjnDC0`4f4rqqWl0 z=@}>3uZ^E;D#c&+Tx%#7pV(^|I$M6RX^7526Iz9W9I+`rH*8gmI5*zpEw%(6SM#B< zU;b-vEB!g`0SoQtpX%*Hk3PY0Xcx@dfnHTmPv_>uvJg`7yQCYpb@lRcb5L7E@iHHs5GYYW}M^q4`qt z&E{LpS9JZMO4aqX-(j@{YYW##)t0QSRa>vNips67^AW8t+IKgnDE}+Xr<>1d|B3SR zMb%-og#^`z+Wh+a8>{eTXl?P@Qnk^#GeETRikQyYOhHG4#eS-9kSOqZ^P}cewcM$z zq1pzBHZufwzuIKA@|0kk(Htt6SE_AT+rGA);8{dvXEfhyzTAAUd0X=V;V?yc_~!cn zwZ=VYF>E&`BAk+Rr9}_&o}RB{-t?S^Ty_VD)G76A6i>h+k(p1B_7RE z>V)RR=Ig3)O6%Wfj!?U!Md8hB->Utvwp(puCLm6=QaP-d_>T7)t0VpTRXXSP3@N2eYL;U&aLeu*ap^KXKXq%@;+RcO;#Q3HRk|U$5<0+e`5Ouy%q%U;a`QKW=91>UqJ5_@T38!g z`M0R{pdkLc?u-{NkniEbeaG6ag7Bc)?xMkn+6SW6pM=rR1nEi5%S3@mqSb=p(9&wT zv-X-WL_0b}-_f-#1<65z_ng|LwO`?o@q;myv=S^|%O40YY=6^R2Z~mnD zgXS*HpEfTS4$ny|kRoAWi7ZEn`wv3am~G(s|b zms(k+zC-LDrrK zwTo+4)ovB!M~ku(n>#mOYuwa0z4432U5%BSH#fJby--`L{@wce_2KpFYd?{sTrOVh zE@-~iJW{m|5&gd-9YO1!YF^(wS1pfh&S@;v+_w31ad#cTH(1b}Q~yK#=c?r?Nx?+r z>1jOBIHz%z_R|_`H4hWlmK03Csa;#UzIL9tu)DanwKQRx>%8Wfl7oY_?cCf$ z7>$#LoL`$=Tco~K{YUz*U9Z>g7fwBrw;h}Bv@kfe@nGZG#;1+JYVSHp{f@OeMXSw3 zsRQfZs4rQ6NgQ9Uc4c$T<_C=@8gDcPsO}r2X=5cXC)ZBZcCsXGG0DRqY3dls?bju# z^GO$Ol1~3YdiS8)HFqdL~;ow>3WS0rd~cXusTw79!_p*X>{xVyVc zaS25V1Shx?*G<;0%-nZ)-+mj?WOsA#%&+I1e;R_m`mX=LxerT zH-W)&Tv&;w!ZdRy|H|63QY?^FWdE_Fe1*A0*h+TN-^JGAQ(BR>A&T&gTlqzHlD%g` zc_h4{m2gJrK>j2J$#d+%TljiW^BdQAX|t8N$Lx>&yCOUgjtOxBAugdF*-27KL%Nub zrK9Nt+JG9k-{Xh}_i;@F%wc8)bC9_eSN|5iC*j`e2m^%Ku!W28QAPnS(WFkJwti*t{Ur zC$U7Pf%F{7K`tF`9_6puQZ|<*uqV_l64`WJnIalm+QbY|svab&UI z1qvTr0h^5_L3BFZOpnoiv><&%!pQ>IhKD{L2g$V&57u=h)5DMZ3E!U|H2Hl?%i^pMr$1!7bxEIpBT z;n{2>tIFE5A#5TW%^tICHi0+6-c=DKvX4a3L39AsNPQYf_aUxq6fT=#=2p(wI;I&< zjFCo9qb8mgjLmEq7vVdKa8vjP_fm%X(|Ga~e%!>I!Z)%##%N=a(Vl&0-4R)qnS;#* zxWZaO7jc<#*m}-Z$-czi-TuH9Z_QGwN)^a6mZZ(`{qD{0ZR=aE4$<1{*+!Jvh2&CG zjF+gqR*IIki6g|3qD_2B3)8d24nIo~!bl3TM0;})r~Dkd%L4f<_}o4Il#etk2xG`R zdX@&!pYXKHu)2PTiLdx-_Qp7A{Kf)!4A#s7PmD)y86k8fJ!wCYNvX5y-neHhXBSx*{C}Ru%A!T=Nqdnbvn!uw6w$w_ z2YeP^j(3r7gX+?w`6hD)*-sr}Kk+xwLXYFqFPa&AG237S8N-dDY&9DQ|CLz~8v%P= zV9d~ywBPkKR-9f_V(prLg}^+4=L1goTm5F)j>-Fl!Fs%BW^SIG%Q*{N9X!o_W3@!% zu6dDml;10@Ez6bZST(COLp&(%z;m)#S?nS%5`(3t(rYn4tt=d4yY(0?UM;EJ*IMbb z^t#4ZR!(?J?Q)7-L(UY3(^({poP!_V<1JWOBih(&jD;mvMVuOmXcUA@F1Glxm~4Q}6mN`zENW_L4b-v!u9~N9rL>kpGfzO4(vI zEk)Lv1$d+ptXp-5{<~h(2xq6*KwjUxYqr3CxmbRdWEe&y+rc8ux`H9hCI-1dJBWYM z8DzQO;)jhi?Y#QNm*M^Bv3iocT%Sa*SZTnp;GQ9IAxA?d2KNZ8>Ub!BGsCrf?$ypt z*~hY#+?MV$-Z)icrG=&913A*t!LmU4Q*I_zl^%$qbVHmegnL*!&=nR>S@#92f^$& zUJem*66%01BouM@yO1pu64s+q-eHy#o+DOu6zZGpxPwhGDj41LP`!d4txMR^BgSfW z3pU;m+5a}Vf!Mts@#Yp;DvUPs@&e4K3wo|vP2J^f;<@cvst)H5q_K{?L7PJshOQ5- z9r`e&U(j!kq0(n#i6_uGH}gxzjI8?3Wx2tgK5BV(id0mV*#3bZ7qQ*6j8(47-Q_lN zWx0VIDi@Y#%LnC&atmn!9bjhZJABnVKU_83)!bb?{k*SymGs7DthmV{+Yi`3*bZ6d zD*s9;Vk=rmsKs_^P1FwRpV|xEYt&+cS%1XdLa>GbLKNwR%EcpWAkS$F?8+hKhcruU zOdFARs7UkkMMiafnfk-G-d9@Pr~PF-XRYC>V~{2Gkfvgc*j_wBk6>>W;&T=t?g#Tp z#s__bzCeGXyR}|=8NCm_+hAxc!3;p;7%1Knhlm};`r-$2LpaF~8ME}oS`+PnxecrA4n~p);6PC_UN2S zu1#LSXhGjwdOB==f?fV@xi2dHB`C4OD~7dSdwRe7isCH+OWn4k0mzDRF*?=#;Ot%5O#%|I1! zijC)S=5F$t?xvUN3v!VrNL4LItkJe6mb21uIzZ^bPwPRd$3xw*x#e<8xUPCGtM%Db z;jH+#d|ml!3Ad&yyX0ZwG~qM5ZG6+ijaJ4>qZ_mGl4eO^k~xdJ*&)NL>so^LUi+Z; zXI;#^qz%0(&J&Zx(TIqDl6mGg7H+K59{W_!LDw7Sr7S&Xo>9{FDQs-MDfzGDWs!p- zBO>QV)C?A_N!s?TMoE=_jz}Dux;g7vPMB+|d#d(?%(QxqNOpGJT0%G`{cUhabGJ)gW?+Ra0^|ChF7+{>#1C28554P6yBX4Mg*q)9;#k`RIBgVsS?usL69^o2S4W~X_ zKcN-XB9IHLz9#B3?TazSTuW1Fe{sBcfF8xp92ai!RDFti+ubiW!0DecKK-d{9Vr*g z^KUPlQfPF+*->SqW<=f2^DcO+_^0brLhH{JJ`Vp{FDW`>Y}V7PO1a_MJ;@y~C~Q>t z$Y4KzuXU|OwLY{>wcoe)RZd7pMJ{%g^D8k@MIqNWB4>8y=d`lvoij%}Pq~h}BfTf| zm-M9HrLc~9bMuS}`{KXfYEg2fHR4dd+}kqSlHM*oD!Z(=zuueA#0tfl_vl~BS6dyw z)%JVNrVu_bg&7RHA?wty7v2?oGx5FtZBufE^r~1P zr{^Ut9+(q3Ie)>t%|l!HyKS%ScO0GkYS_;ytLPil+D*t4@vbD1UD{u{TQc&c6;4~1 zu_yao&W_yv?q$BV!a{p=cvyk?1Mi*xJsJ>T9+9zUJ=5t~Ks|eS=sf zQbvlEYg@u>s~xd^Rs1LU-*K$BmXsEn#n?1mG_*7|VoX~2`- zuWo*7lCUpvO47^Jo31P|E@V@IRz+GAjE#&B?i<)YXlTgx;L-kdEVG27266~{1pH*N z9_^ZtF*A8W(uS1i%wTwBK37NA1z&p_7g#&LSu`#BMAZAxF8;0jR`_Szi-=V`f23^q zKJMGVgo){HS0DAIRsq?+tl+Tr3_2dxFLaP&x)e-?(LKsUTPfv+ps7b(%W|1(w6~o) z%2&*t*O{1k%~{a_nzPWP~c~mq$x>rlbu-;*>(R#`4<A;FUamIWq+JPUgs z(#Joq{DF@%_OU6#8dBHz<_bw~nb7t7xt~K*_hpH>YjU!3_PKR7%x_)31;sm+bQYc& zaV#L(KiS|7e+U%iTaPrH%IH^)}Ei(-HQ3L4O21u--7^jFCK# z^u`iv=`BAL;*4S1E;XOAh^^9d-LC9InPFL>t`+K4o=?n`O!R(iOwYM*YhMU)JD-((Tj%S5?`3~nO^8n~q3PB?!uv+8C~!32 z*Ra%}Yr!=`onelb@_tDl@HB9JRI{jwg$5O?St6p)fxyLT*~ExZw*HxsGAp^?&uTwfq+ao+%UgmTg_jHO z9K6SGuzj@Sa$sg~xsW-5)f}Cbw&F_Efo+v1VhC^Uxt%pQFWln~X6`nmbV^*3o z=LgGnc*C7}E`=5ecwq13S2*ampo#ub@+HISewuwSXQ*$1;6?8Lhqdul@O3e^6E_Vt zskeSsk&Ja&AKc%yzxgV1hF+q5NINo5+-<3C>tT6F$MUMiR(*vzK^&!wmTe*-fxkYf?#Qa^Y@7ZiDI)ci`;{~_q(t5gd2R;% zw0xw+q{G&#fdxWp1;*KXi$#S8G}AK1f11OPo~m`S9wyI78kKh0sk&@A&okDg_%hyT zjUAS}NAtf3t84v3XiJ0bWrG6)b@{&9&iOgLP|ily)!sE^df<3@gFexhtB(>N%3B4& z)hyBdHRk7ttb*z%eh+v{8j!Xadd`*+v_7br|0KCK|EZ4BB2XbcQ~F5<_#u6fVdb?% zf6IHzG_jR&!ZkFvtEZmtkx@}^;eG6sGn-`CvI=K9(?sWIRv@@ok)X0u%I+$8B)VeZ z!-bv|8D5x1zL)i^@}EY&xb~v*r^uA|&d-^TlOHFx%X-Tv29(J&C(p*vVzxEnC1JFM z1f_)i4DTG2Y8#1+`@5}u@SV_8esRPN75?V-qOO4E{#tY-5XtCAw|L8y4 znjswX&Tt)f^;F~46P~Q>?5H=Zr-mo2O>E@6LLvh5hE)hMEZg}>{e!VUt{PA&bb3hZ zfV+-Wej@@4M%;j`${hobWBdIZfFUSv~*Kko!tO zv!D3hUOT8yU|(gruXg5>^b5KFlK9|i1)}n=3cM*@CINCD+i8ozd})8a4}bCG^~LYE zvoq9v+ynG$EKQJ$1on-%88*(}Cd_rIS&=!CCJ4h(cSac*Y>wE{-Z$7C+BvwT&EV%e zcXCR)%6gZ(%4T&*`0)Ad`>6LTzm;|6wI7bUQtD`_KE*~Bb`=dN)xLb$GA{~LuvN&t z^rOb-upid6e{#;ar{uKED4#yiRZ0l6uMbGJIfOaxJ=rUB)@ng?nWdp)pM9BMvEb;) z^95@Z&ng~Yuzk=Z+S^N<$(a{2PNr8%KK0G`D15J+Rm=Qg&l{lIE{J~Yh`x{Sp?2vc zZ|wa$dxCSQ{v+UPpa)*a&?UxIoI|+wE-c?4OgK z`6zkwx9uNJyg%_ZPtH|)*&<)d*(-J^UAFks=rhGHl^j#-bEJz@Owajz?St~INm_!d zy4qHI?}_tt;>ngLfu93=+eE%Lr)L^T-<_-JSLrF)u&j0D30af>w_?M}bT8AgNT<*) z(o*e&>oz*=8R;97qP}D~XNbbb9 zyDDY|Wz5V9^_=CGM2}^Wjo2^wB?s;c2?+LgbfdYxw5)GnUklH-&w<&eLCrV;)%37IsMdSMi_r=W=h-b%>$)?!`8)S zZO`+}_|*1kle7MDXKD+CH}XcukkFv0-O*i2t}He^YFJQR`K@u^_uakRWyxuuSuM?; zb|NQ%iPqD8%#kkDHP`7S^*B99`|8=79g*H6y^C|SI-17V8ag`Ivy==`5`)Bc^aI=G z^*Ftmd9!Nd)b*~>ck+7Z_a~F>z&mP_qddU)?knvQo!_!=Pkl&tJZMlb1%e3Wd^4N=U{hU?^fSm>UT{6{=5a4c>?zu!?lh+ zk2}siz}sFg&6e@H6FEnti2prB|XC zs8?~b0`G2Q=r(P;`%CtijL+#iGn?i{`&Q|7^k&}UIa%4$a;D^Nb{2Nlbage3S*{0V zL{^Qm7OofVDQ=V~RD5)SxRA}(mgJ5x+L!6}xb5CO-desJ8e?IkoiL97qZReU=E~02 z&K6F$^HJ_;^^A~X6N2Z4^@$*P?&tH1+LbRZJT}l_8>K`j+pG_*G0I(WIUOdIMc;o{ z{wYk=`1J`1ju@MFR)Gq|-j+F0ZfU8bQHuf_%ALr4;V?NW)|Iyz3FvXpb*zNouX+e^V}J zTypMkjc~no9rj+;N0JqmJbobo`2+epE?YK=e^3W7{U^d8GuwEsz4aw{+p9CQTi%%L zHAz>$^!>2*{h+V+(<|yzlv9p?0B=Ci(9?NJ7Q9!)S8!%{eVZvH^1hFEHId)ILr+!VTXG4^dCC>-3_uKLm50xj4;2oN4%PgGS_=oM=(r=5C|8^yal>@hiEDDUbEmx|^XO)UTw#uQSP5}D0S>EYa zCu~gq4F$L4zaN(9_fA?VsNK`Lu>(S^RMl48Z?A*c*3&E6Mt6WK#T};> zVsYjR(nqdpJL-2Muz7H`pbdVjth1$lbUL`XIMPmPZaHn2{qLanJZF6?-sdN@qu$%D zSvljgBoG)`9d4_3+*(~9fSVJzabd!#g zC{DG?u;h2%-r9SkJ8#8<`44@b?}^Ko^)|zou`+k4-d22Nll=DjJ#p-@=@wHlfU$QG z*NOS0P10#4$(qkE13kg#kf9-y0=w9g#W8%i@z#hUo8)V@82cPsX{)02l|!W|hF^ zrCE^n-B-r-nulbz2}HCa`Ewy}%XzFYP%N zT^=Z3lr~5VXy;B6Bz)jQc@d~4xqB)c#(9hxuX`l2-Tu&R25yBa8 z=|90HuHXle*ZLSg^(lG@Jw+RzfSScx^lYOZJX+W_yidtTsEy|Ko3@F+&_Kt&x(!LYcB#>0SLhr4{#5Y9u-STH^q&ji=L(r=m{Dk z0td$P0sTf#(ckD6u;o4I2Vy04gu150e;AdF03gR>^lo6fTInnFW%^0Im=UMn#@@fu zJLsMCPx?J0)o|ecCL57PQ7u^wR*(3mtAo{P+BM`@0wj22`Z6;JDY2Wn?03u%b}g9LDdk%V3;qusdMko3j6mLB=zYO^7S@)k<So^5e$BN}>i#1t$sD4xnYe%(W z`XOC527-}wK=;s>jby{vpKLvti3_{}Y$6dXk%RW6HRvm{gp|T#0L-v3}-iq%ILT`5n^=ycFAN%tf z`?|r%XI#}MfTw$+4bigIjcPl!lv-FVul7T&gi`bN!5yatb2mYrDQ}eT$`+-aGDq2~98hK{Bb2sE1*L#e zOev#KWrOS|PnQmhPiPyg>TrDGbm$EPs5YuY-{BIPklDEZIH)#K$q#58=7JZN$aUzs z+6hVEjN^HG{(^O3-+zhR^AEol-qa57rgk zrdI|pw@$yP$LhIyKBy4h8a3E*b`83R6KppgJJ==moV~$Hl!C&@%f~^{Ra*E%SPll^ ziC}^utwPE{CDfM8K~4#!L+B=8XpbqUzlldhyHpP>QW41`eie1G4OW9o)#PS!BSin6 z@=`fdZlKInCM)HY&4_e8r4QmXF^_niwujY5#(#ybLKXEC7__Nx~3-^a`z@RVd| z(GKw$$QJpbS$T%Nz6qVnG**)RYjiMtSd+8*1JtJ_!NVOfxX}aZoHBeYU&D{`b9^uU zT@0<(R(_FxhG%q!((64mWi_Dxnha}Pja``vHCS6Hg<3f0beO;NrqiIDyDY>D znb-{z`o1(=+XcL)JF-p^w3ch3nHr6&845K^8>sLoyy^)5i}&D_c@XqKAK?ct*jMJy z8}Uhe3%?3IOdNmme^pE@)OY9kC4Ps0=OJc$eAW|O(Kl!uZ$cHi6zZ)?P|!W&|Kjyt zUI|(C7}m`K1z%fS;W%ij3PBlq46mFDmEK?G9B5CM!=?{FLwOb1W-YENKd$E_Ukw#w z9Ax1Y@sk6%-}=G{!JjmMrmhzrZ4eil!5XV0Do2oD_(~)cPy$JS!gT{wazmi#YATe3 zHa0(Ora!R#cS0oIGnm96qFyIYu-;k3iAN&r_dh7b9wF*Hz;8|>@B9T_VHw1ouZVVc z5%V75aRHjd8o2h;xbpfY<9m1m{+aDz3)nVxhJ9cusL>cxctNb%Fg^+u+(6!!k3cjz zfctRrV6zIeC~dLg4a}Ob&s2VvPvLE#=!oK>JcK*Az&*?ld(aySq!>Pd58}V$bLR7X zcz--}l_oC;YhMRtpBF3oOKa{$y;d4F-v!#cmGG1|P`wo=e;`k7AQ#E=|KFnlrHen* zSjA`sS|3rjBkhd1(}vcf)oESYg^s6_=~B9bo`YK9B-9;4@l!i}2BlsftgTyk3Vp~M zAqRON6gi+G)};bgy%K&GOl%|@ap47Q`4$v<=b(_>0()-_&GIS4>TolTFXGjC3S#U$ z)|0hH_AU$sp1`;vv1H@3@yv(?y6iTJv)*hmyMP;mggpsLXdj zW2=}S;T8RVam28#v*-tgnEUu%=weZmnkAtA+XYtfAXL~Bp@=UA-IHiu=J%l*i-Sh; z5_GpU`C+yedoc#yU7ok*_4psW4(#|kzXm@`hf1iN>Ev@s54MPST5h zr18j^1L!q!2(?skdW4n|?~5nJ8DcHe$w#3q+aX>Mb=Y4?`T{HAPxg|=$RM4?&a?vb zxv$~JZv-duPFZmqJwu8S4y!l~<>3)rMFl8eUz)Ye)rj^Du{P^ar~6PT%wX>fe>ROb z6c+K4$ZI2@X`KW+`p%NfY~B`Hv`SDk`Y_VqHTR*ux(W4D9QdBm#scUkAF*Y|V0{ki z?4_s?ckr4l5qipIMq$Ivb|P~gSQD)6V3|b%s&LLFc#O7ZjLa< zvqOA`5sm1RhJ5vcwKn^4mtGIb&{z6AXz2zSZFqm-H)AXRAoNAVZ@|at2ehrmas7$$ z2l~!xW)ptVoX^wrE#^ty$fze=Wn0*Ca|t`c74kPSb1+F^S-OS&N&E9Gc9TXyqaQ#h z`t{wU0jlclP%uA})bmW(b)I zOIT0hQGeVJr_)H%mqbCW)&Nny9q^taG>fbf^3x|m1>Ou1YBBQP4)zS$Y@rcjbTzi? z7mY~$m{HJN$BXhQEEcNXZ+bgprM`xZ6gs0mbn`IguP3oOLTPgYc|jVY;`z^P&JUwX zFxV0Frhgfs@Swp^9hS#yuCTum*+Y0gHq`9IRd$=@=%bB`ES#5Qnd~)tYJ{=J#vT2X z{unh|iWaGj)5q{bWUsi6JYsLq-yGKVv%EA-RHR~3C2|LzKMz{dk8*v>eC3HUPZ^|i zR61EAlyor13$1r8JFK^Cr>tdcFD$i`89)kTXpGBP)+i;E7LqJpfUiKMWbPy}bS~AQ z$$!TGffX;|kJ*@CJtKFU-Jo`@%U`2T4%XjkQ;b?bJi3~(+yWgzAMKj9&=`r1=_#Vf zXZ9PLZd^8Q0v(7k3Lx9{;%lLu?E($rE>@iR*f<^z*_QP-hi$lhs$-s`hP|3C zRVgozmwt*9(YLNcZxSnCqPK;)d<}MEH$Q>Ni*I}`SNJP~>GQP=b+me0{jB|^*I-3> z671o!eoU3oOTE>Ah0ulgF+QW#Mzkk@06u+Crgmqvbej7>+@d&T?k&CuglW><|^boj-LL>39| z!qmAM>HXww{ehP}(g$c;)dQ-_7v}r!71ThWDjkeF>^x%76(NJS$C1GU*&rc9JSdk_ zW=SV06M7-%oZ`VGlO~8a=^}E>`~n*|V+=-j5&&&?FDUS5^TDhxEPuNiqmI_D>UUW@ zGLFe^=nu8W&{SSGGTBMw=uW7iW4XxfsOlF32Z;vKRY?D4MDRGhh4$Th)kEC#azfmL zjKxxfE!cLC{=>4>y=qHi2)_n>!G8aySb;CLIm%5rOo_4#v<-Ef@sERE>2YvB|5%$> z?k_o|wek$hNNcK1wx3p(i_gucMjoB%ON`nq7!w35fWWjihO7B}t38uEGrSg6)2o}8 zgoWlsW1d>s*W9;TJ*5BO*XTLemMpKssx~yQqoa^%6u7Me&;cikx6Dy28R+;JBLg*E zXS0S-(cETq1Y+^T+sb!DU7&r^d%zZFLBoAltFOlUR;w+H#!TZ+&3NQsA79G`7)eG4 zmX1%JBIG5f&@t6DKN_?DkG^+BzdKGZ#Ru!#yh84+jMbT<_nGBwP>J9fw)=dgue*D* z*TO!_wSrniq=cUj3b%%cA{}Fy=P> zAE-|E+Pn+ANopmdBvkqLQBl4%MB^tS!yM>w{@@3NlBiifQ%X*m`A{dnKnL@MjG_m{ z*>nW?oy}JtsQ>v2t6YuNulYWEFRESD+ul0v9Jk2+lU@LYpCZkqyMQ!>k)L8mONb*Y z=wj%k;IDpvSvT80I-2;K4&5=|KRT#oP}_hrjc+Q2iKs8l=U%l0<+ z&B1iQVJ+U6&dOrCr7g<^(!K~2Cy)3tp%tY-RWH&<&@OkRBgwy{8J$O)(SJ#M%v0=S z{q(W@Artw=LyW3ip|KpA1P*-lyl zm7dC0rLH_x{sI4NPphG7|AoNk7$<;*Zq`2emUvhBB78NyXS^@H%zMQ9ukVd7Tkp7ZX_u2(rnoNt|Za|$~5W-rXWtNoyrY$Y7SY-i-BRHtpl0rDkl zNB>EoJtKl5ONA>TRf0+f<_lcs?{yrpbNe7is-vC5-&WjGOpYg$`7^Dm@45$*0KPwc zC442k!JcThH@8A=(;WYtMNmjK@TB?H8qZPTk40TxOfbj+u?Nu7`BG)2qgAujwtu(w zv`V%B$6)(g%O^Qoeg|x^lk}Wggi~Nlj_M!P2;UD+LC-5sy7w%=TkaBHRoX_6wWHINBVD=6xthyD8Ipb(p=$^B3Z-@gbMxn zRCX3BxH@`MeX#2172JDrX5_4LraNC`|LIJ1K674x>h+>)p6jtI!_(8SN_A|^QPjVn z|7*X7ehx>jJu$?LWxI4n{7f^1FZ?ak zTa`UEU9q{Lxyy5w<&?;|n=>YNo@gr zzq$6h{&dgu0KV{5)Vk?^u%~>tsSD4^2D(`+F13}y3Q-7eMv4`sW(-BdIx)S_g!~OcRSYr*CN+yS84YncR|lxcXv-a&ls>1N#1|e z588R%FeD&OaZEHvh()c{{1bw*LdJzm3+91s{YN@#fjfC_Hyi=}XZ=3;HTTQ!Fl-C0 zwG=@tCp=+JeWmssi0>6GMjxv0*Q#h&z#$LzcJj*J1kXZmU*8z@v9{N^$yW(FQN%IO zyPrmFy-CWJ>SOBUo8^GDuQl0H)6z^CAm@mW=~_}A*`zdhw}!xoA0VP+vFiN3v0R&= zrud40Nh;#|>^rNL((4;eLuc=KZJRO*OsFANqetsRx=G8FHI}EA{+2OHZTTuX z#%AO)4lL*ayloS?MhemtT2tI7-Vqy$k+cV9Q+HstZ5=wqYM3Sb131PKQl7rYsNq3O zDZU3Pw2aKe`xIJ^{!JT+?|^Au5%Y_y=}JVCJ?L34kZVFB=Cg-m#xxmou$|C_Zbeny z9C0`p6>uv)m`_75-4MSy&8_%rshpw)9*Jq+rHj{prT1d5}K~goTwHQm|=x|z`&H-1lk=_S>*%Q+X zc`(JbPMQy7JPCd6YrLW$4Mucd3xr!lgzpC&sw54iUvQ`WNM*7gc)SAW_DbTj+W@}u#2WaFqpdBmmP6K#Heaynn!jx}KAaGBCu3ZHCb<8ZsyYbHEMNAqF z zg?T_-eyv=zIT#a-rOi6X*EsKo^^0y%(Cj z(WT8t?u^A8ZB=w*n}jmJp&A1*m_&XG3xS91LqDHs?gVbt8}HZ$%%lt%4W#NDFwIsp z4{Z(JFaT@b0!V`n)XIv9$Fg(}3CF6RCHH{eKP6M3yB-Q{OekiQZ)3jSYfi;fSQ@VT zu~}Z|1PscGb!-8@`WyXW7Bc8juAz(Th2EqmdS(S^uLoGr2Vh6}49)TX~1+ePk@W@y2OS}0eP~AiD)tY!s z5nx{o70g!DXq|aeOou)MZ@iAL2TJk`9wVVf=*36!^5!G{FWAEO=0x~tHn6_K!1@yL zHAh%al7$06V&j1@|HO=|o6MnM!1HTkaOQ-;~GBW8zzaj;|z)jb> zwH^D^%IuC$_zYjX54$RFRtFOhEokN$usR{w^J8F8rosD%;QWg<;88X}doUOH>@*== z*p2<%jj8fY<^?_)ejI}d=U||ndvVS{4$n6Cz>`0k&v-X@%ypoa0$GFVupXI) z@2$Y6-^6rJdm!BmSB8mJV9F&hH7<}yp$_6!Uh;>q8Qj=5Ai$G=FJA|PmmoAE^WdB1 z!C@VON0k@0@(^Jp;!-O_w|rzhCj7&MgILGfz-5xESOK8BP>O#F@= zyaeS+4|r8i969qtC<(uq4>YV2J7AwpRK<~`wlKhH5NWjv~Mf-|1D+k8jqq%n1Dj-aVb~MXk_MSZqEM7V{Or?@F6pg(YT5 z#FaqoLT_?PC=0x{2owxefX9D@hl~OmTFK0dh~UQ8WLV{C-V_!x6Y((HJcvwpA8}v} znN4StbWFnv;zFvxU#imi^g3CLXAwj_lwp3Vw05>5tfU zlpjNssKd_y_xTFkd>XSsJF*{hvA61|L2PoNE^>?kAX%EJU5DbQ$`hD)c7UqmzUoS4;vwdx##O z%Te>JrkS+2I1yN4GF?mmpm~82MI%Dnh$$os?~!}Lfku141sy~t8V3f&A6!Q?^2iTd z$0gv6N5Bt0L_Kj6*XYJth{8MU_(I&zV6cRPkq_FNjbRlsY&i~V_Ze%j7kTC|GHr2m z%P)bW`GB5&Va>sfoy5+(0IoO{Cv@z?=bs1m{R!tIgkV>D2!jw)7Gs}Xf&lVW6gWv-+tJ`Nbo5E zVC{-yM@yRo{Cfv@S$*z=g5V4L!QL}FGK2?n{U*56V?g|-0>>N%{Cf!N$fiTJ5(BS# zjO>0!cq>$eMSc~Yfjfx?+kGCa1|zlUF6s|8#SHKvm83S%lf;4-*#%9EL;Om8G@V`n zPuH2YL(Uit7Oxr3Fz|p)=|t?<2UUm#_cj-N`4HUQFLt0CEIb;!kPkWOD)`>IIQOHd zX#?+(fz17j$32O7{SdkF7V^MGbRR=`6;zY?!IWS^AH3ZNz5~DehLdEvVNK4!GbsUPFO#?C=)yb86+d}ON)c&@|Gs*{2^Pi2A723Jx8w)Y5i&1ra42dtnQ zyL*st2gf;tH-_FS3cXS`_H`rMj%nj_@TYU^9=KEm>luM8Y{iN`M{YU=hHx&Mz(zs+ zF$m~$1kRI4g=S%ovBaqI|3@w3wy}}@jx5&?ww(YJy9+YGQ`9sA$!%x>yj_=x8p%3x~lr zdc!u_!-6EJX;vHUjkeIytv4>=gqJKMA8ZJnJ^cGJx^x>Vocid%nqX~eBFb+<^>0N@ z_5?L-H7K3_CXY!j{_ZPiG9IEbS%a?RJ}Ty`h$|Ce?L!eOBT)5ZqUs-oGruyCPqu-p zt&Peu5xaL18G0l7solt!W00%+AZB=&2YY=TQFtMCe-dn97Mp@=Zj6&_D#PmE!0%S0 zA5PYVh9QTY}k?meBIz=ye%Sf0<=rZQFjyrUh)}H_+hw=Am}Q3LxtjF6@V{vz$&ao%yHs;g~8zHzau9$!>1>p-kl1YeMQP3%SY0# z@X-ZyFzTqQ$UI$XA9U{>5lskskPFy_epvO_xcddDP*PEW55an;A!Cd}HRM2db)0R* zHBDr_u(D&Z<7IK`Ony{H7AS>Z!_rs4#yf-MDGGgBu#p4J=|HGWo9WfD(nQb2?DZcw z{UF)6f^&f8Lj!RV*vl52$M#P64M_epMA`tXR|!~d3#kC&#Toe}Gu&ihn{=O2wr#A9a#h`?`GBT`E| zAAI6>toIVIFs~7-8^JQK;1sEDKrfuoGVVkbno5cxd(6gj1APvK?^1ks2vzG6sBmh* zi$igC(+X&91|rTp5EeqimLK}(4d|GDos{+kD?S``eFfC#InWsWL`QlGdp#1iT#uE3 zMN~#6Oou%@F*d>nr~W^Rw8Od=h8Fysz63nbT(Bg6K`Y!G3fSX#j7OFnfh_zVy1x-P z39KUacnL|SO@Y$%1Xs`paiXBKM;eZGzJzsMf($ua{w!OS5JiH5x0d`Clc5(S8Tm6+ znh4eUTCqFSeRafv;#{#Y@WXzHDK`2Bo$m?UTR&L%HduE@RKMAXu{WXj>Ia+*$oBuO zDHx34A+`yXQc?C5Is63Hdm*CablA{#_~|aJdyWx^Xfq6PJc$`t`7mA!>%JRx_ET`E z8<27Rfst)NOsRw}c?oK&>WGemXkT;)SMZ!ox6yylBW!^uSE3xa!e*S}QUJmjA4$nLFRIX#RaID0Dw=Z-yuwdV)wdJ0T!9;kjM@cpOn?&-FKgJrIXj_l zeF;71JDir5qs#s8B9o$I6SP#42I|s5AdW z#B?H8EyjvRK_zv}Xs8YH?zg`VK>%%EH7m@qt z;*KWZ>r&YHZbX?!#%DuBeY+l>?m=JK0h#wGYT9;)Rc~>gd;W!GBijVg^|Ei^8zo}i|7(=W*`FWN9-B` zlrs>V>Rr?-E0HO?K_e@pT6qO4KW3aajv@+fH5MScbT=l$F8;)06>67h_xFmXwN0u<{hAX8jI(4}sO^mlIIc)R(@93&p00GDXE4dJo{tFTz!+9I4l!ki@xPYN0X&ZH z>*IsnIB9CzPHo$^ZQC|d+jeRjzuGp^Hbok5vY5H|{Y>8fvrpT!-JPAe_wakp`QD94 z{xY6n8PB(kXT6GUry@EYM7DpL?wmAO-VyZMB;lKe5$nc+wzOmQe}hrABkKucb-z)s z%s>pDofs-Vb}toK*-!lYasGcAovI;p4gR4QY^&0j)lWkQ?`hDc*5qS$P^;Zy4oFKe z`h&iMB7`N&M-Aj7gbcw!g+GFoeahP2$1dK)AHC!Chdz_cU@=vAqM20hE@Bh?$)wt2 zO_zfhsKgG%v9PPiw`0H@YA7qo*g9YpkAl4S()OU2k}k8`I<5RRf4u4h;+37Y|LA_m zMpTp19>-6=iEJL&F57nCSDTOv-P1$$uB?A5{l2zRYsquoCrTKNbuR;>)?EPzSZu0r$If;LU@#!nr9V?RT$(2vTZtS4HE+ZY!gFtfD(W&_#^^@1wR2P}4^$H{l z3WVwG2fc6~lDo&3gN{y6+9D+-=!4D-T6hI-eSnBGJ!tV;qR9E|L<4Zoy5#kxutsIL zS1+Q;^yse3OrrMW!!CKuRCGzFLt}EYZ$)`;WpuP1nD}U{*a2~#m^Xl|s|VbMd)NU7 zcBeCUxdTM@CS6K?;JCwyZI2S=z9;TA)coZ1ZP}Oc^kdEB*K}fpc|?t4v?2U!Uwm>~ zFtFlUQRFKlR@6Z>bDd~r6IU5e=Ciw*i3(z}s6 znhMs^KRH_)O61dmUu~0FOS|xUAO5cwmSGUN+)%o%2hwjdl7H>PPyP8d3KVM`KMeu1 znGbR^hpSBmUGByE79i6T(UJc6hGG0mFMjHZlsBV#P#amV#P1DxRVNRqLiH*yzP2Q< zBE$hYs6-(77>9Z{l0@RskV;*v`2fJ`vd5^v(Dt2;+Z1nIJQ_B+tRs$WZ%FF_+Noo$Vs?_|i zKM19FGL{leuJs+cdB%=eYkC00a3kwF6*k>|;7d)>sq$dEc|ccECNqvYi2A}=>6hfg zcUbN7p?mT-G5%>WEJrhMdl3 z!eAN5{wiK;h-=pI{p*vl{!n<07ZcAfprd&#&)Jiwg#iU$Uz(>+0gK&l?&3Ntw3+Xm z%1-uT9-;}}s1j>fl6B9)%EBokaQ?)s*2@qc(0Vr&z-aLsEchGf+gmg@mVfn^Y4}6| zB)TTM*^S+w!4n*&()N<5%OnoS3x9XBWXx>=mi`!d+5_qk5lS@GU=v(C6J6s~@sHGH zv4`F9M%CGa;{3Z0NX1)n?VD)mMLxNU&#wZdn+WS`U1lFE@=Qg++OzUxdBGFCi61|J z*WO@#PbaVNaq1Em_<1iYJeg-5i2Pbo-HY6(2l!}jR$wCXIS1LD0dwGo64Z4nS}+IA=tVstg1ZQ(=Cz&sJBW|EPrqn2ex4=kQ!9F@ zG{BAyr`mBsoWk;FHy4_d$)dN3`mFX=r91lVr*1?=I^#($lD! z(4*80W_~3?-406~Gc}-Y1?hHwsAbi9npL#o^r zb@4;CQG?`sdNgk1DN|WGM;)XEHH!W+jrmEowUtqmS6iG)RBmLhG{O<&da~1g=1_7Z5btFs1u$w&&ul5m%@~>YPfWn zy1I&f=i^$4`kvZ$lr~OOG;`{qbP;`_9yeBOk>8X^F@`#ADH(`mx%r>mU626Z)e`TJTUA?Y&nH!a1+8vQm8K+56QR%Ne5|@n1S_)fU zqT6Ofs*^b+VWj?DnI+b%zqN%R((SZzN;~tYdRY%P)0@j}nbhV+ck;U>P4#0A=-18Mv5xkv}V}dEcotc@{HO; z3o&>S?UvG?Z}Qc~i|N>l<02P4E&XLvF^b5215*sgkjtCKYPF5#q^g>L-R}+`*&y|j zENe!q0v@xXvY~d?>>@vDtEAl=Nd_RqBS~*Im z!Y^16&eGknPul}>-9de;_@K4@@Q`!h2Rp3=;Q^Cm0p98+tZj^VlUUG$bN2{w&Hg?ET>J9U-(LoWaFCK3J^-HJ65IM9) zLNV_uchxt>EKyo*2mVtBP5o`IRIKWH1E%~Q%NW+ah}u=zYKDjikZfNVXs63gVmz_+ zPGt#`b;XtQ=6mrA+dD$eQ95hG6gnxX|5xCebCkp468>VcOt02aR=~!c8AN`LzK02j zy2@o{mjXZwt*V8IE&m{Us@N0w${!KmKb1Mv_E^8OqCS59gLnlGZ)K62s6USoGFqPp zE9yAdHrJqoGnI3qu{vIvZ}t_dw9!gkBNT?z!{$Np77e+GXWNfH=hOSDk!Atqt#(vR zLx*?=Vm7NrV8wnlkWU|_2i3MyN)Pitb-n$8_+q?Jn(HI!Oqgstk7U?of2{$CM-ePQ zL8FV3!~WAa3=(p)BB>gdFsy|1^^10{eEen7PtcMf)h8 z$N~7h>Y}N#Rtv*_&XYrl5|-k>-iWHoFZS@dSy&mMRs!EIL-oJ8*r9G!qNyirL@TF& zuqEL^cY0=vaBYY(+h{G8s%6bHu)U5WYM77JNJGC+b>i1sPbIasV?-Z$x(b|0Ppm zZuL1{ImXOK2mc#$1ekR@C8L&IE)$uxHZp_pnd;95;>1yCPz$q(wm?s#Z?E)_^Yj8#0>9Av-$yB_U7%~DGT3faWwAJ;Hq(0&&otFrYh9Sp9juXG zc!FezZH%_h+%Gq2`}p4GOfuC`IdMU(R|=X1rLSh?8^4vIdP`-sQ4hn0x_FZ4QTU2YeWT`9f<#{#p;uL&d!nRU zZDY=c6)>~%-P}yBd(W5x7R`AwSQvk@2$z{fHbz4#$R$*bJ1QgTHZLc4t68*Ca;iC9 zpRCp~|0-enPGym~LK%;4G?D+&H~30?C&GIxI>VK+j{dQcN(uDv7dz~$oK(guHW^1= zG28qjHj&BZ5oP5*Skot(4UJXG6FrX%H2=!%`Y$EId`N|NEE)DM?xVeOA1NpzCNN>< zGJC?oF_Vm84Bcjzl@P5VmCtATLAlCkqWbIOMKgL^LX|OEZx{_O6V>)ruhJ`X9ywek zUo%a1OFX3$<|npRie1VOEtG2EXKg+)*+uiEGEW_71`=2NQX`Dc@~W+@a!-_G0y0od zCH@%GWmUDJ_~n@;?hzdfV9u#K*~tfElzgB~kq7D7|D#rw?>+xS39|Bybc2smZtLum zu~2qX6UA@iiJ3~9t`zrdR(4`v7ios?k`Bgb8GROhiAGuv! zq`l!hfZOz|R3<`qstv%=myl~NR(F~6kf`3AN6=hNr>k@l7S|rDYs|4s8BRy@6UYkc z%GJyZERd`8Iij}tQ+cbVl{GjKq5}PSD?$BE$^!a6rHR>Hou_wKybLr`^T<+Wc{G0& z*-IgIiVg|lk8+%{^Ul(2^0c)e`OQVBxu5Lg0ukgi&Q-{YKUhYmq;-B%0eXH^d0e!h zw%HCTT&k_3S{p1ImeDEH(KN+3c5$6z5cN$~`^p_=Z{-L!cDU(7 zM^0byz$^>K_}vU(NB*i$&7DSCs);*@xudA3T#-fKPVB<&_9y?nNYwIGrh;W|yP8qf zBC8y#)l-`oZc$k4N&a(}vsfyr8axB@FG|xKuZ~jO;-1_I8WIKK+gql^0~_ibvCG^^#1LV& zQmSg}=r+nojq4w{U|Qv__D^|;@7ssWXU1P9X|vU{N>=*5cB;#joqX$V>RlU^5Z3mH zvQ51P>)ISpEj}f$(HS}b|KX*yrXwd8XI;EB+spb|9XxS_G>EDegB~^(2Z@@yfNu}Q zzZEjai+FfFzR2|;MicZ6`YfWn8`=rwhWSFQBce?(L&5Cp!kq9(tTlSF2O~Yb%4oU1+|n;_!q#TFOH?6x=p~+-_n1)Mg>74a&ulAes9R(h_aBBO_9Ale z;1d$fCh8c)WFGqk97W%i3+gyJ7o*|wsY%3;8K##($_epNxuR7=fOy5-6}$?{){ZO zlHATroTg-jajXr~IXl>aAo+xDw4EY}Z(grN;x$hwuheeZ1u@DTsqfR`%$HV&pITOC z73ILqCeopO4uADQ+hw%y+*RxAOUz7SG2Pr7B61`oTg^T}Bc4npoXWyfd!J!CHjuXa0vg zyjG6EUzSI6aq3X8wune_l37j}qL)@i7~hrp`df9W=*V*nS1sO{?{phwWbQq;JVLMG zapR~ctov!%MQd49E39~fQ+>jUsA2&f1(EUqXu|_6&lPf~tVF#T#7}b>UDx}BbyC&| z@RQ9-3w1geQ66L}2RV0VJ%jpAG?&lGth*A29VBb7t>q&>%|HZrOZ+rinDbfxPWW0I zHJR$Lf?8SJL^AMo<_L1t^-4z&hndPm5CE`2jRpYFT^h!qA9&YHu z+7MU40I2NC+F-X@p-NIbZnGqr{gchrR9-~@4ER+#Gs z;+eQR>8G1Y1M!;-^9ZrtFmYJ^#G8+15`Dh9-uNn8X*0;q8xqlFRq7BME~G;*KUmpB zVung|{Vo)>VH8NBPMIFf+o)79XOY#lQ_IVJW^rXbmg5SM*Jix}GFk(luNV zTjxzS!C60?y%I?ua3k`GzG_Jjr4vdmP@@s>4NR21&_B*;Q7x5N6g2E0 z7SHObBjN?0ZUs7#OWnl8=oscqcZ&JSU=Wf-k&}+!znl=(haTVaNY^6zk)N?M?~xjX z4vFDvM)tQlYq}XEH76+3I`Pk3D*dRxHKCU@Emm`-dW!yhLuOFZ2@hv*ltzlHgD5#g z4XuXs6aHlIQ6O=FqLbQ+vG#%f-GV$t9c0H#gcFS&Fz?Cw~L|0Z`fV!kd zg3mFpe;7P+w(^2WfpG54AG|9C?qNSsY7MTTVzJlrl$k+hQxH?m#M*D=d;2kaItJXh zEo;;VA3v0-o0`;@E>Y3lLf7y$kfmO7rQwvBl-cwcE+@L2O?P=LJ#uf<=U|3zqQ5QF zo}<)lYMg0+yo9Q6Wt6x;pTJSFi8jgyx&`XW{ygC=qMr+LxE9AVHN(TU!JGDz9Yj{{ zXNs~0w6Q8!@>S{u#OPoFU$LTtu?!`^KH3ujT$KxjP3cX2We1k+nKqnB(!a174F)G} zPTswb%pgGKB4$rz)`KJ9f@mPOiWt`9vr$=`0_~_ECn9(Ak%qotnp3R~T~70B#x;7% zKISbYgI<}u|C>0;4E4)^7|mwWL=NygA)e!D zOM>-HM9yvMe(=DzN)vsCGMrUSr#e8bi{g_TBQ;Aw`lpL)L|oa$5-=OnIyDZQ_XRQC zIXaB)VgVY+YHALmOMm*?FUt{XAMGI)?if6Q56PA8fEG3Yo7qoYq$c$cFSRLHcTF(Q zlbS;gQ#!G?)0tE`X#OYns>|v8UWZ(N1(~Xk6&jCKa%1nSs3nN94r1Z6VTp1pwefEO z;0&L^$!hZFQ@rgJ@ra{mGb=;rn@oXh)kn_%D0ZzTPZ|jd)s-jrMyBhj(!7s@ywm@dKN-=h-~gS@-maZrxWAx z1ySTZ_ld~{;IA_h?Hwj#Y$tjU0axYzK^m!;g(AIcK^X6;qv`5ttnb4sZe~>m;JeCD zODT&!jiUECGqK}gDv~dmwc4xn5nJI7I7r=Qu-v4mWMDV&Uk3U6L$G$Yynr7apll`o zXd!M>lcp(jA`<^jl$RFd?0^i=I;soJ zC!zord?#yCji`7Ge&`Lptu)_#oH@O%APIxv5*dS)*d~0@v6D(+JZ3klgk{BHkwylH zdf@G$*b-B`mA>rXfAI2DR&L0XSnC2N{$K zDVZ3s(Bw+QjJK5y`159*=a~xY|4yx}&R`O0jj}@-LwD^7;@i^r96K5z$R{fk>vpGK zJU^=vOW%DZISHg|DfWLh)#<|E{L87>1%d0QgW>b27z#q}q*HVZRjG_*D;q&1Ps+Pw zB?HAO@c3SCNxqDOl=7>-KCtC%=#{J_d3@cfs^fvV%bax&4C zm+2v^COBWEf0IeMeauQ*r!|JaUC^AKh+g>Zee_IbmAmmWhv<&^f!E3;_lSWYQhAtP z*`c-6+gZ~hwmWq28n#Jvyv)_xXyw$F)FAgEsZZzv9|(#wgL+M<5>e#DL%7qOVj=x5Q$f6r87DZM@vyla>#>CjOkT9K zI1zVMCf?SWmFNlyrvoV!^Qz_0J}N#NSRz5xaO z=6El+uhuTf8FUn$V0!kA=!_)_Wp=xoZ7Sz&4c2VL^%X@RC#Vhcz&4?XZAtBd+{G_hoUo zYU;!PkO3~tnsjw{WI_Avb@IBW{4&8_!x^pZiN!8S~2H% zYLP)ONm-WuAsrC@X1ozaztRf&^4jqo?Ty;>&df8;a6;S=dT6s53+Y#$MF)@r7SVcG zf2(?YmKb3m)#}Xn@6~b*bE?OU5uSQ2yA|p}Yi(gZ9RkLt*psqg>iem* zl*wQQRbCr^+yU-zR}I*T=E+g8Pha!e>;2QKu6>a{QOza8j8bq8*K~bzc6GIK z_w|gU9#&c8B6I12{ARE%g>&(yR~hdf-gn{q7-CDJFXtXn{eN_1)W?UeWWsknmAxkF z2Yg!wqb@uMLzAKt4<}YjvN>zG*1DaZDrR;nogu^w#mKCOsZF#Y`a#IT>EY{+>_nFgQB9bIQ_E_Zs(nUj5vo z-L>7l-22=%ItANvF5zD)PWh420(iY!$nZC%0?}@MY}Iy&StF`N` zbH4MkGlOTM=&Q~2GJP8a?oY8bWv5haQr=Ec2hNH_-9?pfE4|IN>Gdo^#Is31Y5zwr z;a7VGTRgF-19Y(rd@ko)O4 zw#?cMFolQIpt^uQmcFxVPukAf@*T(7_dC;8ZNzXZWFB7)KZ;!himoxrLyb<5Tao<^0+}6kX?hX8#a&DUa z=?10O({)UJF(|#Ck9`8wywXNtP6Pc*KBU?0oMc01*8hd=KtQ; z^l9k3$M>Y4FWek1pS|A0?bY;tN-PXI{~USahsQ3C@s52Nn;17eA%9|b=Q8(Hs+C8O zH6J?2yD;Naf!?9*NM29;$oex746*Y%;xNqv^24U<4t_wgbmJh%4Oe)soQ7jmwjull6em18l3G? z21jr@-$*s3am86ZX=sw3bT4tDBd^2FnR0&aP-_0KjLvipZZVe`3GVi;sYxx8QaBUb zqvQcwR^Kjx=~6aLQ!rhJbS2XUq{@?`oBvy%v-YxjBRRzQ?fjAW*5U0qoiHN4M_f;K z@>IechgV`*$0Sa<_!_@0p;%&JXMj70*-*~Yq}Nivn}I!3qz+CS9?fWw1_kbh(|WT(>#< z@VG0xI}5xMmyqG%bZ1YefAG1{&8Xv963=xXHZlXS!R#r$nw5%ehSluTE$w9HyJ zYf{FZX)gu^csEvKJ$I8%CY(;#pKv7pbzDO1>$sVT&)i$YBc&s~vq|vtwbzQ0)z^gs zZ=&&4&In&_fH^9+=Y(;~yhVRk08!p&@s#t;`x1fQ zU}nDnEq8tB2koS}iTHn!p9V=kbBHI}8I+hZAw%4Xm=;mh|K0d2qVBs|dpAjYHrw6Y zAM*6d+dt3y93L__P18D{sy&;$=<;%e#@&tX_V4(g4S&)`O@vpeui8()43o%PI@EOe z#>fU+cUxnjn5Kr?n)?L%mG%D|a6Cny)XUONOM9C^+)lok>^^FF<8k7W*y7PkqkcwL zj;kDR#9fV97BeGuh~vGpqGyNE0{Loca<09)k7#PCt*Y00pGW>DgUSUrN|7P3rJvh- zlGi-@KfRE;lv7|cIj1CEcGPgxOsJ5M&e1sOk$Va#M3}zYR*_c)du6Xl%#n<-_qWZ} zS24M8P}>62do=T=Iq3j>V)iiSdc55suFlSMu8PSg9~5vWx;w&yA1Wr$JC>PP_yE&* zdFa3KkpCW_!+s~7swJ728b^oE0RC+fQFlEfk9&jjL}KHF_i_DW!=isg_W2w3J5O{m zPXj-D#^<@F<%`dEC;$2Uhw|>vnL6{b)LZ?t*fw}d#QXiL6XE`L>T8K_sUogM9dg`u z{UU;>?y*iVIjEJ^Q|ou&9C~1n@v7=mpYtdy1Vsf`OS3jz<@Bf0yi8HmZ->3D9>6J# zZ4+NaPmFZ_iT|4~a@gNhe;WNM9~m7T>L>z>URlp~&vWyGQo+{TyN>S^-+#Wp{K^D= z4166lB4`F@Vx01;c8`UxBbl!_ebst&YLZDz61F~^3Td&ufWQDt8)#^)GuX-Pn7vO zuKw?u;SWDo{dDHj?yn&ctD=WFJ~-oCjXj@5l(b5-~V~?x7*)Bkug!#<5xP< z8rQ`P`kc~np2tJ~(7={~fdSnEUIiF|GlO0PZV#yDH^FC&Jy@R&^58PcyC)=Vax{(4 z6Q{*Bj9nYMDlT(Ei^M{lw&yRet4C}Zy&L*IfrTtQa9rRx{~~@3eS>|Dc&&vqExpp4 z3T$3u2{!U5KBk+qwDVHZ#-!fPi_R>Zs*~E&iW3_AnN;dT&PY$V@=EDLw_syU*H018 z9-^o42i(0WI00b;vF8FZ+7oc0G&Q#wqdb@0qg+>$&O64(=Z^Uk)%0JtzuErgj0rQk z`L#-aEStGQqI*DTM7ti{q54;pJr<31g=KBD@!z^|3RHVm)utN1_fxNHd( z5-+%N$j$cZen0#R`YrLPTHIT@p+pW!z@;8%b>@N&Qy{~UhreYW~+@ZPJ_ zw~`bY>xoVo^EzfzO!nyL$oG+(qprrTbNINSad+vzn3?#m>tITe!IMn(59=a9ssj&BLa5>h#;Im$a8IXWl3bR97q^17zj zt9y_2z3I2ee}%v1pV_~de=)ycpE-7aI#BF#zNyk}lgi!KwcY7Sx|5hEsdLgK=T&DP z81)m}gFIh36C{${F+H`xrin>(BwM-vBM_HGbcjAD-%exZ z@ho#)goAE%{DauH(d(l&{W}r4_-|PBDt9^WjVa5gkIdLV^NnmHay`u5HCM~51vAu4 zb|CX(jH1pNK@d(ZFke{V$oh#DW$E4F>SlH~LRs_AWynXz4KOTdy9Bg(p`eX_0g zj_@htyNPprSNMMo*dLe}v?N7n3NFB;=1>_wR1xy~vhPFQPxh zOo@A$5SCQQ{nInkC_o5&F zyYz2Z+zZz+^|9TXGg-DX(yyn>n7(`ZPw85wt(&@3ib1|p^j@+yS^gW(P93Cr1pXMQvhL|ZCYsi}Yu5MP+Et!Uon z6cNQU4-Hx8TJQXlR5D2>W_NBeq*4}+-+#8_-XVS${Bs6G2b2xm6nHzp&;N%{Ca;XP zb)0gtK-;B0rOUe?b%jLogIRpTQ@IhZIYiW97BDRl;Bn@PgN*u29~CsRFxhp}cq>jS z?KREz)mD_V;wyW#^B(TA-lv_<5O1fwr>&3f0Hb>+Cc_b#)7azb<8I zfbGA%krQ@-9pVGu)f%j65bQIdtilTX`B=-8A-Ou6B>P znBq*O9yInCTX>CQZmgp*mMN_DOp~`}X0Mbv5xnmW-JkuaMGPfEu+FAvYdd3eGQ-*0 zc3&T@SLfvNH2OW5-?M1%;S5;JlywWx&Xq6>Rj1CH4|cZC;NDy4r7s4?tTBs`AHN(f z=Yhh%hoNzZHV_7o1=>YUzxbrhfnRDYb>G2sDqGB9)xhi$#Wgw~D$ui7nu)_F;7>tx zo&#me0RFBuD-m^FD);0b$r6r^-D^^=~k5LJOG zr6u?bRZ3p-ex^-t!`t9urZ6ws zan`I>4_h&NKbw$=C)6Qes%?e%}3QZ6+2Uqy)4M| zO+G3L<-tqKq04UAua1HT&u6b@A-f0YW4Iu0(bHLwoj6RcZDVy>;=y(!{n12UMYw}P zOw;)AjSgG3YJb_pBH1M?))=}XNy<__}|bC-ckY0Y3VOJ}O-0{laB;q~7_PL&#j zXpZ&>?oJ22L>IMCETa#s6GLEHORc)tw{zf^lX_z< z$>RxNe|M1c%&gjAzVie!a+^t-vsi><%>JyP0@)e4E=;ZA7!z95e#AX7USt=74yjaB z1)4D>_XFI#G*ddWskq#u-`fM$8G$xG<}+K6`k{Q%VonG_3cTqd`_7c)Ce}8Dj3)t) zahs|31T0iK&Iag@EL^9ukc|%2Ug!#wDX{q5Vb!hqrb|pWt)xf6sx5Y-%G88+WrVND zho9H-Z3o$*B)G2Du!cq8U7QE+;!aj)KC9K6X`n3h3YsPa*Kfyy?PQ0;*xRiL3u2IinAc#B4?&`Koi zH&5WeGpX3p%GAh$sQTEFI~|L&C)VE`^ijE)8GA?-Y^tm({i&>e#1d>|HO7l>R1*g< zfxDcV&kHyp?RcPE=tp_hF9bW85APQXL()0!WeD$W!o+84{C5^s@;-P20qfN)eQ6cWEI2L*%Hl#W7ejHBJzp$=! zV)k<`T!2ft!eIVZhJMN{OmIKJemI#t)9BajkBslou?M@T>;9o9 zuq0AB5ZzpY)!WXz*?qL?5|;cJGP{P?NUCflIXm2%_*L+erILM4S-jdfEc{lU&SJqo z%sk&t>U|TEZC6fq<2aLdLy_TZO#Q!R)tB%Tec9*AylTP0(E(2EVaVzU{EwwSQg|c9 zg?Sa^j{VTANIrKMTQ`ll_44%kq)499)v1nFgHv@I@j@h0y-V2((jKK1q;B>YT(&h# zq;qVOZM|(hZE0+m^-}O`*VitQqqU>HTwY$~w4I#Ty~=#|7rOVx5k++c>kWe^GaDI2 zTXrO$_7C2O)5v!Ybr|kNKxGv!ZMqx|ZV##(X;aIQySi2oCMP9^{lpvn*QIF9%A$iYKe8nq#<3hOo zreK%vg5muldTfX-=t6CM5#5{Zx$<}PW+$Dd<%As$qyx-QZ{*i{rmg?L>(~$mhp}kT zB{&IQFeiS8yIaRyW`b#JF?Ez>XjNAFaTif@=%pE)O!5s5_sg7=yW0K=F5my`OYN$? zt?jPfK|c)7Lx#1o@3P>!v=Gbi0vbligVoc5F+5FW*TP1 zXT!|VgxsT#={A=jB_*-aS?D>a$ISf&)xpUzN8#xSrw-Ibs}3tgT6)3z5xu`8*2+%4 zGgbD+U!`HauA@Uck+#dM>0i2G7P8te@LVPE^NDyBjyd2|px;RUaH_2iG^`J@8^Id4 z0a2-kHF(D~`#E9`9}rcAXvN8dPit1S19ENglSDBk;o#bN*!vK?z5$zno9Wu4to~}z z8M~HFIM}OI*2Jf}VyQg;-?^52kyu$5AZD;ha zaL0UuUA3^tY&J2jdb(2cEdz)}a9)d-R(8_b}+&aA-r_5B+ zGGk?KV4<4Q7n6fYr_=b%dGaN9TpCMoj3gQ))+a$B5TdWqkiK-F5M<0h3X#2G59$TWP| zlO!r2S>YE8;XDj0!aao+R3R4bLF6|cofGu@E+jwNt;N7DQx&F|c-=a$G%IJoRMJc9 zO*I(&tj;p7R>m|qBe9PAH0LC&0GD-hin^~m)a~uLyO^HVvHprasWN+dkhb%xjTXgnfd2 zqP?cQm~E++Pn|&wFpC+s`9`Q`x5wde!A{hP?COybW=<2$U`;Kj)?u#sFr4&*I8ijg z7J^j$$7!2(y(AJmPOGL>WfpQF9x)G|?K2!n>tKrPiA=PEQR0vZ>!K)2t$P&bcN_rA z=nQwvZNuW4$P9bcVzG-E9D2OyRP6{CPYRn`uVXuITW_z&xs}iD-R-^XJMBL9yUZt5 zW+#5pH9g;qr($QFWLb zEF0uhbHT55lvVSP5mq3ojmHjs$6thFFS?s84a3vS+)Xt8$*5pFFuyP_ngC+EmYItW zy3N+kHjoo#Gum_6huIE*d=+KhJ4E|U-d&#)!%EW8JCqaFMsg~T)4T&>KA-w~8Z@T{ zne!BNfVLAfqZ;u=0X<5qs!ao*e6QBkmeRTG#(#Z=@%9d#$*W-dc`vflO}P`_F%)Zc zT)ctR#bM^eJ6s0=`@;Xf!OPCV7Q$^nN18v~QVH~w_JGyuI9(=&8jJTWptVtxnA4fU z$$XLcr_6Y%qOfU)Qt5kcHm0t;2Mt_q))v9UAD?0QXhgq2f9`ew5mTtzm#Y}HT^$_B!rqrt2iMeowWHb93Q#j2w3}&$uoQs;xliRbw(?6NN z=$_etSnV29TnahqNobwo&hHHw~gddQejUL7}^C($PFLBEpOy@~| zTUlEwvhe?GHN8f8dF(~u`u}b_Vtb|+)GiatwIKRmC?*;`V0(%+DuYiGq;msL zcgie;N2(|;z_`=S97C@6$EazL?qjDqnrDpZ%!4$?FEt^en@1h*HGM)Qm?>#s%Vjt0 z|LpO$t+oPoUweMrel38h$Gu7j=W^uZM4KCQo~&@6b-i}Jbq;pN8e>f@iwl0W!|Ds#3$>Jrf2i45a z#&9D$bznc9JId&bG;0{IKg?b$iR2y=ElnV9h#~qr%)Kn6 zgR42KlnE}=HehW%*x$1FtB+s^x#_yK&bsYE4)mOku|7n$$2e#8J{_TdV8X2ci%%8o z|7j%n4tG5Q9s3H`O*XnD2QVw}AM84ZL<{h(GUO%YLHqm(xJqOixuKW)13zlOTdWjXN-J4X5Va5$?o1<^+1hu9-j0x#(Or z_H-aNZ8Fw%E&if3Q(xI+0uvmAM62Z3vI%F6y(Meck=xVgWKm`loY;Z|axkaZe1%_W zwjQI`fl+Cn{jM#-E?#_AcgNn~b!iE%hl(L6{8*J3K|MNMzxfM=IcMEDYG`XT*k zunap`i6D@^37mWJQ-2C?U^n8bPcTk(VES(e_J0E$){~hIIRU0p9RHLbf4zwNObLEF zh2~MWE)}Irnd;{zPv^r(v{hHmr%gZLMIi ztf05xw7}Ks5_-Xpv!5EaVw@?B%$#X3o|CSNd3-qMe1PYtr#ffgHpd$dHJcK5S72Yy z(jAnVLIY-QvcR2fC(iMsEAaw6qVI@kqKu39gp)>9<0BIH!tf`? z{$_H%JALGjiJDy6TYVzkWZk_SFoX5uPu(k;GyEcnFRN)e)J;6C#q!(P+z;Ly!nscQ zILU1i>|U+Sj&zS*AU*;e18rIY2jDVZF0D4be7%q~KUkwowXQk^#BK?hR$*ploOFKH z$1i+lms6A1WJ4?Va{cCTqg^pKqalN_(Cg?>oJgK`lrsprt6zvA7HOt7h!gT|bE3@# ze(k|SoFxN2#k2dV9mpSpvEH-Mgao3Kz3>$Ka+;RM>;yi$AsG|t2>LvPdbY)4RSut3 zme+eS+j_7&pC=bNN4AlN{AC+xlPXS_Tgfa=z-YVN48_;phh6q3SwI4DV=1)43;h_# zGmPgo47t5U*5RS&(#bD_pC6M|&!VEcN)%So!lnLEz}>H9vQ5{Upw-=MXYJ|itMmqX z9<1$qCbgE4XZ9h#93!XDC-%+r7#q9880QJ~oHQg|hqtg3&Cr35AaB*->D{3%(jUS$ zwivwnycR(0Ruv6SKs#N;*tfZ(6->5YkQ3PL+R2rTXJ}HG*#oqsk(dA{)kRJ`xJp)f zjCH>X_eck_zCci@+J3`(*j_)Ozt-pJ-Lzih8r#X`cA^_9>y?`B z{#R52rgFXIW_D&JkK&c)vwFj+39KZZyG?v}M*O3L)x|jxCBO*!gP2wUHME!{Hqk#E z25M^H3&Oy%JCSoe;&h5_AUUOAq`HL7c!AHq0W(#+sLUR{mN%FVo~$Kk4bj}kwiI@U z?L9GhT23DSt!LB+(+k<0uFv&MUA*Tm40zC*ajtwvstWN`kM?t}r9!S=i)Zx$#k6B# zJ8ENzw?<*fa?x)x0}Riuz6X``fPh%+PouylUcl&hf^2OA=wUM~WIgbbf1H|dlg?T% z5r8abLFe{Td1(UDpkV>xm_)u#R5X-sJp~rSH1Lb1;|%!IXosXGQ9ymjlm7-A@}(|4 zh`n&Zko*UXGADA}4c4wapq&Y1O|8f`bI=*n7))gypPT_om4S@!E;-+Dx{hP8Y1@cm z=YWOe0M&8gXCGh#wjhOb$t^3QYXM|qHoQh5?sAmaPL3T+ywZ(({R*;TF%I3~_loiq znf(jVPf^uMvNbbZli|+%#l0Tae%Uf}8sRIt9n;${*|KnkV^L%=2?o|+`NWK+a`V}E zYxFfV%hEgBM-N^}2u%3pxfTBBdu;tr`5OlFK#& zoy-lMa~S+_0>0`Zh)4u%V@JT|(tveNL6&Qg^OIS!KlP~%(2WUNl?LWJ>x7jyA|s60 zi(q@3iFKQ4_7-*N?n@6g5~8jqJH3Dgf40?vJ9@b-6(^~`vfYB;wShKLok?x1Aafrj z%~sSa(~`m6@_h1y7-y))Y&5HKLd-i52y2F8yQ(6!d*I<+#EO=|pPd6!_96oR3QnAn zi1!e8dzY+fCHQJ7>~1}9*MG>y8RU2X*||=p8-gU4;`e6!n;$ypk+s2a-AqTss@1?h z*K)TPS zzWg^<wD!}{if+cLF z4rO8R4cY(l)D(kxt~~sn1cGu7dErc1>OOfn#lVf-`v_OYYqB5*`evPNum~oWAm#y> zY{4UCQJaye3?cGdj9!n%8|9$xbQuh?D7>wQL3655J+da^Z-ZXkfQk2o_yC&gO}ASn zVnIJL=Yr^Q97taIG@F^dxbmc1D;o#N^D!KYFF;+3}}ci z8BAGt)rPPa)A4*(O{N6WT7Wx<#-fCSvi{-MFXmJIS+@lK=FKNUct=*4^Qy6D7FSOz zp2n)^45vD@0IP3t7M*^JMOA0(p(Fzo(fvo5a~ zW3YosVCj{)t2wN~7BI!%$k|TnsLMbG`jCI7Msf^r&ij1-IV30!x%cp#CHc$}s;Y}X zPsFz{vW~oFJ8Qax z>sTjWv|`PQvJx3VTK`gQjA13Cm<)N2yfD!Xuikq28z*z;?a7I=V2LXv|Ct)AI17nN z!m5{stK@=WFpXFpKEGa|dVP^jA9(2>AWJorFZhC~R1BN2&zaH7Y+PX=sQ)Z12J?>8 zW2~N&Jm^f-|crGJIu$^c4glRlcDEimx~a!|G;}(gYhwmCwxkb z|B$O$Yi0e)&Gjl%i%ZYv>vIK--FCok@eGc|Q%LA~wRUYnIG!xaj#yFtm<@gRATtdDhu$qMQt_qgV5B;_l0r8n?BKH*Lt6B}&j z+6Q^hF7E9O)-jmXn8x~_W%o7`#qB|_+HikSymuLEGy?f6jtlcJ1zD5quQ^&LzD$nuU8WNWa zS=`RuuTl2%sfYA4Y-7z@utRy!#t5v*GVbswwq`F+_LW|W%3NK45S1ZegQD*3~DqUVE}h*orAWYtiCp@XZ4P>;pZ*vwFmUMIhJM~ySfd{ znnsM;6q!-zonc0l-JF-aCe~^c=l{mwDemDXi%`q<1GT!tdQL$PU+~_k=!dU-gWvj2 z*8hiUTv2pqB}{t3T(Kouy9hnDrk<@DNfYc|0I_TgK89qN{Rv>TI%7+^vwE9Z_X)hq z+L=_ynHBMlpc-6>3Re|$xh&PdameU1q`xuO+zL+Y1!nM@o|=1jmPtIpb=Ivf?+#$j ze>t_0S7d7%T}`d%m5JcFYw+azK>T#|4fbpoPqK(-SCUWYE66^*#zX8TgSv`8hdB(t zbA`RNxLFHRX`h1Rbwsm7vSob7I!)jXMH=EeoZy<=R_zufQA4dLCgP>adH^sN=m1<9+N}KGx_lJ3NLJuY`@WSa7@1o5Xau%*pCk#4AnbPPSvaXA_N$!Y5SWUu$9= zil8xt`Bj>Gvi9K}yS|NU%|y~H$(x6r5THvH@rq%@nu)Bm9X#zL(mEQe_JwyACC6V% zj@*{Y@*rw($BF1?(g)BL)Hs}&zX_A+J@NYq>}*@4aRr)S5Gh?DYS={WN{aE=jC|bJ z5&U;9;)9gDcPmmIPp(*9CQ)UYOiVGKD}NLDk+o-JO1qh1&jhwNTJ1qpQHBg9k$vn+ zJvSe}a`HR@oMe+4OI4nFbp(?lmvjr6PX5E%=EBbYz-q3>>TIJn`X6f- zfrO6cy?g2Wc*&H4W!s)%>y9C}J&{5U`|uJi-^QmbF4tFlB9I+vinh&U@8@B)T5)YZ zrt%IUV-xX01Id@B^WG0gUs`si4ewb+%(96cUXJFBM0e_=JJDE(d037>@{~iY^;Y&~ z7L}nyYAo~dmzlBTpJ81si05lSJX(r~`Ukdj4&Rua822VVU=P36ac5)DfbOhDOJuA( z*-|m|uo##?CG6T9;#|x7Eg|;&fJUXJ(`RVHES6$lq@x46KA%3tjjS15UEE`RB8rsM zMQnGI!sc%$0zc0c zH}RUr{nkSJDzKN;lD)7u_QIP8ARLQ!92r@}-CA*^#U)*rb!~zbxrA(G#)tIbNmX{F z1a{4!JM9tsG7!|}IxANJtM)lLM|egK1J4}ZXdbbbL4RRIR-*wD(FYmmfmC+kXTh?pK69w9YcO&h={XO zHEhK0rchsCQ)=-(i?O0Xa^Kr8Y^Aqs%npPhndONu4zVkP>xETAp9oZ4gKo7y2 zpTP!h!&;0)>w{U_wX8;K*2FIJbN!|4>0j(u8)Rnz_GKrZSi;}Z;a{(?Y6IE9AUt?_ zBD|X9auboZNb1dllRdJPPhDq6Px6_5NP-vIdY-6a44sj!*|qBU@-aNYaaJueyWE3k zhC_tc0lsY<-Yf(wa)oKIR##Ts(y`$$>_B&`Y0RT8yL27mj+HFNR4 z4&3D;;`z~N-AFu1R&;d}@9Im0#!1UqhB16<8@?@?no)n=RSW&MBAk58W>{w){iL7I zVs!Y8gjn9xs@V@f@5|tcigO2nR2J^>?aPoVtH1UjaqctjAe{9r&odS0I=+ez7Tu3H zEC?B~n67KGqH|f%^+@XMHk!ZlHZsq+r3{|5GSICqzV zIOHi&A9y~$7Jy?MqnkEfT72N`*~6J=@&qKZGvDvw&McoenfFd$HMfAcgk!}@Qjcql zzYj#CTzI~BD;ZxeT8Bx9}zvg#`A=sGX=>=Z}9EQ*sb2I&KOqaAbv+dRvIEP<5)v0Yq7dK{}4St zCL&(O`?s)uzpx#aPwmBZHn8Fwu%NK{vM;%ix-{5>2=3x3K5_?EU@@{ef?tDKtu5$H z7^~%rr1iiW>;?_Ghh3P8))yd?JBqz8h()z1sl~vW zEp&W8QBM`}5d-#)AIS_78|dYo!?_>d(48c%;|(%D7{t5--F*L;sn|>3#ttIy?8HWu zK!X0Ek&Tgq1yqSsgZM>}=M*I-+JLU-LAytB_g028gnR9Xl;%v%yS+h$vLlu4`PY7E z%>Z_)EPnPa8b1r`-k!Y}!Oq=4*0UoKOF#rKChPtLzAX*1exAD?#k(dXYw2q=x-9wB zXspsCa+tzsz2#r(liU3Nc@y=y!?S2vSLFXH8h-*C(T98Z#1k#VQd^yrZCT+9*rp)v zb2#fZn!B!uPc*Q!Gr6al_)qIpi_&002gv?%^IhjT(bt>UPOv6d`SyxP+*x#BB$96R z^Y$Vq+Yfg45DScESq}-$$+X98Y@CL6jlzQDhoL4D&u#Te z&*kpU^4vB&Tt%*Db^5Nt4sBwGL-91@@CNnpJ62wCj63T>k8KbU;V&dxit_B$74qJ3 z#Hksv4D0FAUyjzNf={>}8q=RxlI1w*;VwpF@02H>8DBuI=+fk zQ_-7|>{lJuxH79eI63YYbpO|8hX=5Gt??>#k%M4#!hm(rit6CaV69UTdqlFT?|62r zJ1Cr2B*;}NcDe|J{Vx0d~!z&=hz3Rd$?*Rb|ES)+#kizzLyU}Xjt zI22U?L9?K;nT<-lXFrMtf@c0D8Bpe8%B3tR0VW@^DMOhUhACwE<2xJ_krJQ&Ha zJkBjN=rmIGAGydKb}otMOu_yX<#SWPV>T1DEW=Y-9^XzBZN(Q2+4IyqK}mFC9y{iN lQDqT!@He=C${oE0Kj}>d`4(yD4{FkYyk#o)^N=Xw{{Xu;AEW>P literal 0 HcmV?d00001 diff --git a/bgt/fixpack/tranz02.wav b/bgt/fixpack/tranz02.wav new file mode 100644 index 0000000000000000000000000000000000000000..c39b4750273112ef0b54443a1b438719c588c829 GIT binary patch literal 134340 zcmW(-bC_Ja-%oNhTzl(oZQHhO+qTVHx3{)!Yisw`tFFD{!z6Eh@6)IKqq{ScljN%p zrhB{At?%0q=+mrstI?BY77G9XK=!9ZZva}J2LOQpFml+;VSCu`K=w18suTh?YQZ^%lgxzWNe(FoL*X~j2kfP{h(JrQcRme1<3?~3 zw}yN((&1mQ+o%M_@>Ss;{1d-HPrxGWCm@1MR+CYCLb9QipOam!&9rob8C<<;P`@jaM;pzAm+ieq_4HlxcY`=W?6Wi^NnnLIE6~S4u zof{6a_0^;zNCk^Pd*DGUp|1NAH(W$r^f-D?){?1QNpw)l(FyNF1=>-%Nys2y)w$5b zPseSD!fgZoMomy2CKwnD6n;_{9tvu}1-Kjj3P*6a!7SVc4CfO`Z6gL0N0ej|06)?; z;29c8%IY$z%SmJ-orWHhMyo}Xou zCB?Y5a50`qW`cI)rqLUH;M#yC&;jzud}<;-9fELS3>~7+<{pb2Zm3U1!%=DdrqLL+ zfB|S4Y(>w2eWWRA1s;=!s0;Vp*pCOIim(y8V@s(OmIk$O3VjTlkz@EW?9WXiCFxLZ z3f-yQHbwyjjG|@0NA3m~ME1bGAlKkYAi7Nd#qaq%{<+ZrPvU~<9DP2T#0?_1X=iRL zeWsnFRfIXT7@31s0!TjL2XqJQO-GXF(2Z`=wlo5A^dSzTzrZ`x8?FRp;8)xpuR_^y zH6G1Y`jO5;l~7we(x?pAas5acx`7)7`;*S#3%i?TKm)oA%;N6T`ZyN(W(b7b_d6U z>%>a|dIt{BS^vK;@vt_0LwmBA`brc=p$ycWIzZRs3fLlfW`Iu7{JS?pcIU^ram>uXM;-UTN8Ty-c1RKFdGKaQ-J`l-r%O^Mv?53;PsVxAn z=rwjqi|KuUSZ0vvDYo-7=s;S9)TKkHg%k#RfRnO%!1m4uf>|y2ItSW4!=UDzF za0*-ncCZ!3fHpK4n@B311irErY(#GO4^TmK7GL-1Sz4FwWuF-eDuQY768HeB0Z9L{ z8<0bGg0<|l(&%^C6CMHu?8(yTRB#(SW*MRihy=swOxm68`yx7)cA%KmtIZ$+2EplI z4qZ<(Xd;QBh3EpZh5V%^_SpkqQb@e+1-=7Gt;&1y?A`jP~Z3_Kaf<658;+yI8cdMJRsE)49X^XM6tm(%cD zcAC>@C>>ATZ14BOe()??wL!ar(ew*hOpkyza187OhrlH8g+=uaV23ZDKe`HecGq*j zO}3l6U|X~u^+uU+F1!JE!rsuyB4IuI{Qx)!Hf1$xBrKPi z9^R&1XeX8{U$M{M#MTi=gK01siaX*>IFLPW4}8?vVC*xl>tTAXUc;zq1RGoQ8hTm% zrnXNjquti#>8o``@2A((3ma>UMMg2B7^`bXX)b*R9>Rg#Mt*_7i`haOv9#D$JSW@~ z>WGRsS1c<^;z04Ncum|REaMyU>$!ZC1q0D?REyif)#S=^ClNw{@H_RRab!Bx=n3Et z3t%jIh?b&q=nlL9C&6B@222MB!5_9yA`FA&K`A;Ef6=4$UfM!!j~1`~tKL*ktIyTB zYK}5m86nU1efI`=pLyPTDtT{v<9+?*hVn}Jha4-Ll`Q#znqu4qIovt^t1v>^Z(eBa zVqInFYk6&cV)nB! z>t*c3ufnCM0(XpS#)WZZxxQQlAx$VO_7NWmF=91wnK)FuA%ya)&@%W3K$rl&(Qxv> zIH*_F?`YqZr#{~M+k-t9JqJ93r>EzF=aDzq7wjAE>*VX~Yv;S@Q+;jaR9~vEm*SS+ z%94CYUSag-s+kv9&)TN?34z0d+6PRq^)tVac1V*&tN2GOW1ef7ZP{x&EnedX!|vp$ zc0}nfw^2H%Th#11je;5soGX@}epg;dnT4BkwE%L7s$Or7SPqal^FPnY{ zy@c694Uv0krAn7cw2jlcs_cPz2Ck4yg$6}yk&gDy^r14RogY!bE=ZE)6def0RNLyVf_DXSjO={}N*597B+Drt=- zNS$r1{Er32g>DMV4eb$fKe$`KbxTcQ5=w+7WJ5Q=MC!mFjAr^5CEjauZ74Wb@ZHhh zwZ@a}D=Yvo4O_UeNOXWKXR%*(le8)Xr&nC|w<)pAPSSq@* zXx~C{kx#-mgh$52J$` zCNEN=m8o(GPk#P~T$ESQndUj{n_iIt*T|P&IU4==IP?p(P_$MQCB+ z0b8Wjo;WlF35-Fw4rR$8m$)v2yGSr0NN=Rfo|)cfeeG?(T_dvK@VAKXT6 z;hXOpAjf zk}V==LEsYq5NkI<#HHLrvKOV#O3%vbSg^=jL0<_gm|V6$EE;x8Yo(piUg;Sc0?xtZ zrpNx#!Bavvg$xUO5^*qcVBk98uw1slRnXUCVLgwD+|YZg*}8{x#JRGNe=~D*&Kgex zoC_+GQij?1OW(p#xUuh`qjkYJr^#EzwK9J~&Y|2du08rYfe)HhC^|+e^{8mcutU~6 zaJFJNF6CY?&^=M)nb^aA%0I~(Djd-kxj{jVysbF{bNw7MJPzXozr|Y3e^21bfQNp_ z|FC_Bb%OXDJ%oY$1oKtDq<|iQ6@zL8Wdz1qw{mT@z0T4Fg9|o!o~jjSS6BkPV>8Ya zxQlF54!Q@pX8CIAy+|+m4xh#Q@LfaHTPR0ddkP-rKFw>LTPk}%_WGRmo}Q?2=+%d@V8FTZ0`i|fX z{=4mNuz$D^xi>5^_-nu-TY@P_xW&y9ikn0H903D@x(3}2d}WI^%_8CMTR9yw8szx9 zqm27tBP}oe|&SiJ=M#=jRtd0+*u1$1}SyqYp$Mo-Li^h*34X%y(({( z`zy}zmr7QwR_ zgw3h{-@^D+L*!?ftq#ikZpSolJODJap>MjV6sqn~_na})difapM<{}`(S?b5vY zDe3;HLFxB%ulP9HmisDP5L=q+ntF=60M=gnQj}9oBIB5 zR_cPRSm!(=#oDCk-KvM{oT>h!beo7Vwsk=D<>f?VF3w5!-UZpx0`pR#Kd$YG&uf%! zOC0k1$gj$Yr!ro-%YquVx?!F|y^9AHA5^4o#Ool*T2Tz*HV8A!{rn;Wmj(_B2(~p8 zUgN{=xZFqSeNr>hZ{izBWo3+#l`b>%yzXI^~$R ziWe2s$Oul{ANS?&g4`Nvb^F;8U25Ql2kI87_&s`n{W=)ro0H!_z(YV=^iYH=1M;UE`~k~ z{}gKRKO>~+)7j%X9KI$dY(nzO=K>zk^qsFBrMb+PRlA8z=Yrd;hO9`>{NR^E0gwx^Mxo3qvf1(L2f$PkZl0 zr5i2J*-#uVsT8=Y=0D2VnE3lg@Q>z+T;4p?v9MOXN|UyY-&Czte0;!YR8F4h7*W7` z0qrQ>vz)VqT1xU&j25n^8J5J+zpno%^{4#bk2#gq5td0&qf37&dobp|Xc)ZKR$6Qz zj4<7?tqE46suda<)g|x?Urys#&fAb(IlXO4)6}bZg|tSzES=&X!c@`(jHDBAzFfl_ zs1!Hqat0rY{?!M0Cg-=z>Yh?Ke#VcczbmJ{_d4y*%3i3msga|`&XWB@`U{yX%M>s8 z#}#CZ6e6u7{rcIKnG|?lsgc(y`A}TfU*u1663z_wB*0riO^dB7b2FxN;SYgFO&x>; zewS&GJvcNb@=&2;(ThWGno1dEU55(h=ikptOuL+VEa$whFt^#XP22*O;zjxgt)^C0 zHN4AwUDZ%poAsfoxRh_Cvq;X7jIh7XI3?~?%6qqB*-)x$-8&89s%(8&T6DxPTk{6>X1uo3m^|V$QV8%b9fxVzmAIO%s%sz&3iM{Es)t zx5hWfw?a@Ept=oYg5UBc)hMue7AxBgXWQJC*Vq%xt)*q8f2a@@f5C zqw*U%F8fA8yOe77N>79jAXxp^=}E7jDF2R1IGAe6$#fs1@%H`E=28PoMizP<7;1Se zHZ?o!1A;z=lE_by+aqrV8>SjK(S6Ff#PQzYpWh+xL%~xyjt&vMIuvSHY)Y{wVRl^!XuRuI_O_&;KQI5h{yQ|=h}izyB5g=~>)#dP!%|Fn*L`Q;em_fOYT*S@mY^P{CqgSrx!}Ihmy5kE z#ubeyTsyo*K(ff=O5Rz{G$-$7y}5gc_myw4aT?Txy-1)pJg;H)r@TB*4a17BXq+nh zCd<=2QvOkvB{S3dWY=`Y;Sm4N<*qdx+q7q`sYTjar|T6xZ=BKIBz+qfZJlrFCi39C ze85#MCo$RgXV8y}aegT~^M-rh!Ugs(p;se@hTOH?6mrBJb{aOK$l?;yO1>|?x^Sb2 zK>-ac09Myldq;a}cyhcK-Jjfje20`9WC%^x6P@?6t7R0)>Eg*zI~n=%UiUs%7iUk$ z?cCED&a`q_UmRI_8{6}kb9Hdzw{=RFXcY)~4o}b`wIQS&+GD9|jTBWn-IrHTBl|;Y z`-J$Paldya_RFg0s(^P&Q~k_AhP|xmELTMO;MXN$Zn5~1`$`6uSW={WRO68Lew(GK zXcO6Hyf%vKd*s*dneNuU5}HY;@_fhZ%=sA|v-&w&`tJL>yQ2!u=dRC5&Rvibno}>Q zUB1gx3SJBO1Xp`2@@o!FgYRweKpXPs7 zCG<*bm$%gO*XYQb%r8v``QEfJmt+nJ$thf|Wa${W_<+K5qh5#i3N0OwZ}}^B5Z(#Z z#My9w*243x;J53oZ@bUr9+O)<<9M2uF)R&P;rnUQlq@ z*POh9v%nkd^<7tsk~ijMp@~Hnl~`8nYLQz}H6t@3uY?Z{JZe8@&9~h3`{X~|Y``<> zf4;-MuX3n|I0ABxXLL(nn{hYyOy2G6)Rg?hmkHOCMyKvd>z?sE&nC~X=ayMt_g;Nm z{Y>E?KV6z4{4{R~*c~=LEHAue@Lbz<{<~Vj**kr4(&1mde~phHpK>*4m+OcUYuq$+ zwUxJ$yNjGk%Gl(v{e^EA4vlIV`Xgjgcve``P$giLUrl=xzu+LV-x1S1*i>(!_SOb! zIf}{c%r2bqUutA#e0JZ=-pQx`&|i)}x070@+)sX;S>P^a=~KE@4SP**`Bo8={Fhi3 z*p>tykMu7b6umckLC9bKf#&XToxCdNTgtWgUGe?@+R|s|b#*lMmX#&%Sa%Os5l;(w z9k^&I8&o%}V@Q6W5i}&Mam3QF+@L-Fef=K=Yzo{NT-?8_c?R03JJkhxxN*^#CD$*o zW&cP6S>rR;rrk>D_Uq=4zrQ-i|4!_cx-EB=p@wiVMavd1IXHN;wU;@?+BC= zBHIfGg?9;DXCG&R{5^G(qhZGVwDXw<@}cXodzQPmJHe6axb0l+dgKkmF~TrgcmJ2R z57zhob3>xSr-hyf{^kEO00+$s`WrkkV3E}$lmHfetnt$*Pb%wW+>>)>WUkK~ki9x1 zGa3Kc`aAFEn?HRM6I1WISC|$?_9={`U;1_Dukz!0r+H@3szMct4K5lKz0ZHP?YnuW zWj$Zcxa};LQ$KH?W2$eWvQ+Nl9phY>f4X2)!6j$9uMr0PIMWibh1kfl$3G_cbVc#}(K zy^+1SU0MFk())YN7M@#YOP~?YB?ZWBZqviT~&PpwU35s z?9u-7?Q<14I@ zz3>MAO> z6QREF!G$h_Wd&TeowaqbuQqRorIiDo=DrML9NY&B=q00_+Q7HenOxv9_uXf6omrZ&yr2-bu92Y>Z@V^X-%457VDJK_<(>8>S?bF6AV%j%aA zl5#z%eqwmytK{bS_kq(KA27_m4^A?Y^ks~R2s5`2j0$TN{Vbdd_-yC=!U9|RFEqWN z6_vAUNg|@l+!2PS`{6z6EB8F-a_1e-U$v4^#~5dPr7QTC(q|J9EAk!LK7F=0{GJDA zg`5nz6!5?@RqQVW3D5XpXrzAGx5wSZQQYw;e|*lH^q7>0gj#WFadO&W`6wS~jkMIE zh13a3ntB|MN6&4q0)B@~4X)$|?I-QEg3blhwrxYn`eSV!{*6}f#l;fB9CQ~KD5pK) zo{HWl@;t4CF~kU>R&Ji~TB^dGhnv85eyquA{ovmqpjzNhzq?jNIxO9jo(l=wcVmZo z-dota&fD0%-*F(fR>sccsHAR54Kj-=1NfoR0#kn+tPD`TDLaiqz+>)X+vlI*7ibx8 zIcW6-WZDBQlhIUM)+j^m+$#RK)KcmtOojnQ6FJX2-WRXb*OIj)Z6#v?>k8GS=O_=1 zCx1X5H%@41eQ$f|KijXDy}0?Pblvn&j1%fH#^;E#NqHjI^+vf(j%B$t<56<)4>dZ@N567_5=JO5J%iJ5j7LyOb+uo zsSZlQ$qfIztIgH_(;cc?U18h?efjEAGAE#2IGfC4yiT%s*i_Btwj4KK5oU{<#Fruv zZ;|DAvARN?ueS3cZ)w*mR^O(ixl*^}^w2t^)!Z^DD!IN&-aubdwVUxn>|rWyB~m!& z5K_%A0@nK1x4jTfqGI3#+{+I!eX#zv?zXfPq7WftFk)O#f6#=y)y>#K{kclQE3Ps; zf*<1TAgX*6BDE>#qPa1myqW@tVN;y&_?q!ej|G?wov91=npa{C7S zAmi{M(V~eqmVRak-+`=5hH>%2~J&J;*q%)ucOI zg06{`%p1(JOmDdW#^;8i1TKePEQ}HT#c-h`w+gaGkW;x)+&}OR_$R84li;tvvruF8^QcWR2OcBno+x#4DfE{U7ve{^*e^w*(<|^>|yJqCh$a#`` zF0ZE3=}z}aS|>HdH^;Nbvrp-+&ek1zG>(FWz)(%AtZ@o zrE1c4>6_HXl412(KU#K}=UawZZ(FZeds&?pVtHilYuYKDlHRl5GK4u?I*bP^SOxiy z^u_sFFQv10g4^nU(tYy{TY!ZW6G)TDalA~AR?Tvb_p9fp zJIU47*~wAT;pg1R(CJRTtv=b4Z#*xq3l$8DQD%UzTa}3+T6(0yBHIV zWkyka4F}N9urDt&_QlHYU~-^;V07R@|8jnH>^E#a zTTA;QyUCVqnP*a^F{b}Slhj=J#tmgST^cph3rzh13D(Q2vz7aDBYBDUiKm)-n6r>$ zO}^-8?Tqza@Ll!}bv0tAeLP<&FuN+aMP-HWi+6)(r>BIxSj*D~=?{!m_!}O_IKo!2 zBY$3+WV+1upsR41-K>AjWi36d!&qJ1X3w;@2>1{X8#pPTwO>a+w{4@noL#pk+GgA8 zTL+qInm?LCO<7VEu^X?TTW}3ygZ40ftQ0+O%-5pS3^_>N>Z|Xa=$YW^>e!t3Bd=?L z?ri3n;O^r3lHWBiH$NwLNx^Q{22ZS9*qh-Q;2Gy#sp#5Di zsi!nV>>=C`ABq;~rO9S#W9@8CnPBc}MpA8|7q6ppjEnD$f?*onh7GlY`b3^D-}Bw|RP=mz?sj&O5&3gmZM+XWv(z9j_IB_E$Z2YvmSC(hnv?%2CF(M^=`mMNh!#tV zGlh1-EHOsvELqJy#`ok}dRwR19@_T!DSqGVtL!HGVn3T7wm0x|+xPlK_zkniSPxl? zT927i&2z-R!W(`jSDe3(0M{4Jr#(nHZMt?=DZ@B2Uhyfrw14#a_&9z^BB>oVMCZBF`~krb zSBkBrztRb*KEoMDTI|+O=3L7uOSE;HEzSCmy^&q;yJa6^v)TLDqV20~yse4#sky7A zgZY_htm%Y!M7${c;Lh*|xL2qQW4MpuR(gy+T#Zvhl;OU5zSr)@uHMcE1xpx`f5TDM zRmAn(ecl!2My_|xtM1dzj^25mCf*D=*?UP|FDJ-mt%=^icwwx@gj55q;d2D}^}+!0 z1Y--Lr8d%c@vC@L8YWej+^mb}V;*jXmSWa_EwQ$r)`zx})+*Mz>~9s@>HqDuwXrSQ zcFDOq`u>%(oO$_zOa3;j>Uwd?rRobxlXioaH#nhi|OEY#XfiY;~q zHHSxFH|`Gl#kJ<&a@U1S{=7I-{4NY-)zBNwsa|0dh@xoR&Gt9-@ZjhEUvBVVo0=A2mNn%++Dp?M6dUDl85<^S93BHU1!z)IrN98cveX{H@^}|r#ulx@}Gs4!c6{-(3vmKcM(Q%4z!z3h91-l1j4IK*)xs4=3Zhe z{a5fPwa|U=MSsWr)qm3^Fhws%GjKWM69~ay$Vw^%jv7ccUwgk8cUzJqjx-z>z6jf5T|<@@scc*tMmC$XP~@lAy7;#F>%SchLH zrt|IK9&;@C0j7#gsE?@{N;6H(Ib#QWPWq|mP^k9En1!jb2LF~v7%|#%wWm=|l^Gkn zRb8&MWHUgfswqL5R~@Fd(qonC_>cbC*g~?53yi-aB$X^>3cP>71X7y3rFU5$xre-@ z3xJb2nTnzl`OTDwzkmakg$0~HS6sLx6z~tFx8f7&l2pX>K(etvsbW*9kPs)d75)kb zg>xuY%0lm{ZZ2n35L)uvG}ZK3<+;(c3npMS&LjWemS~`Sjz7=tNFqF|Y~|}~V+jV+ zaVU-fGr>;v0auIN_;NJgV~Fdnt%mJ9p1UqTn*9hWNl z^RL+qgt@u=7$HD#3fIIk!ddYp(-njWw}fD+FPbTKHh$MJ_HXjV(@$NTu*?f@pPt)oJbp@&P>_a9v$I!!qF@fMe!Q{ zRP>2MgoA9>X)K+THi(O*tI~f$TT@ptK*$gdao5BhTqo2?ihW2>%Oyz_0ms>c7$reUNcfnCuPY!?p2-7d3IGa^sESzWa2nK2r%&t$3}kv;Ie0 zCp(Pm>N96a!FImW}ebL_QGK6fSY6X})j+MDbriJm&$Msmedo3JX4s;}i9k z#4c`h&#-io-;y5UWsh0hiTi2Ms0x0@=CZ$f0oEaqz}n& zbRO0*LPTQRB|KkETg*qPhfp{8#T#$l=b0*e)(h#$LXNkQB&cubWX@0B4j?M0&!7*W zOZ!gO85O{Oy(kFB!*FG|TssfS(#`l3c|_w0#b@CoV@@@7Ixro@+lLR zqiF(asLn+*jJtF+%_aq4oi?8Df^Xtua4v~}1?qi1(x|Ji`ZXyvh7F579n2LR$_8*J@v3gJLqj3lHfz|O`emUL+52KlQ50{FQ zxK7kd{t;>#;ldiGf0V>=*o=xp0jZ!k=*JfZBS9mf3OmC+;!GSY*ttqLPjulpv=jiY z5?uuI_@W9jzr?%s0BeG$iZmD;P)kW&m2d%Tr@2#l7hFrK;9176U|dWL{e}CGiF6NM zWB7vreA+0b*X8oOkC=YFy4-~`Sma#RGmUNFnm(2&q&~J|fA~jV$uyo3v;~M~|96-^ z24T7=G%?Nt8JUfqjCrey_QQ>EJc8&hKwMk&64VtgfGi=GE*Cp6&0#4sREL=lFm-Bz zSP2)iyw)xWv4!7jd@Hq#vHdu#5jo3yd^Xy;PX4ZRuD7ZPocK)vmx zBwsY>&F^t_6j!L{jAit+ewo_2drP1?r(MpgJ1F-ytr}$8@({ zm_|I8cYwuYs}#Xp4;A@JAP=60BWVy9D7{@x2+IP}qbie+AscmCZT&HU^ zx{L~QTbcf&8TXXShbOqV+yoFUOh$WAb+IG8E%xIUk*Vfj8pc)DhN{0zq_z1U} zOn}G1Rx*&O7)R0VFv&2OqB$C`q0yR-3d!}rL~XTRl}_?K=f3%F((}ZuO~nmyrqWDb zPkYEq$iIYTZ4yl`sPoYR&4u$pas3mTY-mhTeUNGCFF-4pj+&q^q$%H*{sHf~47!ZF zf`U;X`ogp}GmxAA0&j4VU?2~w$G7Fy!h`%#^aAbY_oBJz4qGij1G!IR7M}-WaUs48 z=**PshtMoNhbgg5YN9w=DGLVR42IE&xG=K#BBUa|7xX9^?aoC9jZ0ctGJvf0rSpNx z1tXE4@7AP6B-^ORv|S6C>bNr9YBb|`qdwS=qKxx!ER^*X=qZdMPUbu4124jBOy?Ma zQut`lnd=T$!BWgIPzf~Q?(p}>C$0^g373LGs4;j4&OjHd@)=}^&UtEm&4~!1{nJroq2OhM_m$e;$@^pamSwl+O2w42QwaqziMv zIH3*ggn6(XQ}XWu8gn27(_E&g=a}!|Hn~76qiCjeo(k&G_xKs8#heue3ILO_%9PO+ z$zh`_^Cd`FBz?$wR^=+;LHbEt$@r!%#WRev${F$(RwU*55%4O0K(4VT{%llZy<2-= zN9*Yo?j~~_w1HPZW7rCmWccMrFpwdG+xfNv&pkoY(Q&4yZx5PrXJH?vk8Tbj%7p`% zmcJ~R1#;mR(38!6Yq@abKr>-ImaW<|G{VH5CLDRdET)yq0$rF!zZPxHcE$u}Q=O*6 z`B0~~>2;h%x{~dh4?iV)8U8ncTvjWo$-2c?luds7eWx|6a!Rj3<5-Wr8LuYanGUm% z{@5tZkc2Vprc~iNz)-pg?4kzq=hQ=qv_6*!5f4oksfd)%{oqXKBh!>;!|TE@Axc~= z?Bg{U2`)Y+_;#;iNGfpr}d?$8m zU(^xGTy?g(NH5DALZ4tUE*lPHyEh1qMIE_pbPV1^3;B`KZ&OptbaSMs4V#K8@SC_6 z;(bvvoiz0_Z4yiKW6)vP8dc`s@W;3g{28the}Zqt)ns#ad*(LD0I%5`(}PW7^WXvS zmvJIlMkPECpCoTsr@osY;=(12EBZmLK=H$Gm6EW%FV(OelXvGkKi|OEzudKlb;Sa=5yExQ&2_J z0md-ZbVQ7lrT;Zw-=lQ~@D`?01(M951N#h%GTZIw-3c;-tIb)AqB;(oQxqN5(1AkU4W^8@2Rq+IlU8t#ylXjH$;jYyGv(GVi(L zh<09e@Q$MHK;Jse&JPiK(s=c^bBkk@rorO2sO=fF7`&|F|)=pAY;TV@JrkPxp?Y29?7_`aW}84iE|$R^WwM#z^&s+*tX=YGe-<*$>f2P#?Q=L(Np1Xm5#YWR$+Dx60uUB`I9^U6lGCaKBlZ)yH4C`)4X zquNm|P~U9~AP?wV8beZbRUM)>RCfD1c{aFrdJ?=l$pSB{%pR?J)Wdb zQ0A%KNL93ipNvX^cleP&D%_7@j4s~hC>M?l9jlR z{z2~#492)+ax+nHkZ+XLb)}nH7QEtLi-CN7P!<2s+A}UJ#t6rL^bIJ=JdrE)V@wMe zq|Q*z_^9W#ufO_L^T+}2*9B?$qY7pgRCSzil=R)9)1)WdF+I=0We+L%Emr^ygfC)u zOIdRtu?B3R-B35cd$u7#yMtQWQ%&P7+w5cfH(O-UC3KKhSts}tf4jfUZx-XgQ^7Q& zi_sN+G(kJIK9zoeE=Erc=@|^UTL3x6;eKZfZ&&6Go?{f%TIs50CT$rz*I8H$U*Hj{ zzcP&BL3iB9a*cw&i1_}Gj|Cq;!D?xQFSbn8Er>%vXQfr3b?8Up8 zdVdriV2ohAqYnOo=a}E^1Q|>w88h_f>Uy<5&H(4pLv{kG+CKS%+(1rJTIin{+Tt(< zYmb?iXoJV(tL0U^mwg3{yMO9#?=I~;?#y;4x`!+0DB(shJq7lbVmdyA=Lj23X^l1ghR*A2 z42~wy2=I&CVjUOIuBy}3qgn>*Wlm#5FT+^u-@an<4f(AyPL;Gc^`LUu+t( zi}O8JdMFok6Te)%kCKe9ZsLrS6F?=agY9>Ur4D}?l*a?~S9FR+3h5i}7o1~S3V+kG zdt0m4bCQ_$FVhox zU2U{>#W)EB#$)`)FytP%3hR77YN>j4#$Rs&)oEw!XLQ!L>wWcE#%3JN_~MoLxlu_k ztX0)IYOl0UOq&{IJU2umL2IDuKBsS-vPN|>gfC0YQ(nlS>L;xc-5`7y#xd8^ayi4d zkNKpQSv=O})*-@JYQ?RMveYB&wVwzm>i^u-6wbhB=pw#}`G8fj4K>#h3-Ml92v%Xe ze+QwFP>yfPT-TGqCYTI{1CeIoOws_%K!sRG%G2?TpK;RlAPjOak!dwrfLZi^lT{*F zLi?kCz(p!O%*!8$a+CSeGdlPBDyp7+goclBKLO zya;;>57mNnGKT6c^c}_uvY*WxEx;e#Oebor*3Ceq2=o0FkR8M@y6UN#Q@1kTSvT?r zZ#A&iPiv|j(gv|tTF6DRo!lZpv?r;8iyD))@!Aw4fL>w@$yYj^Ofin>%NQ$Dj?RKI z=f}9B!&GHV_xb|I|IMKK-6EY2TIW#5iaocX@LQknVuSAxED zHaUXpV#WAvY``PQZu*GY=qo&tt?RnJ%BX@b<2tMo6JxDDSo71qYF7=wII*=jPcLKi z*QRNA*;)D+AE~q3{ZmiUvbFVkb)zHxMLIAn?g*M+E*9ODb3#Z&xElnPFRey|eL1x1NtL_ui9ZG;&NMd$~o za@_=7cqBmH4R^85*UD~p8edJEB}lvi>w#_Tn=2+TgyR#J#Qj9I;0)?dETlW#&*ni6 zQE-Rh=J`e~Bh6UM+|P$u$3KPM#*K|bdUwW$lw$m3Wp>xM;706hujv08$MGxjizJfn zL@~A*2aMB2Nk-k3rW_ThtC-2DRC|ngM?>&Tt{GGWLqX0WcVzfOpVG zZW7;=@5L2C4cNC(w1K10Vr~FGlfTPtL8F=C&&K?Wjk&+vGp;amfs}{4!D8mdzRGaD zyKH70%If-II-UN@9ELlBb^?yAi~Y)M?~9c|@9%7kD%t%)a4b07)kesDsQT|KWxIN7GrrSyjCM|HQ5BfK6Ds zrMnxE_#!ExC53OP*vKW4*yjeRa7yme}+jIpLD>2*$v-l^JA; zW~mPtHP@13$Y6Y|lvWR_2h^f!bEO+=UUjZJVPd}g-Asv9s~>dx3{n_kgaV(d018#($c zy%B3;7wUH7dzdWd8?B6|`T~6t*_&j3lZBT|HI~vRx99t(MpyG^R;zzwmf+LVV2$E< zzmoOt^UWIOb*{f}L^Fdtnf3L_WDKS=-Z^RXHx;R`G)L+vJ)nd$VOv=z4I-P-REcCp zzP_Bvn)B}Rb9uCKRk^3kWp#X4IbC`w^#HN8Rf$xeDIY7Fn8(RyZTl*@ma-c;tX7hg ziLwW8El?P{=0bw=`3fxe1`EiE7cd_ z@x4YtxK=XoW#f%5Mt|1HpQHV+8vnAEf4K1#siGk3t95Gm>O`de9>-_>kmb~mT;3lEu%49Zf|l+Y3z zayo8S1+g{|mX9=kd)ka3^WB*7@H_d2ypDP1vaBW?E#D%WxkTBe{H`=m&dMXnR}7N> zMLwS>wUrzE>bbOA`kVFfMfv7`=+J9=X}D{oGWgfktnXhebt6~2m9ottQ}dsBl2+?s z+Ra_$_wl<6>K zwEs)$DU%PXDV3nE>C~(y&wVacCQDUTHfV*5aJUqvd<*0i@*M0wmX^IkIrBgRI9TVt zoZMk;7!=-^k3c2uHy6XvP|qxC7BnMF15dMntV(rsvMcR%gSK%a_3g$VXzo(unNgEj z{)6T*q&^2p)`X#<6D@HSeJcgm%@uQjISeL%L-6-BMh*jD>uD$TpyyZ!&w*2_NA6~% zJb^sZkCg5VmKv!T@@w90LeKu@yj?ydujktVasx{HTzV|MgQ4KAbO76H4ByaOcp5HH z@~7z5eq`GKt+$aQHqfj*O8W#YIg9Vy#vIx|l>Z98audhjFkc9aMNP97y=?;4R2B^x zNiEhP(M?#`Y~(Nq+nP%|{RQ{J&%7IN_D7Qj(FQZk6@0panq|UnR0NKr6T$1&P@0!` z`5DqmcqFb$vq8~xgWczM+QCA!R1!vkENK%g8-1jPeDf1%9xDL#D-PgzDDe4;c&8YGS8E<>e$(l{ht zjhIS7E3C-K3X{fLEaMT{A@s4J^bz02<0)fl`DV1oMrn$aEO(GsNR8xmQe8MhPQpvF z*gQJRnBV;oJ8MiaL;Ln7mjYevX%}Qo{G`TV8#}`=RQDnXhc`rh9 zUt_Ds3@4GnTw^PGw3<&ZW49MMH#dGj+k4U`8oqiJnzEPs&qk_ekV7pIO-e^;?@V|M ziowdzOg^q=-oES)8%$#nG@;j z%E@o>)%o=M!ap^kkBF8GP%7y>=Q3@$gf<;vx{d$gv+>fLHGFmnG@~f`RK?ta?HTy% zTBgb8t*CED*f=Vh?fKmxvkAvk{90f9&PsG917?%UW^HUhL2j)X!A?N;mrB#56X^Xl z?DLqU;u{~*XJ4Tm%FvhWq?zyjQS@&+@f#cPcIWXS9q@^5K!t7MuRoYU-VOm+ zJNX)SIU)UrFIf$HNHk1Y9+A&hWmb|l!OGGC-lk&$!HE73M%zD-I^mI;1wH*gu*F2;RhGzqaqf&JnNnYB z@s-?P{t|AX>G;Vn(5;(z$(2Z|3;F_n16@hOKV;B{9fY&&7TQviUbr81s*W}ELWAeg zgTzXs%s0q=hk2crSZKOTtJKp>#-fW$#~JMm=hHd##51J!*z-zhI}AY~Fv3LA`lF-* zSlvUs!6nm_W=a>BAO1_)0Z!l&jAvb>PI6UxRSP9{;afHkXRM`c-KqT^shwO9-HKG2 z$-N{mEMynW+tL}MfYF3FaTIc1Y78N>2L8Z^GCLa&v=ZhvZI8akSO+i5W%$Wb^f*{6 zR_ZJ9^#k>3$oNlfzVRs;%Q;AOq7h3>-`n^DANUw7@jUaIRKPeWG0SIeLr!0!0pp31 z=7Y;RAyrn^;??FWE17pVtJJ}Ehsg_+Pm%L&B~)oD?@*qTPtTOomAT-17RX6DN_ID^*92eG~1h;2e7C;sA$@vAh)_*uUq@6>J?SER<; zbfT@5y3?4!*mx{5f25bA+!xIG`Y!z5L_G`5v*Fn*n@6$srP3GXE8`UWZ6QXeY?US& z5%T{)ZLgaE8eI#Qf`=DqoX_m{P=$C`@DGrUz%!Gkrzoh zv=8ho%mHUg8_~db@?1R!8GLN6kR#;A+_Rmm8j_S}zLQqy{iR!SKfQu{7?!8=W+nKK z4iUjy^`Y`wRslSf^Yt0h5wK{-%_{nCC5ryGo}6jyFuUM~Bbkv%k=9Go<;lh-MsK6d zL-5lKr#AVDU#}&XQRjkA_>+-JBYO58@)~&N^5C=@&Rj-iSwzSCVNHslBo*bp=Ew4W zbhertha9HMhha@>rykSC5fgq3=baPQyeKelR_3sK%9AuuMEVKIkXq8KKa+NwLyfZX zL)M2>G;-x~hQ~ZBb=0bA=S|f<6%eXPt4tNn)WIF<#S^mxRfltDV$aHwQ%_s zJ?UuJi0()q>Q&TM+CA9^r`i+M0b8F%{s@1VK+TSumF3}ZiWQZv8b3>`q#gQTB7w5T zN~M%OkskGu_L&-Gw8J+IGAc8Mxor;9x2Sc@(qKMr(nl-U_iNhgn(>Fy3SOr{au4N! zK2^?D%IO!C!7xMJ$8#B`Ozx|!X|qg2r;b{un#}@Jte=8x8*WL_GvUvgYAjc}=#$|H z^l8PEo5b@yVZy2N1@*Sg^u~>b>sJ^15w%*16 zwe4GGGrOsOXg2wo{t+H!H#3`i&5g`hR8ocq&YDYdfU#R?ItX7ZUo%dS$YU3@2IRF{mG6D)SI#O@T3xhF? z)EPF!5d73<^bW&~dtioEnBACzTcv$Lo}ffvx22i>s}ac>!_M+QJjn?=-d*qpi_qnI zW@UWNP1C1;EB&q4k;j|2^&;|@c$yjNQD%*fE2`lzSJKOW&m6F6Y}9)w)3pt9BfXVW zN6Oao)ZZCBy|vELrfAa~ny<4QXL+DCm0a=%%0=Hf>v8kCE?fHP1Bi7;7$x!7Uzk5B zoAnrLJMB-UuhJqw)?d4+zSX+oUrKA;tfTaLAfslW+1<(1`wW$cY$kowN9t+tNKNIN zaGUj!hREg3xy+&cqSd#|H7^C;D5d3M+E}Hoyf=`fG$Ps@2tVKxB~*X;-zB}o!6V+CX{?E~?jv?p$Gr8}*+E}nvQ}w0BWMwpSmDALRT4Q?L0>*6_UuH(h zv-M5%sOy-8?}FWj8Jn=kYv5dO=qHpLS{db>IZf}*eC#LY5$z-EmcR$nBV`c$b8F>M z`b*g14roO!BaE-53rf2F4eNTvsQG7gjIm3yE6a=?WE|D`2K|H&V~ zp81UUbGUZaW(KaBPD>|$2G}o~@dG_cSNV*#N6pro7#%=(Y?c}*1GH6OMDFVaR9U}c z{9vgGuhVsF!@&QHRjM--x6iIGSUB!JWiP!xNPpU?DjrUOUhS$=WRo@2;-vlrN6&i-rCInx11o?k>=tP zUn+h5qb(bxkMw)=fKBzc$`8`tMm4p(_8)9`ql}NFOG*#?$wu{owpKkvc3`D)f+a6@ z$!pwJ8snX8mJhW-%4X>q{{9iMFHA-tFv{v1EO+%qa$7lB`^k3H|Ju;iQd&=zk zNTa0X=I2UxY~eDssH0rbugbs5ZH;Zp@8;L)Qr%_TvZ=mXFo5mxcd*Ke$Nz`YMXl>M z)lZE&<|a#{zzNoYR5ezUhx;FFl}XC4=+#DLxcrk|m2vDgGa8iEG(CxDd?wFUiuiBX zEIgn=7_Tff|x( ztrw_7zRli+Y%)4rrGZYASJMs#4LcBmE#1Q~qTh{xjjIWt)>}i zpcxy>#q_`B7xWjWaja_qRv;b{GG^LIsy@vd~>v(E>}{mMu2SY`1)w7nGg*7HhHy_e*X^7Rz37!G5Dd{_F9^DgPEen>vY*ehP%O+Ljfg-S(X zFP%q(H%1y_{%NF1JIG$_LgMY@ucW_>hp^oC1eq&IRkb?mQu>x1L@O?Hvy!IE(j&%9 zKa#N+FMV%TfQxhwH7%uUjE+7v_R;ot4Ga0)JbgQTMqPc9VwhhWKP$Z%^{tm5gUuNs zbu%mUj)U!4DOEH2f;DU?-6G=qT=EC%UcS(}430_7`5f~Xry zyg8YS%yYd7XL3_Uyj&di%kfepV%sU^W+~k)!ASP9@wdE={>QG&Bsa1`errxLx>4?4 z<_7w(SjJaxj9vp#Y29Adx1W${6ku{8g2KxnL_VwkfHs9advI={45!O z!9)X2BJ^pDi&J6n{S?;T1Kedc%)4=n93$ZJ)VQ)WBeO${4_0&MB72A`Pib2U%HU-upq_L+;1CKJs?x?z$^);Y6nJq zkwLi?+O8NVuI7AK11Zat#AM9=fD!&pGn-6ZfYzyo?8=a7c+2N!7=5O3waA9X zQ`;nT!XaslpJ9d#=77)eF4uI^ns(N}rUmnKC#lsL-aR2xoq*jH<2yU0^>NJ$?t0yP zPR7cHZ0+PW{QUKp=bT3O&X^bZO*-xRlB}@AlT7n9lDNek#WNLJDxR!j6>^J((2yj` zS(I8u@P7oQj^ev&ybr}jav6U;;dsqR*avs5MM@0Tq6Al$kP2{J3VKnQj7n!RN?&nw zLKB)H=`!586nCn~`wIM~62A0e8s00 zx~5U*$7siWS~4AZrJ{w;k=+AY=>e_rA1!x|R=Ubd%q!ds+QJ2V)D1onS3W@2S=ie9 z77+5$u-I_yv=owQh=kg5bm3@AhPnfz;8wgkadab#$%;aL(Tq&4@KWWa(zKJ%;RNm? zQ4`j_nEAAaJNN`AZT$wDNu{ih(4R+?;U@NSnbMr$e2#wL1e$gk4{(^*esd2VWIx9V z^B-PU_|{Lc;OLSY*|U(VEpLQwz$}oU(q5HT+NdgwhMUXiAM5 z2HU$lwkNDFk+O;mtv0dCLFyDC;wxmWEu@(AG!%^@Nh9^6horJ)#wa z6rb{mXbthZ*VOSLx|oAxeUveTJKJIUPNH;$gF4rUlC{9sH$rx;(7G0Q_QvFg8}Wa6 zN+>M140ck2dc|`t%HK)oXOUou?dS^dQ4VIt(GocKDZP)9=Aj`^Y1fC8@+$WH5Lw+0 z%IrFta0%I+q3pN#MwC5`L-C^cBG55?1-jf9sK~0Lp&SH?C@E=K(Dvp+m$9_WS0mL2`PIN1aLzLi$SlYo=tq7g*K~fRuQX*|GETSkjo5cG<)Vd&b7t#@R5iyj|q}zBBq1!iu$8G+8fCWFG?5Wf? zE2y_FelP4?$W-W-fkq2kvhy?v&n;03(VOJbf8=u(lFvozqSb|$7VVaTC6_?rb(tNj zi`6zl;th~^Z9XecNk#t=#cxIbqZ0L|VUKr${`WGayGNw>0H6H;SqdF}ujxjx6gEm> zM=BOHK%q3E3_|)syE8amQ4is7o={H_f4<~1QQiQaTSi)8L0uFwDnd!BV$HSD17XkQ zgI=T%+8|y@ykdD5h7^TnioQymg(p#vMi}LYM8>hai{l){9YxzE^AcV&krog=m>r)W z>`9{xx!BF?U`vSD;Ta`$@>w1d6#6B4(DxP~+FSH(q6LI*F zqF)p-Uq-Mm6)~syR`}QSV4o|#5t@_E`8nq|oQ3Zey=p32ksAC<^giP59?B|YN~ScZ z0U{0;J(bW}p||2(kQNYrHHD)Dc3BGlA^b?W;86zaD9Jaaf*LKfLD-(~Jny4M5nTu` zDa!o5ClFpFgZDX z3tpE`Ep)u25-hv$TcQqeK}!P!OlN~V_ayxzB!=+i|{RS@4I zbUuc9h4a7gYVXHJqMkM^OpIgR_f(?3qHdzxA{rC^UW`jb4bLTlc8PBAVKWfdOz zeObeUcec?^qP>Kb3a|41We+|jJa`tRj-_nEa~27D{t~o6G1@>x$s&#{&U?`-B~pIj zK}0!)6$gS=CG_O&|H(g{7+C1E(5F{HO&7Ky;uaBmy#Lkf;4g$P6eB-TC*cX7@_Iyl z#5-{ayAiEu;Ln7_!-9RHh#-WfmquU22(StoBSwFvD6f#Lh*`u-csLO|iR<3~ANqeS zBYHP+6yhanDBit~O@wWUo=Nm-Vmu{EEVSw++99Ii)L{7@bAC+uo&~KpJ=iuPz7qYe z@G!#D2+t>^^1jxY{6=_*SJX+=Sm@O&jyFg~^bTSKA?(M8y^Cl_*vbEIDN!2H|F8gu z@5S%lzkB}#;bnzK6ZR#pWimh5+M<<(t-Y6<&@Lg7P$c2t5YG^{By97&jS9~U+#%?p zMO4Hx3l5>3;!2^nahyebDrEFtONBj3_(ak7xu}DviO@kY-WHM&pS_od3yFx&ML#2= zYGH{lk)hD#Ta0@CW9)O3jLB}YJsZhttp@q92wc!&X3>|DuUu#@Bg1uu-;3B+^Z~-h zi+HOd9SzQ-ZFzleOq2=FX1r^q~Q zLK44|Z<_)pY!rFRo`ToGY-E1KZbP$?(=O0r(}TY`jy}F*OdUgcg>Nat=&KKN_=`Yq zEM_KhGI_wi$O+zKHc?W+BQS;8v3?*Qz9g4AoV?m#5KkkR`}~2D z{>*PgPVZl?5R$q`y&rKOG2Rz_j)+D@oFgn=LAP93i0C^-FM21Ko!Q0J>(GdqWcj`z zOWX=XSY5JyU10+Hg=02P8P9Xpk+BgneTMy}aTf*eR)>`Ol4otitRXB(r)efzb&oUg|hbwA`>Q1Y9W=sk>N39@_;hu zA_W5tPofNt5qF5 zR08{uHDGOUKc3hP-Dzg_=KXAbx0=kuR<5|jwF1T02~^)G+IukP=^%biGv{?1MB#ok z;s|J)`$!`_D4+XCD2@ELO%9VoK-;|FcW0!3K+$bSs*A9vq3B<8MhwC?7e^x_8RNa; zNk?dxKbid)P5C+@jn-yuvmB)iH={WUP})Ldaf_0ZFU<~Hb&%F4wDS=3eF7~zpPZ@~ zeLbdSpP(~7`sFyJP=?;1IDTBj6e1ETfwxl0w~Ku0m7ql(#e>X8AA7NeyDl>~gsN%e=#@bOM9JYG zE$woWTpYwyRS<0@!2ni~n}7!C4YsSh{2_lAmJ1>$6+FpHFr`;O)hy$VqtU`|u&nO1 zWD@>bWC+gj?BCFt9_V#raDj11#0q-X!d!|0k~AN@sR?E+hVQD=zHQCV@e1EDpEM7R z-%3{LOwby|=;8%d^WN9Kz;@op3wL=j+2FS=Sseebg z2dgEffNz^8&*sw^@>J%R*MQ~Q4!UIw^HdFxVG2korVq))NA3B4%~DyA`IZen&o*GJ%UD|sZ3EuDD7BH*jA~oR8c%=$~17GHRK9dT0XXO8m}~y-n}utF9!QI z@Ri$W#ZQ>^iDnJRMcV3j<7Z>A(E6!XF-LL2A&)DB=KOEF&^}BqQ&H5Gx z^Y4l5uhq3y*S2(U2Z?H6h*zUy9nO9rR-9aOcL^7Q~Z&ydVDj~Jn{9g)IhS#i* z*bPol;+k*i*R~?NeQ4D&u$eWP={ilH@k&aIi zwF8VUB~(@QC}HfjmZFwn^-KT`g$t~$IjZbuMZj335)P}^A#`grUL_H$c`WV4+x|q~ z{4u^SfNdT@m%pPW9F%GYSpKd?J*1GxN|-CGz?{empTQg>^g-;CR!%RXC+iN*74*h> z7rh0mL%PA2G)q6EztkN@3F8B!6nlksHNIrE$Xw*Q8BFW~@SU4M3jPabc7w6bSP5F? zB!3-3_RB%oPNW42W3A`$pkfy6HZfCUFq#2rG`?Xv&IHCZ}{fAmZW!N9ngAxqkd4oq=y)l(W-GsVmkF4i9cAuYKlYH z)GiR`2axwkEb9U1LwJWl_}nVYAGD?){1P1fYpJHZoSOUPqO|33<+Rd}c0Z*?Swbx- za0;ZW(Uw-0Pb{k})2Vf3OO(Z{mbO&2G_iEFl!dGC5x+f*92ToTtKGnbtb_flJi83O z!pC$)2Bna}P3A6J)A!xQeutx@Zan!=Bb*)Omayijh90SF+8u2#yt*w}!}>b#EO0q+ zBXBaXJ}@Kj3EX(?178Jx3Cs>G2&@P!3G57<2^fJ7wdw3la8FCq^0X9I6b;f>vu5n3 z{+cyZ_jRvc)@TIUUaT5wXw*eQ^;k7?p4uNH|I|J>XLsUrv{z-JGm}B@g8E{qRb{AK?1eG-410f+kSX2>aw2yfp&rx z|DTqi-3?6S7!~+5&?QhZAhD`*ss9iEBL8y#Hvb0ye*Z@QVgD1q6lfcmA2`Yi_YBs1 zCTnHUi&^X|cu{+&Lq+gs-vpNE z=YGu}k94XA`k)P`1Ma{FT1l;`_JcM|o6Gvn`vb;V|uYpJC%b4Z5*@_Q6*=E^h!i=ulioiNQ(_Ys8oLw|0*p}OVv;AmW zY8%Jy38A)U)<3O_(Sc%p7md#R07N!_9h!4JypA^IE9*IDok;a~!0(_6o0 z-C~CREne+EZ31?jtmUG?9Rjw%O8>_kmHa7ia_;mE@Ky9Vy_w!@Z<4Q(uYs?+ub!`| zZ=~;xFAP?W#r{?P%}D5=|Fypy`}&OyOlFVJ#eq$Me~~~{Z7j8(Lho_Fh$c2$N`&51 za0J?;JNU)sNb z9et3!qCMMo#;#*|nzgg~Y`vI%g1t*CYR?06*gN#7zqvo$AL2jco8)Wk%Y#?Dy|;ol*6Z?wc|*K; zp68x79*4KGcc^!*_bL3wy0?h0GTgks`1blP`!ZqLFXr#zALyUrw*)o@+G&Nb@_5$H zJT^YXmyea&$~BdB%1z}5)uYzLf@fJ{VYt;{Bpqv?Ef~t}ogC8~w;fj;a~-1{4ICdj zdN~?8x;h3p+Bix$((H%q^I)VOU@vdKU>n4~s_C!`_pl~e4_W%K!Z#i7RtFFNjdEFT z26}BNGyhlV-4_@dD+X%n?0L;Tt`==4dU?}7&L8W)?mNW(sCB66OzQd6v)i-Tv&r+b z=LgR;&u~vK&p^*M&wc8i;EnM{c}sfhc{_LqdKbVg`<(p|LTUXwzEf~K|E`JEk*7e7 z&oMqSTe40mJQ%5zfvL@8=dTmi;@a_rf2Z$LUoD^R-AcJjdDnWndCI^}o#ZL(iSTId z9QRYV#~thG;hE>z=-KaC>{;&l*K^pTcpH10dOK6!Y2MF$N$mFXG2Y;eHbTFkmt+)i zpPf1{!QV6x|70lJ)a#ZZR?T`4jV+69wzhA#4{|JbcpQ~NQmNfvj)jh!4#QD2WJE}- zkaE=fp5v_JxZ|#4tD~*s6?#1cUCy-qY>T&Dvd*&BMTdW)rZ?0ibtFv3>lh8aVSKsW ze8&3AQ+i?jthPcMsl{u91DoLz*yihxJ?422dnb8wJl}f4J-6Iv+{fL=+?(9rxj%E) zayM}IasTGt?SAZj=-%pH%bq-&+;`lm?h>A*o+jS6UO(+vfWGX1+P~W8dK;pU6rzG^ zvWHc~8AZ*MPQe}JE=WIJQ+Z!HZc@>I)nb*O48Bb9je9jGC1WR%<9+zp== z3}@Hh3kN8g=>N9gzKRz z4UUU|E5V)TI_i4nn$AA1S)SY8jlP$@7Wm|Gfr@a}CL2k_m$%H>j5UVJW0VnU5z8yf zAnS8RHV_|uE8+%tF5z5VONil)~yz+WimW^Im&qA_MY+>R-ISH5)0CL1BoRDv72&C z;2VFIZyVZq9zDC^8R2>Bp6TxHF7FPwF1UVm4Rp0}m2=f~m2}m1^>cM|HFec-m2e$$ z&2ks=^u_o1*rBDZ|7ZV-z%cZ36LC{ZkXCKUBRrFrDlOHPmIam!OJ~;DzqGElU9SyL%rV~-{|IuvrR{!O8~TKw?f30Idy?ZN zY|j_%m+aa0I*u^MQ~LwjXR^JYy&%MH7tp_Qwq)B;_RSh=m93w{4OUKlt;|zuDog1f zav6hFql-*E4Mqog{qK`B}%-+v}BUwgu?EA7Y=52Xj1Dvw~lt!wH6OFeq(DMTq} ztRLD6BJCY`$C>ut>`^<*K8JG4j!uq(jziRDk^Pw6;&_c;8HayqY>#FCjh}2yh=MG( zQ`WZDWUJMBnqIHFdQw@%IIxH^j-AKvF#wp8Yr$TJKvEY^!ZW?WOGB*z4G%(4Wqf_zUF!oBeanqwOvD zq@8^j{dYW8Q_Ei0UfraTW7*TJP>w+DI7!D&*gWr$OBm4Igy<) z67(|qOf55z<1get;p<4NjPV}!wDP>fBg}S%xPHo?odJTZ zWWVHl-ZsA9vA5s+(}>LXv(}~uIo}D4vyaIGlz7-823X(%vzjn$|8A|t4m3lMbOCzX zXnTUam_5bb6fV5p^uIQHA8OIS?xjDr!HJV+tBHPnWLsfvWvy!MM-{w+d>u~(U=QMgkArGxZ|Mz1AnxCGP{)j=$-8;>+!j-xqfyz*?VL| z{^)!ue~`1D^N@3(tF!yAr;yL*Iq5y(o8c=F7#^sjb%yC=1$nlKQdK!exdgA=Rkbnk zKqqUW^@OFjRkxb9cSMb|ZAFNaC)rxD_xN9YTHIEODA{dY&i(+U?E%{eTQgg2wCRyG z!dl*X)pEpg0+#o2mLDy}Enle`8Mwz}svZzAZo)pCSbGLLpX||8eHhV1(LjR#CtoSw z7WP>W_x|CrdK$TBxC*jk#A^00-{3sr+?(Ig)!1F%JI7ngo5ub^ihn4qIFGb_#uW0) z8>R1Hq^U-%Hqz3?I>OottsZ8bY0a|U!mfK0<9%t{$Nog;@nQRHRqfA+ch}e&^4BNW z#W>ofrF{Z>%D0AtYlx+_WsB-#WW1X5T(z~>)f4%glOB?rtZBA4hA~Q?uf2fZznF;0 z16PR+Tpr1@+Fa|Y+F?16?J5&AJvIZZQhvXZW|1k1iqBYS& z^*LH8_I5cN_#x2BJHYj^b8l{V?p*e*x%@8vU5Tu&*;TT~zZ;NoHe-l$h4FRh@rVVs z`Y_{9wC6?SM?{A_lX|GdB9_Fqj(r_|H6$*gSM1j@$sx;4De$MhfYHD`?E_DqccO6s zezF^^O1tFmW6w+-7YPWH|mk@TUqV0jO^pi*{%i7Df#{L<~gPO)_GZ3XESTP>5-|vP0RT9O?LW) z%s1IbayvNlJ(=pdxDQLbO^J$a;W+HL8aF7>o=`Q^D?PAb7z@AA$#oq0FBcl?!Hjov0wpZCA4+>91)n`bS~8u6ywi>B$fj1ozUstwZe z4KUhUj*_j)lOk=^%@QspJ?!bB7gIAv<_yao{ia;b8UKm!mr0)$ev))Pc5L*(=y}m= zqkfCN850+ulk_aPQPP%#)VKq2Eed3ZRMet#wx`d@Xzr}dPWJ3+=$xKw_}ZcsZ;fKP zsTtcdQ(W!+tph8(jXZ;WrL;Nbm_T<=Z2tcI5A#~REBIemoQ() zcd2sdgFVIc*rSo(r+ieZdePSQpWe+&+m$vsyRo~uTkM>g?`cjA8@XS(I(WuS%aE=f)o_G_OealzW8+CACXzU*J*ni131rlX`3SH~Eh|UzpX^>t=cXg1q%_ zKg@aNsV~h`9NO{R?U`$H;-#>V*zj_44|ln|ZLaIE1^pKIIRCe-xp_ajcRRnyt@buQ z^Wy72GsAUvOvwtpYrZRAKjrths!3x?G%UHez$ABv7o8qVPg|W`+kMD2C2x!?+&zsw zMsCX~(rGx!kLJD1-IX62_{7>M`fhxeq_rtg#ZRUzOa7D-TVJLsYIgP~`v2i;rVre!{Izmt)4g&emo2t>PIJNJ9Omqt5g$E3xqi|+g;bWilL#N?vczL#I3A-zRmSly#Mgnest+#mgy63MMArD&L~!neyYqq>Rq@=iROH zqFP3aS7V-5Ppgslf%H?zt|+xYeEhq(t`YZ?ex4%kO}=~b!;lGKox?MurY1Bjey?Pc zq7RbV6gcBptT)cznKk)cAhTo6K-VSj4euzAE%3_ji2XEfb5sGnZPudnt~o8(Q=R?2A%PKjU%pCsmXXugcBJsml(jKiBRj@=N-eGYpvq(cepc#!xkrf^3BIw`?;}nY*q69BsY$_xp;pDtoJEv6-7=m$eGSX0 z$QB8!lOvN3#SbdjD6)p7l)l$pBgg-)Xm-2&b@@G;nupd&Y+Z6r zkpY&fZ|^+5{B(VWE$719^t9)x6EjD7$11JDPe%=k8&U9S^xTm8YE$`3OUKaRA$9Bz zl^DyBkoqxS7F+SY3NN#DQzD_&o|C2v=rnm;@*-FY#v$sQjrN8AcoAT{%x z%75;eWrS!?-|c>W_F0#=0sjP6Bxd>ByL-5odQW<5x$<1Px;1WDvHOY3BdiWz8#th*vR$1O^3lvp*Yw_~}rR>+&Mt|3jV z7U_zdZ(AS!b!>FPkBKu2{vDpdn#&gOMc(qAWCAKY`^)SixlR0!)EXfv5x<8fE8qH0 z`>z1{_*eQnw|M#|FTcoY>f27_z1#QFIWPZ9Pl{G3GNefPa&1ca;^QLQMeK-QSF}i> z8jccgH$Pl)-~W7Rdiht*mv>(*ef8qqWnXP;AiQJDo7fVOZyW)2g&ONP6;dVSTSpuF z0pgxUj@6+pV!9{vkDcqNU|eP2qW;Eb(sI3{_uK3`8OyV_d+REtY!9r>0`9!DEWc}n z*-v@qk9%vr_%?l-vz2~?6{X*~OXvQZSI%3|)+ga!DY<;vl1-A%6-bC%ntZo#WK4Ub z>FdIezPXqA@PlV#Umbq4==FaYC$cYS8^UKL4o$hAP%yHRt&eq2NTaZkq3hVeyLiY- z$0}Qa(BTEjBy37hqMBNF8~Xxpj7)igy204%PRp_6z099ywjvX-US5*7^qnoIujeOi zlkaxc)t6~$12U}cvic%zq&M3oHz0_oH{I&0pMj^I>M!{7C6dWQ*jhNhz^QiLsi7tO@mmIzs-nowM#F`@hb< zJ-l9ligDjZZ3vk!kJV?JHPy$KkCeZ3pQn}kM^_JRwQY0w$057@6LMp62Dq{ygRXN%p7|=YsZitp|;SLj-s~V?1g$#Z4i1S zJS6&H^y=`Q)?V6L|L3r&jWk9vbM(m9B;V(p8o1!79(LI_%paMnWi8Ds&)Dl^-jJ7$ zXDy#U%y2q;d*`}SbNam7klj@tS7`SK6|4PIKDT7o;@_5BTgE6-FY;U87jIiXDRMXC zy7T7MM=R5|WUR|L^>(c9!?1pZFBG|$a45WT=*iH;@QUHy(4`^$Y_X0ej!R)fBD=*_ zj{Q4|U3#Pu#uiqG{u(H(UpANP{e36gh5R2{_Jnl~t*RV%$7OHLDdryQk8>qv%zD;6 zb?@s-&Ys?JFrk*u?3(j~lA9b?wrTk?B_oQTE%s^2vnA4#ii9un-F%yv+Wh{3n=@|~ ze{?zZ+N+YU7rq_rz2^8nZbU+bxHI8K=;5#h?DS?0^M&4abPw4XS~lu_WXqU{m;w=s zEg4>yrTTg;%pVCa%?9Hm|7EW?@Kl)V*Cnz6wwIjNN3vv5l4Q8J7c< zeDgeIy%Pc@^*#O#-j99D0>jj=Y>(|5&4Zr*a>Jd~eP8$-?qA*+ukXHb=KKR2YFFQ5 zXK81ee_Z6Q6sDa@txGwd@5J>cI`{A%h@5PeqO$-p6joisTpVA9LbvQy6OKyyY0Q<)bi$N#UctM z`U^cy?o!xVXm-MZxP38oBkw7{dgI@{No)OdVCv@APv11iIFlZpHO6(sjIu8Z=@mBK zaU!%#c>Abj(Kn;J#zqyqRA71Z#mI9JHNxjRezdJn7a0Hfi})(QrT+*n8i6VqpzqYm z8PDO5IclgryK7J0)7<-cdAaj*dS!*>T*;k4j_87CqI*dGCwaeluiEpYIwaJH>z43A z{KEK(g;pi*iSHg#R=MF?lGP@|k-jXW%d2l+y?9>fRfl&EUHjMxsigg0$h*kxF>PZS z$99Tel31?bsmN8K(;O8o$1P{n@lq8yyGv^=V9JhFwpc6J=i1uA6jI&n4q7h z=z2?ctGwSbDredj2w6OL@gDeGXsEBK;$6mZxZbUiODsvvQo-EprNI zmC7!VH9aT7nc+O-fhQwih8kA(ZQJWUm3J&}e%{x)4|48g&Cd$FJ(*oAXMe7mx5Pc& zT%c}tR0?Ysb*8}00!`w##axRT5_ZzoMJ*ke=KI}Q*10sVVcw;@(z(w3OYRVV!+@s0 zk*}%!>=PYh!g@p$jYx=CAHF7hX;`n2?ErV4z-oC(u`*v_t12-9lQD`ClsA zm7*}Wynq4uE04vs)b%DW#)oY==wF#@<+|+l)yC#?oU>nWeB-b? zrrT=RRQn`mR$E#}GMgN&&Qxrcp6p4uK}+X{oXY%cYj$jFrr!-T^jx^ZpW95|Iak>7ep81k|H$W9wS5xw%K)RQ(a$LC z?-ux4YfJQ0KX65#rcK8aY2=cMXy^5>w1UP}^R_mDx#9Zk8R26UPFHrmIb?Lgf9QHg zIQCcSC19p4LN@R&OxJ%J)5#<~V!u|E%yhhZ*^*^(TmH4(vQ=lV zc1}2M`Ol)LE@c#R!M)T^)QfTsSe;cyBfVbWMc^ge)3*Y};P6~4&r?RS#yZk04uUQR zuC0ZzqeUAYa-g4r6{-)?>o)`TYV8x|^>4GE(FJX_F$o#9)vWpe?Sj@-Zw>w-6b`ta z#z?ESM5AaNS|V?9z#Wxo0~$c2~8&sI=UVa$tQSEjQd_q&t#yu_OG zIM}onnyQ>iEhnfiA>w3}I;SlD2^#wBL_?O;EaVdXoer*eq&Cp$A-V|G|z zJkP*VwHR#oc5^zYgg-!LjDNJ{d`3>XJ^XhcBga$hR?;0g z{!DrHg9*G3d)*+TEQp>mU@g9Y>1sL{lxN`5&Vag*nfrHxK&TG>En(q#D}%$6nK zG5Cuol`=1av$4`1uVMH;3WrN&5HS5g&9#z?QjnbQ^qkE84jX2;Hwhq`5%( z6@XiNIXmMlrGEPjv7dV~CEo{v=ieaSu@SjpJD(OysR2qfQ_29N^Z-k{!TvNSsMRQD6P|!g zJ;5{kfiAoSsH-C4(?g3@O%oI_$qon6Lek! zq!tQVwIsO4PVlCE59V_@)+tu=JOOFG!~BUhodlNjB=tK1Ud4h|4B-ijsQV0NwdSIS zBarPJtYH{f%SY6D4am?T=*BTmd(FU`@u8s)e zc`LCB!wwQ(tWsW#PisTDlFic0o0O#&=tE0y0i%?~YI(tJ5KDcY@13qkOxu`L?ivo@M5s zA*GrK8h8u{*Le9UTKzXyPXZ@b9eL*m*OFeRZPvp4(hfAb~$Y*wiC6sq?bC3Y_M-d>Jzx< z0plB@uZ3{%%dj^sGd@thgZUEnMI@#woz&XIUNc}&%7-aY?8DLCIKwDSwOwVc>H}op(dUs2|VN8%< z=``w_KkGI$qJY`PNFciV7p(h#c!Jl)9dx7wyxr5#$eB`Cc^`4*C-NzxhhNygat+?6 z0Gjuoe1Qn_6ugMDj0fapDsk;xvyHsSn86MUru4V0o3Ew%@)aeI_@=eAR<^5lG~^3x zvjgl@r}diVKk^{6FuTCW@J01A$LeR{7XL*bZ=Nxh$Ts$1J#O0M7Rqxl=;PSO{Tt%a zP1Gr%U%*D@!gskzYR~$nVd^N_KhEqZ&s1(}>kWk-WDmO}!qlWBa@PZ}VU1LpNu7{( zL-u!L?XGc8iBm=xrIlgIZgZwF8;|shQcN15ry7@e#v|=>c&)Y@$Iz7KXgMC3_p{9` zsec4O%W>fM@YYcgVGr zqBq(A`&U)=wWuU@1bLgKk6~0YmFV&wc>YCVM z2V&RSi69L#4Ab@H|MEZkxC#tk+YCJ_l*{#g$u}gWP+9RB|DJk_)Vx7zAQ9j zUqJ=vIW(N|pcM1B=d!nz&_}Ee&bqhYTO22KCK$u&n7Ay-kx1rDt%|OM>bE=4Wj*P}nat9HQ{)JL1 zll}_|L$wuiP&fD|DwAf*jxssW`-o&Lhz7rq?a=RVl}@K`Aa?i)PRonf6>Y>W>LI-f zm86&HMCK+lh2g*_c%K-AS+gTLsfK>3L1Y8enr4%mv4hVNp>Ynx$w1dagNi0M>QX;* zYuK&aMz%J)&3o6=5>>pz+4hKg>vNp9Irk4YjO)xraZA`STpKQ%{f(OL{@zyJIeZuB zn(m@^QoTuz^hcF~ncj%X;`>xBx{Uf0HBIADvk|G7C8y;VWo>2g%w8x~Po<0LbKsTU z1Emt4yh+uiR+86Hajzv7V&^SEWUB_Ay#RZgf$HDovKP!-`aE5Swo@i*Go_^Rfq9yu z(&z(~Mc#%Ec?awii_w{oB-DbcYp}2mwd7a$6fTzk!p(!i;W5NGJY;KFJ3Gdk?cK(o6wi_4p~f|jnnD?oU0RAx8}KBe zQ=ldykdCAd@w%KTMn&C5*?LBz?jVv2!e@%9LadG(hzXY<+ByVfgnaBLJIEtI=fOa_ z@uZr1PbD#DWou-sQM=AFcBZDxgASE^>J_5XZDckulNozYZ|wWA$S|acg?QdLRDJZ} zNj@IQx%a4Ly@)F6C#bc}LFLLNRBAdvMjr&|iAa`-*L#*zyUABz>XV8wm~d zgXlTP;a{@hP(G{7()=l2DdtP}(M#e%exVJXe*jjw43%OP`+YO=C{>*{QbyXvtdX6P zl`sL!SX9t7!U% zy0?r@L{(iOI@`7Z$srQ}d>spfvjaJSr((MFT$~K0;6=!r6!Q(yWmClW5$2%ga})g1 zNZ}8`&aV|S1ikQ1oGW-y3s{Wm^h@F>u?spRIwOB{N~jC{jclBnM4>xjFz-SQ@(O-B zf0b{F(HVm*(mcL}a7MfaY@08Qf?r$$6j_)24g}eO^aJyHXOe>YwVS*|J)+j3O4)>cFN2sC@5*UAG7tA<<%O=(!c}LCDVN&>8W7 zpDZ{~)tk?s;;INU1)As4Pm_v_WFgc?bNSck!)S>Pl7r}$#q6ATi=sTMT?dE>)P`}Wn zR)AemO+G|#X9Y9b0%A6z7GG(%cpMq0$;fMX;q4~~rO0ah;A^A0_!7Sys^<5Rhv&J| z=qnk8jM^i<8mhOeAaB-9@E7+8EBK4T3{m7GrAmmKFM`K22fcXL#m_=5X(QAtdJr?j z$%xJ7f+hYHBK^_uj#}^{CQ1{b%g_%ywJ+kPdicZ?G6{L4Pf!u4hR!&W+Jt|3$u;n( zcHpcFzW|vc|$XP%I>nIO73c7(gWCv;#R4e{N2IVv`>rVLW&&c0RAi7{zeE@~n zp+H!N5$kS3CgU+Mz#QP-b>QY~f-zVGe6SdT z>JGk*v=HjDH-NutV9uZwCUioNSUXXJcm4qNonc~&f^guiL`1`bkd3(mOwJ(28;&f|NFY3t zT#J~b5_uD`<#xoD>j+<0ocDz}@YE6%(a}n5p{6IZu#UAr|b55t)a$;VDpB1o9xuh!M!gQ~}HD zd{h{8gofO7aL_`*3K2C)ww4|M>6xJk@LAf5OdC)<8H_kt_x~<n zP#y6DLaGDei$uKT8FCTP7uk-37`2DM+u%opV#RY%VlWuXe*ed$N2LTp6q9ie8*v>x z@oQjTMVQIP$O&t){_czQfQ-At4r_{m$Pn+qTinK)4Uv-2OS=m3OCa7+i>QV~EEx%V zt|b)+JiK9ySdKBMiwwX$#1du5HO<4k%|d0U16|0crF5*X6lp!iWe?^e1v#d^V5g`B zPpd|*VkPEdIN1_-eJrf+Fx1bNAv2vrW`n6V6Imap)Dyk!ZzK!x3{OuXa@b2&W34P<%oA0Ad30~R)f#5oX+G&e02ldV#&m5 z#94=>E~EipHO481FIf%oaeJ)%^Ef%!N4Q0>&cORX(fI$J)?hQlck2G%Lb@PB@DeP& zHLyxkVY^^Z2MRk+_Jb!MM1Di``wMnk6P@;H$YD%`D(`ZvB%??IgPoLmk%y(`B3M{q z<=H?F@8Ek50ht97DPmP*Mv}nA+z_)c8htWEPlpXZh>)7lOnRd z$hIOUF`C#6FXn{RgQX2~e-5V_S8?7^8|=o_aotR0)<1xMwGd|#98Qz-aW2-B@CTeoxidwddWi-Z+yxMKXe6=V?}nlD)I&munVlhELQ9ciG)q;h@bSt3G55eDk(`F?6n5`-eYM9 zs*@WM6C|S;Ni73c_XKh$zON;JAwyQ590(5m&RApH;KL^%v$7g_u!_Fjov_dsVq+;D zjKA}6m&ZWUx44OZ`Dj?s}0_$Y1)E&8|;jo9rU^Kpo*?W#T zOvLDb+ZERK3=Ga!z|8#}Z&<?Y(N0t0z65rL8Kh_^U{EaxnsMKiP@ z{)X3|3*WsN>thN=bPSL}9q3~ziMvoCX$j2Zz&k+S0RCe%&}0d8AnF6jsL7tV`+lsz z&Df)TkZC^;Ei5JZ8oTov)E2~(2gqqyk@d+6mY#}pV7HVCG@(Ww`~XpfBoJL~LH2P3 z84IkjSh|jLv5!z!njn?pTuVzV#rW=p#r?z#Kf%dP4n{spx`%A!VCghC?~{-LGvkDz zlXL?ow{u`|&B3n!2KF%WZ!n+P6uIC>+r5VSRvJk$?&@M2o;u$w+13Xxq} zMV3hS5HUOP_Mt#ddc2<&Zz~|a0QVrqa0VhQ1H6S5=R7SC!v|oVj{vz%!HgY)C4H7Q zA>$PfwEh8FM9siukt%M-`iR5~j=|_E2%JO1<3iyVd(#|Xi?u)`{jdTnNpr+AILk>! ze#8LW?<<`WUt^E80sCLU?}j7FIsuD(iP7v2e)t_&Clq$1JgkkO$SStL6YoG~;S{oz z2QgdK;eR3!os|MT^hb_%4^aD7d?jG@cyX09Xd2kCdlkZpc1y3|v(u1;Ev~?sz!ZOj zwZ13LU%O%VuT3g|?^57r(y&5Yn28oxac?kl96V)PSj~B$+b4)Y82m%T&2NoQKuL=C_J|u*i!uKfb3GQB{3x7aG{f`1kUqhqAA=_` zV$Wmn)KDh?>NQF`fL$8{=O^Q*6?@Wg=5r2tyh>Gs|7El;!fYI*(zcUl(61#xm zE4b(DzzY2kSA4{H1Gk7C>=(^}=|AH%;h=OCkqw< zCY-WmLzBUU>-B{$7*0#TRCpC{UyQ8&Y52M=*q@dVA;4W4XfgJbYLJJqj<(?BF(3Z) z8TOwPylVrzzYcG1!uxe3nvyk;wQmJhxEi=hEUafe;?G&QY6oaE2+038CNCgf8Bb0F zuDnGo6oY}LBzVMY(AlftiE59ts8rY!i!9w&Sn5!qw`aERQ%&yHcY@c>gUfI3@!tmDgA z$2qXDV$=+r!OpG2s13r-TfwqE7o(Vi>`e>karDEpJcqye2`kQp-TDzDp&@h!|DGoO zf(?F!{@G3JC9}y3u$bS_qwv9dTY<*L;k(=6f4W2A;|H!~$J|y2!l+=6et^BdGOW5M zJi$inH>vo!0_UD8R5j$|?5Zo~B^s+L1NRw(xvyZ49f19wf)5@9Oj;Fj+&9Dw%Yo`H z;gsMS*2R0QaW#-m8h+jj@oFh7bps+>0wdCgOvP!Y8JK(yMyDz{3|^p=pmEw&0!!tk zfykg(Fn4<8AU<(6a>oM@W`w_WK04n|iKQUr_>cAU(#A)g`C`LTS_^gEA zt%B$70q@%%JLhfqspgog;a~-rhB>VGx*;Ok&al&Vu(Gy@rWhcSS70^!{C{?)iW7Qx zc$}m1K&0njA!mv8c(YnSYaQ|R3jB-5`AZ?r69nLa=Xjec@Olj}KY@719T>MvoPoIE zd*U$57vVoJN*I}M@Dm#_zxCk3_aI7Jhu_|V9Q1V{NbtKMLR$`f!7-TG*I0FF7~?(g zS+B8{#{kFXV{`;@C9bvuJ~A3>wk^>P*INW%^a=Ry0Z`e0_)`lbF%Q;TpInTXradhA zC9ZN7>-92xWrgBsrZf&+)A_Ki?NT_da~G?4I=tjbd=E-ou#KlcvOn-ioFQQ}1|Y@> z#n-oSwlWwBF}`?%88|!DLd)y~&P7||C+F~+EwFkspo#J)_O(WMn}t9`OK|qp07zsT zX0-q_F%o|C8g|=2yiYjB2zsVs^NJh+o_r-f^#F6S48FcXp?Hn>6dpbvUUUZ7NtVGk zC*paxL9OZoM)M8o*`C2aC*l079LO#f?*kS*$rrYliP&u$+;!Tn<*N>zi_^Te+nWk0vc0`<;q*PcQgH!Y# z(i=om75V}7uqvGYx5j<2Kd9T{XHW5&8i*Rx@cqN60NF}r!LMzBRqjT1;V#y4Tg>@z zJmqYx!vL}noOV~?@z3Dx{Sp087_UsRLLuUBe5Wt$vKiiHB%Wvp?EM&GzidQIiwR{lr$ z%X}cHSp4oL#HYJ(?UvY|dcraPq)Ic=~T z48>^tix?&zbG01%^)g~CY(Eg=nFSBF44xP90leo?=;b8>*K~yq%!FU?0);+6-X{te z?=&nWtD=qqzA_02za{2pJYt6dh^`W0CtIMRn})Gjjwh_(iwDCX{!bzf9)Wuez>Y8g zh-5V0NJ}2Xtn@-n7Y{Vm40nmeIxdC{wu1Ky1ZMk)y`urfvA!f?Zhj-Ks6)sRuRO)- z$^vTthTL}o_Uvs~tFv+C-}t`_anoMJl-;3G^a?932^BGmfyTeUm)*l^I)mKdUPKxd zYM8Oo5sdFrsT0)GY{=e|cn$)6*Wd+5y=wvHsDd@LCH7+rqLSLk4o6}&77(l8vCc`) z@HS_WW4(j(d>hU-W@4r9#|+PsLP-)Hq$@ns9<11kXzd&9&Hy&=p0MsaKnpbp4Xo@Y z&L}HkjYj&^SYF5;8DN$pl;(CkpU~ zr*WcnMueg(tZo@mfLvNPSd_PhJuyBF3u)Tm1`YBwt#DYVN<`uVKHy0zgCftw`j6 z{>8kU#GPtl&&)s`a)p#ZO@cb{5mf6>B?cl+x{4gc>HqKhx5b4R)9d0uylrh@_%P&n z766?bKmr*|56=EcLK%9)o zU@3f@9N2LUR>UNXzgg@>)FVHM{SXP&kql%5po;Ir5-|-~cQ4|XbYuqxBNlYRZbswG zt3ng&16Jj8po#CueLO&InGU;e5^$mqRG`(^jSj+h?tu?Fjd;{4j)B77Eu0{9z{<7Z zo-473kHtXq@+j@VPD1B>(6eflDL*oY`fc)TvhQe3w!c*SB8cV|o&2QAw-2)14gdIjp>aY_9 z02Rjp4UB+yYXR$g22YWSr)`ZrYbLxd5B&5NpZkS<{~*R_5%z{!u=26+Ts82yb?{X$ zP?-YGNSucJ3+q@eJ%q)z#2r>3XWk35zZa{mJ#dQ?mgPkDV>xPzZea~=z^*?^`iGbZ z3v^)irek)0V6EN3y>fx^j!AQoIcW+{osN|}2tHr|JV-^JD+QzZ68p<+*mNRxh0dsm z%s@TrOXN!CA_8lMC+~za&jpxuCE1p!ge&&Jy?+r`#E(E8Y1ngiz-x5I{re$m8UpOK z6dAgTddNnIL9gKqrU2(jY_|9*{CSQquWPQx( zV$|9KoujVu3TEUYFl;y~7xTdT(-VK|;ElUr_JP4s4PS^mFGa=O6Pzk-L$<&m7GU3H zh2G*_RL~C+b_hlMXlQhw0oUR=o))L$6upo?j#`Oq;juUmzHvJ_0o4jFoOP#zBd#Or zaq0m7K@}dOcn0r(7PT}daq`;(RUik@ukjb@!hV>C=Z!)I<3+??F5&<=j+%tN952q& zlTa~mlg^?7>0IgvrJ#l*+HMH{SCd$Yogo+bbtf!;F4%ZS!bgn<-(!+6gbU`{f@#j> z?ZB>Jr*c=pbmd^DvNhO)UOzAA8RF^Sx#hX$ea3F$zhdp#>ErV2idL$J;GfD+Y>|zm zBgrXJlsHa!h~Au@l9zZ)b!DD24`mkFBc?gCn(j;WBl`lC=7Ptwl~^Lgg0-bOczAnZ zFBy!Qycx`YvK;vpu+GIP&dU49b7_tywKQ z&)eHO0^A^V*gqD-GXbc>$XenR=GjR=Js=CIs)titnbWx`SbF4%K>|oCsb_6+*VcfO$_)H zxH#x#K$u@E^=0`iGDpbd!nrs6TxkHUQ|$Gbtu4|8_>RyX@wqM+p$=Y;o$9{sEOuOU z>~cPJ_3`9-KXEODTR?XU$#2wsS|%GN4^li;Gy+RlQ&f0$1B=#knNyY_`yd-HI|F2y@FSdR*Uo_P&+gBEDK53uNP9irb z59lTY+zXx^vLRUTU!r@f>dO3w6OY}fl?!E36|2>?bOU`K`S#OoQLj^2s1af(_LEEP zoaNZ;80oy``q#Y+tWF!)MO-F=+DbsxU8uM8Y?+V3AKZh_mCKbk6~h%f6#0sM%F|## zOHo{xCo&VL5a~0w#6vqD+L~KWSz@d;Z5`~_?1_%<_E_5oi=q6GX}@WSd6R8{$46={ zTdr>BcgWvAV5MHvrl@Ypo|9QX|38U-j7|AjYtokl`_RLMBCvy`p*MD) zMj}ZonLnRx5dMu`eY_r^~xU4*{s-zyI>7`w--K^>1 z6RfC2e-K}=sV>%DW*uXlZB?Q#_?)X5xc^?e4?CCGRA!5@LFtCl0cE@;#5;oAt9K+po2*nav0q)w9j)yQ-guFd zb+z<-_xO2}ygx9KwfM8BOF0amy@g~@HPad0iZf-O<=>Q@)oNX=U$Fls{TJU%^E z;yoMgnrTb5tTq2_scviJ*yuX$4)^4^3LTTIJ55~;txKwvJTerS_qZ~oM8zC!cz{n3 z8>kB~`bs|E6e`(5`cGz${Dx|=w!i+Be@OtVui~pvcabZplR_7^mwTV{q{E6%Lxa7* zF$LUeZdbnB;Tgou5Qe}@Ob2e(pswPvC`zH!Qkg*&t_{_%3#b+}HSo1QMEgcQfXMfL zcP86TTLW#2?5nVz+kpL21TRIfZFhO3F|MRrQIDd}B@4^*9ZGS&e744+uO2ucFfky* z?|?>9&X89Ica%;(M)gfIK))uST~JA&pZ`JWy(=XB8 zQ5DF$gE2W!K3~;IH!0w9NLpyK(5HbJIx|SEHNqZuJNrgUlKHkd!+Oa+$~D+~khQSm zSRTA-^H{Uj#=c`+tb)(t)1=Sz7-cPOrv6JndT`%hYv3&Z54usRn#?uP>22aZ?o4pK za-Da#_k4BDbq3fsTYi`d3?ZePi|hrf3kDRlDAF2>t@l_f(@l3SU`1&Cu+PE!{Pn)H zPbz$xLUu>#)-DeC7CJU6Ich?i-SBt%sx*6)U74}OPktPG7#wi1 z?o-Z(_A<+>@|tC@486e2G`V;{(agfx1w_G`LZ)Q8xrt{c^-k9=xLri`s5Rk+;IsM% zn%TS3shT9c_6&1uvTQ4VZYnM7Z7M5&W*KE`lm#nUfajNP4LUGW#J>jpM`u4+@+u5`;V4YX_SlUO;QwJ z$MevsvqxG|O^*y)O8+UDSF)jG3gj$D6#mX{l7BrfJU_kYh;h1OI&oa{PuSy1n=9|G z{3WVKNQr+_9i?%qujo4J)gk`j>WE?C`rw9sJr#Osuv=+4SvI*erMPC%y22&JQHBTQ zudQ`m@t!N*?w)k_3apul><96VthHvfzJ189@b8hYW3r><5hFsk2es3e`u5WOt3IJJ z$r=&{?^b6s%gwTN#)-va3VRilDPkX7e)KZXF6ti;`kVTH?QZ^;M?6OZjm3;jtGs7POcnREv{O}szq_Vqf$es2Nvq` z)tgmoS*OmI!eUQ*SA-*10bxfry zVHp8_+OCRo)EH#8o`|RUME6-MRr)?}c6R$;Nm)0)&-vE>d()g{#VgC2Snoqf>y_oK zxr(`s>2aCW^xnQ)8X0`APGYC}iJC68n!T>lBB+<&D#b%GQcy_WWfy!q{h{zf*t>S`8-Hn$n{Sm7cT`W*p*~T{TCyGVe4O;nq)w~s!I!GmZZf2G zSjz|X9#yu7HrB(Z(#`qlo<`owoG*Gjc6;Aje->wDpZ-4K+qfSifBgGH%qlL}Y>_-o z`H!r_EqDEEujANk*)T%)(6#4@hWBEP8nyfjRh1(gCPx7grT!~u zo&GOHW!A^krGWks@#XEiMel@n_D^CCX<8r^s#p1U)IU}KQjC=y1#|s=a*ynwu1;id zt=dgjwM=Q`tsWnqtxjcH^1mE2Z3k?R9X+Ip%pFQiT(inbG+F(>yS}yiA?95E9bf#> z+>Yx?HiRnqM%P=%7u!e2XImfZaO+Y>CyCI#sY>oYP=Zs$+xLY3oe5B|QAP*fAbWHid{nB{8AUp4$oPghBb8qHfGM=_gSc7tn5p53A&Y~QK_h~W`9Ag$6+dv6bxCO3vi3$sJNKvNXT!lcl94xBPh7$g(G8i;XjlwM`?f362r2DCay!FVA|R zvHYNRS;*n|zv{MWFs|yvz!j>^@_w3z`nCEqzNwlgniSPI*>|qGHQ8V+DlcLSwD}8j zzvS;LO}A9C_k+aQX3r6KAMi`B;g2$hHA%sJ!=6WIBF99xiB?29!?YpM!P^2}`2ML@ ztJbJ`D>G$Zh=bx3uD5f7d3sSq!HB&1Mg5Iq%DHl5S(M>nNv7dQ+3T{yWf|p1tp`#1 za?5qsJ(1IsJCwz`A7Sq55%rTBWX4DN2dc-ZCh2PWFZ9jS)K_2Bj8(RvTey{`c}2d3 zb&K=z3iD3ojx1beoMQ>KTYy}8dYXD1?m6B#;*n~t|Ix6Mkqe?GMh3*}iL4b~H_RHQ z3Xc!|?fb&Vsd}xHE1uA&B`1H`yUxDdpe^_>FEuZ#XqK_GEZTUbgeuM}dTMx9mSZxO z@33kdajr;rmTROZjc-9eQr7WxMyJ<4+~|11q}YDC0m}BObAEOFulg>~?oiLyq$v=M zI{F(%7IrBV^4sQ){GFRe6<0R3w(hYta9W*qFxaki`g?+@R875*$&p7RT#+e}&7J@1IYc2XwQBWz)g3y3ALjkx{$$%@dRTn9ux{bA(gH)4 z(OOcoAf@1sqKReo%UfA4Sk{1{^u0UVeZkqr6VLCLl4OIGzjgB}^-fsWG^ZXFvRe@( zyQ*BRCj-9g&S*RMruh0O->?y;9fd9OqKoDfUe3?Re^RV8JphACm^m5OoNl9R!`)r^ z2a1ROhsK3z7u-3dax&5ndO5G zwTd596}G3pHoazFNoZb zFt1ry!pVrA@>|SXReC^!z-s!hx^?;>ze~zLxd~-^3dsDE#fDOMk-P9r>AtelW`p@r zS)DS$)W&+vzTMS9>Z;hP9~O2c>`@3A^uj+jV1T}xe^JP*=!=!d#TbLn_*t|KRd;3J zJ>VLPccn^PWBWm4o8q`)SBY4%rZ6bamAxzfZs}i^_0~P6&t>7|^XyvJU#>RJX70X1 zBWjIoC(~25*|#h{vq_KU9cu3JeNHLm)3nb5Rt1LXpZS{o;<^ki?a6c|gZ4@~$GMV@c<<#!ilT)D%G|+udx~n8U$>k$RWjz5G%8QF z(_l30vs6wQy94g z=48Xz(qvPC=~T(llIezVpZPkCS;hy?JJ7Zc(aXjNxr@YF_2s_XWod zv?Zhbda12&Oi2UtWM`_2aoux9d$q{_u93EY54)ATcfi~l6C0TmHpSmmXHXsKV2v`E z3SJeoL*G;1L~SK>j-!UG61sGRIn8*#>_yp9Q#C7P3NRio2`SrX>S=vzKkVKpg(}bc zUGaUSc_8zU&*U0yX5h!*L6LvO@s%IMo()Xayp^XY5>(YxYK=)ZQ@2+Z*?vpwxi@;VN~ffb2n#>Q|W5uF7Wtp4o`D; zxuczHgO}x-GwuApSFO~bTm4B6xgB^C9Eg8JP|2Yz@zmQ4V;XJ{`@qY`4$jg3tRnOEVYs~QL;vVb_q;~}!kJ}xa z67CIF1{SNH2u-b@tyMfb$(QnN(jc~(bFVGKGS^hO_)}qK>EQAqHie_Py~xv&Z!e7Z zw6M9nhte4ag5D3F;md7h{Rc_cIc$J=@)8irK{Kv)Z&6#$8+uO1ZCC3cyOxMlb${UrfDc|OJ?&-)K_qO*8 z3E$PXbW0uK4LQ#B% z+21y=tg!G{L1RNk`84b6@~@V2_RF3EPaTKRJketJE|N{v?9yfE=KGfGzxu}n7=kW_ zB!y@~I|kkl=@)Z0wqInLUp7_5znAvQx*&(P$&?4AwTizId6rRt|FKKHEu+B2Q zFI5zOG0ZowD_>alr=f58VaF-g->yr}5a$o~4KAAOp*tJXtlHGdetM5r@E&DqgauZQ ztg<&^mcK(Ms5>e%i6C^j?3evg_>uz!6RY$#bZsj4FKSvCZ_KnDwa*5_*i0+s+~U?* zwI;KnsSSKBJ~g#xRXtQ`K6AArbkB7+_3eTKB6A~8MEn&sBT5~$C@@FmVnXGcwQ+ur zG%@r|T=Ng-K>L>R{E`br9z#8=&DPs8yYyMXT!@|yHBQ0`SXbWNy3rZyYG_lH?=;yh ze>g_Ebo>}at;qE?uT)J58qL+Tg%kJvORJo#(WFXzRKw7%f$uc0=?kKkZl~n6pL|TR zkHiIjvFD|^tZ?z~{e@X&XKnTEfwt#Xg+0ag%~&a~UY@PA&>Jit<5Sy5A1t3<;KA zPG9>_gFGkf*OTnfg3O|O#XiOnmgSBbj$(|_Q{&_^hjo+Vjyu*nNVufv8n~sJwpPE` zTup1&Irj!-!`NjBm+ECysS#AD-6(H>dZ{^NwlYT-rq7d);G4QefoXSN>68Lffun4+ zbC!3H`@Z?OX-;{r=|t)I;>E_Vj$iaAtxOxKn1I@!#&m?@r^=yo1sxAp#9oSi5|`78?=61OaBp|&YM22u7J=UZo??X9($?Wt>wi?L=Gd9uFz9GVwa`cL@^ z^JuHbKHfFd-pahiblH5(5$ip}wdHoAL-o6`ojvY(EXL^Um8VqGNAH)7vZk>geVW8w zsF56H^t&XFP_@u3RlkxykPVU#R;4R<5oh=z&`R>Uk6OQ%Pc@Hpc$`ffqs`4tZ!GKW zQ|yfCUTK!G%+a4{E2~b|kiPLXsP~E(pComrW{+>afA63>K~KUygwK!qGbBn?OEyAL zJFp=9cF<@|wzO2(AP$pGvooB#tXBIX&lJ}`);@)k^CuPRN>`h%mc2JtH+8div$e1- zGG8xiW1Z$Y!Fz?l{4(JcHy^#k8^LJbNLWcNQrC*fuLhpRpekN(`5bQ@-GTT4)xsiY z=+cxgRbLf_L`6sV5_+k2jkdA8s`$Z^;z@A!EzdXfHp|`Dp_`}ka?tHK=>4+tg`t)aGcZ89&2Dmpm<^O9xqO);E^j<-V2(M}(u7ZLj&EdA{=^yAbcN zhtu=Vp{sRWSnvJhPUfbAg(6AVButbShd+xaVi@f+b71L4&kNtiHBQG@3F)uMA=9Wh zLM=Ap`%+7MD1A?@l3Kt=d)KpFU18QQmPpq;iJ)ePLt(}Kjx^Usm&?qXSGl^9i`CDR z^@%7?rrXQ^Lw#q`mHjoZG^FoVbv<>qx<3B2Vo*m!RnxtX=o|W3-OMAm^l`tDegTiK z;Cwud`SwI9Ti5=hq_p&*Wvb^V7liX9t8I+C#1r7!XM170%a-84RWrmQC zc!j4uamuH4zz|I`{Z+Uq_>lGaG~gY(Te1rg|5V!Yy$3bXWI$bhmSvT@O9AI9^K8nF5dKJVNK<#NT&JbC?woZz6W- z77zzKqqrKvGqxv}NvL`SwB6g`^qHNBVF zIK+y*wQA)jaS)VQc6i5#yXiyBPq_!$5C_R-#1-gp?qSFR3&<_IM!ov zcJ^ekOFaL&n|da3HBetmaY3$D&K_>g{l@zn8b(>JB2T%isq=v&+Ofz5O&J9p@G0n` z`nCJMVUVevI3Tc3RDSRal^H!Ai=d0Rh~6mQ?bAW`Lbn@db4uAHx;lBAj}xNFgUa6O zyQ-NqBL)gv&`mzplZbt90GQRO|p5+UTGNWxvkV(=D8HX zmU-(_M)h6YFa^V%w8y#!OG{-F=^*%+f5?AjhiMa+lV9WUL+S9Q?oy#@L>mAQM;N9qU7Ng93&PB;IA{@Ma`g-sKeNX1}|>F>P> zg{sc(MXvR(MVN~#&O@GMOt|)%Z&i7&HK8Qcu#{WpcOkSO=!VKAma?ljXq?LGt84nc z(8v0I@EN7N30;|`)HpJQIi?8E25YQ}=G0W7r&vdvE(~WAyg#{aRJej?B=N92$9}^x z(UUCnBpWhy=p<+sH%xY`d1XR?`qpr!u zPyym#_YwDQ?voTwuLDYW3MI_z+!t>=bWK+APlV~{+P%f^0yp9^A%+{on}k}#N2)5_ zg87O1A-A-WT8qx}3~+Faq&HK;!OropqFPV*3I2&)Y)!B^4EHe3-u4jtD0>Xf8k?~L znIDQjP3HBz=n z&Ztgl8u*UYw)W{F+lUIAWGP$tmknbX!4G`jo1~fER`%uAQd@!ZtS6G6D@+vTawg9a zb|M!cn7K2a>z+k?P5C#UnW`(uW@UR;3fGub@*LSh#!WYqAD4ZjQp9!aJRUV?w2{_O zDX7qj6C5}zy2rlZ*Ahvn6F|ou)J8V3i982}#@5}~meY?o}k&lU%luXgfr;^U#XVyRW<8%i!JyknZO_YhM_sFpv@Hwa~ zkf$*B!AAI0oXWR>y3BsIfq0a3pf2^Pdz#~v-R>%73x)CM7*hy=TwiXx7>Wv4AO5T7 zg|{*JL%CMvWUh0eo*mwM(os5q@*_rz6Uh}&_41Qg@S^zeD~bNl=Y4@bxn%VHdielu zCD&I-m-f&*_%0sd?m~UAqj!cU&K&~fx(N3n@VVNpZLM=GEv<9iEu|D_#7rUX zxp+&OWds+jc%&JtTdS%of5^z0T-h4MMrC#NNZnpPh2Eg8rwLIzl*vj#K2*_6nWP-3 zx~_U7ugV-I_6Tjb)1LL-Ail4ZOx}`Wxb-d{`xV>24u{*zZiJR&D!+$a%tmr{sIb*{ zJKTeLmTD|l%i9x6xUuXHJ|3I`0TfSOpd%IW%5E~m8}P=*iQ9CTOef0)@5CtT9x+Tf z$+qYI6gm>?sqf%F`5+DDM?wGW8??*DN%iPGjGC^AKGJfmD1TxHtZEL^NLDWYC||*J zruU#Le;LV9x1bDaq%+a2wMz)%w}Z!}FM9?`e-}J|yQjIvILeTbx@>D;n`2em8rf%g zS5cp#3$&WwZ#SCP*-NDi^#%PUzfJ15@;_usu+wx?HBnF2F?yT-ZvVBqVLp!(^W-g{ z#IqfGlP_iYP|@l_B}n@@ulu9(n^tkBwZ^4xZ19{u4S%Z=NP-Mt($e6wYAk{ zDYOo->Q3!M<>N1|6sis1g@36_%qeCEMye{hh-9eROcj>$ZE!|jlO9HoAkAVS zxMmxIkMeyuLy_-<+^oCl9p5h+h8rw;$W%XnU%n|6K zj-qquInZ^h2jAnQ>e1uq&tTqZ4Q`k_U<$h_M1Yazr*K8=02b)OYz>@~j`O_r=)rUR z3|g3#JXJElkAG+%Mxc6=ucW$SRiT{k z2WAi+b>**76B{AkfgaNczACtG-=QDrE~?@Bh+1$0Z4eEpUi=1*i8!G#_P;Br>T5tO z0%z$Va3O^Wi=oE)x6ls#TZ>SyI#t+(aeFU}fi`oRSPhkUO;H<5p-Qs}s@9&v@_s=n zHR;vPowyKU)Nlos4LHK=V+{rU%N3l`MPMWS*p z44r+eMF)1m*5JH(#lHqCk(}Sh)rQLIFz!276>ZIt;tnt&e}H1Do=00A*eVf-Z+eZF6taVpeG3Z+j#Q&6hTdb7o31G z`~ZfA(d04ITlPSg^b%CFR#f>`a58U1Wn?sZXp5z~Ox=7RWL7f1Y_t(^oX$NA}EEjCsa7V+0-7Ks}`v(83tXv*F--t zl0VO_6vn(CYB1}Ahi4TjpLLG1xYH|rMQL<1<9|aDek61AqsNvKt{Cf^~fg+$O z-j`{>?4VCm$H4lu02T5d$d8z@qo^nS0iRM)zg!3Xvb|8XpMWahG<3uaM4j^#j8Yy{ zK%ucF7{JWkN_-2SwgQ|;&B2A#6)a^N`72oe)$qJEcoWBSA^b1y1=kOrBAAnbv&_hT zXUo9_ww{ldGN~EzCd$t8{p3`>5gR5d@b0|w?a?(uIvtCxf1zM zxmH%h43`~+PWTh*g!CDaN?$%%Y>m#@@5pt(7nFQYWcd1c7JFN9abVba0L!{1i~={; zUc{xzf(+e0b1?t!glA$Uay9I8AE}i767C99(CO9~RkLlWnqZSEM2FQTD4mWF;}Jdn zM8#}5y1EviN_sOmKk7mWb-t)XhcAuZw}yn3tVO3%b;!BWEyVR4k&XyyATu2^9m0I4 z4CGnt1DmLUVB0!}9qJZ2pPUP}niABGq=6y60Ls!A@N~#m8Dj}V)9qq)sw zqVlsQMtfS_O|?`pR9?t*1Upq+D7C#Bt&A^cJ{tpcY&WDghHctY@6}A8#|30;6FaPbJS!&mU}cekFMMYhv}R!k@KZ z?z#b`_Zwi&A1vGy{*fGXq3W_O!taNAF+&MGynERPqMK=|s;#kVRNATPFG>$ni98Q~ zab9dpd?8z6x9kCqytZH@t4Wp$9BYHZ_94$*&mnI$ZnL{{05mt$#$OgZk3`u}@Z~-+Py*V6sxmtjy;VHF|>8(icsjty% z?5drps7j=+lMA76J&PVL<8ZcgOWuNMMs^Uta}8LBr=DkoC(?U>oyAE|P;bSCc#lET zGt5Q1TuzmHhX+-p{5$a{x;uBH8)YU&zCA|1I=EMRk@rX!xNSLfn=hAM3kP^7H(ppw zE|a%bztJwy^ic*6X7x8)M=>TL4Wf$?5a)v zzane$1GpBPk?(*GqA%0}ME5JfEVrGW3q8)e^k2{eKO!F|e~-#-9q^|PilZEmhMxXJ zJ;nY~Bn|^+Ww`{fH1Io`i3c-5N0XXFp`GtIfJzoO<+c5N@KjoJ|Z`s9O;+qk6Cc?+7p5~J)drf>5Zt@@}aU=#*KMRhMu+#`VyzXX?U4#Nc|^e3hnrT@QRbPgFuj1i}Sp>me+0_*`Q>KK&-PSyH$~iW4SP%)c^B-E^T2F6 z069DxFw!k43kWwus41ktI#+SyxsPmPC~icXxMt4ZP}AuidTJYuB~AyIX7#MNkQ) zI|i6IXTIOz{T?1gVdl)pG{g(!OyeK@H{UAy_WIjm^EaYrUkaQXSJynjB`@`4Z z$j}Q3MmLc_Lt@T7bXTeI0JqXH9H7buGp?p+WlBT_{c4Nxv;*l$+eG})iHPO|GaDX& zw4`7}gy7GAfub+L``QSuLWC-o9`BoYLVxlb1=m1DAM4+$i}ZJ`zz>({J!=Na2{oHw z!2L~cfJGh;rv3@6${{d88{#|ujmI1(Ux6pL(7m{u7-1BVMQyyiAghY_=aqa%Hi26s zgAJ$!Q|$#f@SVT|Z!Q1H+P=v(i7d8&LGgmF9*_d?eJ2t%gzjz@Om3W`ckscRlIE~KvP-xN?AKy=Phsv04Xu8UDvF+q! zo6{}sL&xY!I=au&{XH2Dnaw+o^OQG4236>Nt;qR(q?7s(an2XkHxAT?!F26TQu+z` ze+r#uN^H=dez@s8Sxa;}krk$bOr?kW4-#=G$W^!L^14dTU?g2&zrj}=1^Q%X@Ztvv zK0;g2B}?e>Jx6R3N!MZom?h7^xPJs4+R01K*k0OGH=a@wEaU-x-PS2*u;E=r8HeE1*+Z#R(S@L+E&&FVf<{XDUc^ zbSc8|D~XJEgVyB>&Ex17cL4py8-5bUMr?5^eS3wIkq`NGQLUXSAU2tR|U&=AhdRB@XEW*Q7wHvv83G zebk3ew!Qo>g&w_dGHjda;yw%d(NQ$kSNN(r(&|VD<|HIvEp&&X&579W62o5tt6?d; ztZ?Hh=>0d}m5$gXR_q6ze9;p3q00lZ56U!>p~#Uv)F@8`9s)-)kpqruR^Ylc6#KM8fS*(D!cVLfGpiCJ)iH>_ZGo$Dq zy-w8Dk$+ESw{z$uy$!laT{6o%vroIH@aqJP2;CPiJ!&s|C$~ zQ;VU?(}NDu0D7T&(Nn2()LY18H9%@3)A{BTCnogwBYD{(V4LXh!}l3AvuaxrCtiR6O->cwjGd zPNfUBCK46Sa}JV;xCtUbJ5@F4aucrIj{JL)mHHD*0Sy%G#{au8hr~o@t1`)Ci`6JE zg)d)nw!hF1Uy-vXbYd&BXxh;o2~G`&5IcC+6?$&R(?2{#!5XAT`55adKpF$->W(6} zatEA=Fyd(iljSMzYXME>(%)Y|W}`klXW%!#IH8JQEL?^ISEF?n@Gc8KQMBYQPTaur znt}$^9#oFoXq16y9}l#(6^|enee?n(z}4_{19Z0F>sSjCA>hxblm@pjSOx`8Z>Ei(3!{hmR3PxC#A={cYPfH3d`K7NL@AK@Hku&3wn zjKpb7X0P7x+9dcyT1Xbgk#{%Y@198YU3jhuQyq@;jO$omtK11+E=OJsFK@!~oWtf+u`WW7 zRJPhOTf-LI;+>#4$IFM%FH@nQFUUHtk=_f|rEsl3dtU*c7lN}0oG7-o8$QiigyXXwNR7%No$7 zUBK5FiEPBvfqxb(3lKxt?IM`vH2~d-DF}^yH34R@##hoB%HzVI}){`UmJ6hPF}ARIZ}!DfMG(2QVsD#DC36RQWsqtO&r5<=T;Pyq^sWz}cV6l6 z{sQ91U2rc9NaTJH3tC}c)(|xw$J6S}Dwm>@{h@I_lT)0~gr}g}JMI~m!7;1g@Ivx@urnU?v@1xR_E^s?NY7Mqi2FFP z%EA}ycRisWdgnUpNk?z*P{nbgkI+b6IooM)_lvym1dWa~Jf_q@#bLDhSQq z4olO9^)5#)c7R?n1lx8JZmNwWC>`57z>9r}-_aMoR3^YRMR#n&>YcbOHZNj0{pD$=%Mj7_|N4Y&oyRS`PDkF^L$Q8O&y z1kPSTymmw9Nj$p^nz1`S)52E)Jdv3(SmB1CGJWLfnP@5_(*1<~{zGICS3hwbg)MS4A3nhE;29s7G&YX^_rePA=lQ8%zJwAL zc!9idocxI^*wiIVz3R_y>Y}qQfOxPQ>8*ym7vuR{fG!SrcrCC_O~JTq&t$s$;EgIX z*+6DP?nBvMm})5&BUzpYHHV_}SAzn$mvt@2nzjO`AREe^<)?bpBu@7(E3LyhRAjX- ztfdb2HWIDr#Gj||O+HwD?~se(=#E;f{UF#YOR-k7kcg3>Wkg_KAMn#rXo2-ms6J=> zl2h#r-}XXhH{_J!(FqMeI^4?155?PD4H`fp>!^zN(~4b{#qK=EuH9p`J+OM4kc}9$ zdo$MDqBJgwgg=y-QIVy(>fFW`eyJo5N`H_CnmDf z%GPSoU^lXO6)cxJXzt;lJB=iBe+2Da0V)0)F5SZoN(=V3tCZ`4c={C1JQSb&7MS&@yFNe>fh7f@z4>t(zq7|B=O zT@POGif^u9DnCH$w#JI)!4KdevC>uSzoF_7Pkev{%EQN7iWOOmCpirb=?DsIFDQ8i zNs2~}kK$u0zcp}%PryM+;B(t!wd}Db-f&KRwC^b^h;iU1HRIC)@!l@N$=|W6Hdv4& zplUoJ;tm1j&67`Gf+pxqtlS2VVmfs1gO*kzJ3qAgemHh5I^6-Dn9t6RbI!TghL6a{ zNi@$=P^&9}IeU^1sS=@T0XH7u=C*}Wy0WIp6-prO(#$4 zM%48mv4xgbSBD-m;28(>?R_+7B=KnJziUR&=I|%%2Bk832#}! z8}vdt8lyq(u(tP{r2$!(%xm@Bd@bRmnuEQun-!<=&X%m$nKdYWc5}|k7T@wH*rmfk zZ?i`#TX5R9`0WR%`ajS68EZQY)qIiqe(>W+WX>0VvlBk%0wS9!*wKFQ#8C8MF7)rn zlizR>D_N_8VUWvtU*&59*84en=?xOS7fWE`v{Rw$Ii%zfyjKPd{D?JQB8qu}bxh~g zQ`nI*H}C{RO7{sZ7kSjb-UZe0c&b(1O=BLxS#M(;7mBJZQg< zcilvGHjsB=+88{GMu%V5-~;R=s=tj6yIrb{|Abq5!5@mIK8^JP&x_m<-7M(ocrs9D znT}P+zrey24wQPlL-ESecn_1e;IS)E`zcyaiTPSU7Zn`q3x{>YYWcuR@39Ww;mm!U zhA%66fR;H9Ev91wi;>?*=<^-g?dD(4k)hRagFo?1E`R=oH?H!NXzL<&0)`NDY0K|x z5D)&v|HFCL9JpvVr@RSzxPcC|3cmP&{_2jLA3-7y!JP|`nW|9z98t(abnbDyzY)-L zIhx1bl_ z2gD{0MCqNF|NNNfq@^57p6sGr1Fyq|vs0d3jeT_`e)t1D>V=+4LB66n-^T349do!D0E(={f%N zaw6YMUR{H;O(n)xZi<(o8~YM#Dsw>hmPV3)@%I<3Q5ffOlPKUL=!-MaOw8xNR*qr+ z;dl;x`MwF>>47i&T#lgT<3G6tH6!=Qjpjh7x6HE)!ped+1!c2Y%_J!DC;D9R2~4c% zeX0NQ5k6HxIRmz<8v6@I8t39Mnc1_CkdEY~F;Vg++UNorT$!gdiM1+u-i@sHDAZZP zzpvrF9)b7zL6@~qRnh#d_&x)#Fom7p=Hy@UH}lmv?KG&6iKpX=-{M0=`-aF*nGtJZ zFHf-r&(UU=S=~~wQ3-MMQIOAwu^B#M#5X)hL9|NHGNX*F%YcSvG@_6iK`WR?Zh19Ce~xJ2Qfikxao^L4_u@m>J$6JwGq&yD>rF#&{mt# zxXQ%f(d?%?yXt^eUj$b#NB=ZNe~m((=AgB2puMxuL#{#+QkjHzGy|S+;pFt3egT?b z0Q|HG&iMm+UEw`DIfJ!mwm$F}$g9w)HvhJTe=b6&d)U$m?0q3Jrovwez}DJ>x^@u# zyckZ~UYftLWw$0?t;B;%;JK^t)fjSco^Vbe{!Mr2s^Ymfz)n_d9JuL7SsL$sg4A?` zlNvzhKA?Whg8kI9}t!G}gXUPHbjM;$Wtw`U%O%WM_OGN4Vb> z{bGRX)p&w3+jS}yp*J+?2leanIaA4}EXIodg~ba%6TL@M4?+|5C;oE9))_hdy2J|= z;f0Uz@IJh~#q4$-`wIu>^fIrWi;p`M%C5(Am!SYL5MF98p6kV_%*Qiqi>BQJ)s*@w z#gmKR6lRfg@&nb)i6~_lx%x5OAiZK*>_Y0qY^`&tC0hy)>6l^DjvDS*Dz1h?JLYYZ zO-fNsp?O50+VCtK@(&U<8X4IFMQ+0b$~@vIK6y2JokCvpv?>Iuj1}gS^KQu0+9%Mb zC%bxyjaKrNb9n7HGz7SXf{xp+YaqP+;9U>ls!h=9FzB`Q@$W^vk!k4FzT{&1qccXZ zYw?zM<5g>Uzl@fuPb`sx*K`n1sw?tcnK->V z_TU@uyb5i45T#Vc`i(+6cgBlofJ7B>Klq&+j9BW?7BR;vT^>zc+7Iffwo;$j73#!s z(={H+3}nY<=1jI^5^gQ-sNW)Gd-%kfDV z`5FqP?qd7>IGwBT=plAsgnuUS-ks!S`rs{`W7f_i_-Y__lo$JGkmi@zPt|BQr`QLkJrC{%sN3WO1!|-CQimlkl zXEf*gcV3Z>bbaGh$H-#rM%%PU>V`t8)>O7+K%Ki(%Y9@L{V;hx^;sd*x*4P=RG|5B zZ?#L#m-nKhCt}l^Q4{u^4gSZyFpyU42fyrDQ zkgGwwK1g`a$vG3VM8NUOkb;M-qA{F)mTci!Y~Mz*2se?YKcRPHG{i_EAq7$N2t2V8 zu4suKs7-CoR4CP&UA#vpxFDfgc76{1eT*!xf&sn>@9zOWQA0m_p5Y3YOu)|$=eZ&H z8=a8g?yOsdmbL|7aXn8{Zt_y)x!5oP4lR_Ekf?ZB$GzSOxgA_|hN`>m^4U_YQ;r+a z0Ql%Mb#ox{;;SuCR3c@H!iL>}%uws;t_yMwdejeWY0ws{48bV4FiTIvZS zm?OMWxJJF+R$+Q zNThKAIxa?H#-lSs;frmYZUR5qLPU3p-=#sh1w5}MzncnwEhx?9DyZoXp#Mtzs82|t zJ$$1_%5L%by`fJMKT)*z8|3^Rp34I)F_o*tFH_;{wdBG6gn#;@fhdHtUM;oiFOaH< zas%oYm&#kX0jCF;S==D5pD>7adK{WZtI~hQwaH2Pbj2DlPQ^TJ^H|m zKamf0l)Uy}_($>5c0<{7&|w{Xw+(G{3;x;0>w567^+*NLDbjZlo4*}Pdz)NhG0$m5 zoHhsz9F43+kvVw|&n7{|_eiW_4L75QN1@e6S~X01u~4t$!TtDlwDAGCgFFKL8^FX( zS9ubXf$zy_vOzwA7qyW%>@XFwVc;e%p$dzE%W%z7Wa>8>G+dR*&f?&hH~jerZMqp6 zMZ!suDqkvXhH%&279U!P&>G?!eaE*N%=r(6dlWx65c@t0+r0!yQYw&rI9(Ny(Vfy9 z?**tZ5N$aI6w!+R|Mz&J$Usgy96bSgHazke>Z~B9n8vwpK(l6})09YCkG~j**3t1? zB~A*1e?0IFlpOziq$UxnT<1MW#H;_Hy?e2y@nq;@=t)`ur!-+c<#If~VbtdaW52bs zi#$@k&V2NhNMAVqZUhydHJQewzqEEz1tcHiTB8hWOXn^XttJ(Vdc z8PG-Xl*@95JwoUvR1z-X4|l{;T!q)`qfa~HQz;&>FSe!{^xcnT3WKXWkvK1O$X@uo z0rB^KcFmj`xU3ISbqZa)65eow5<_^#dm_*+$X-VzGX^`aCdV*=oiYyriHYK;>BK~t zNM20=TD!LnSZad-e{@A5v;&Q4VkBd8)n?6i*p*DUr_x0tmLJjbCLg0-`%prCa zW>Ev-#lKUigb?ulT2Z&z4(=L;g?fNZR`BDiQv=c+Iq1udBFMT-$5UR3=BpDC-kEffJd<;BO2Xy(1rI~_8oYqQg(Fb`JRX_KsEg8rBIX`N3 z9pxl z?UBY&i|!*0lseKS5{&Jh$@4CNf@&*Hqq4obdVqS7x(#z=lR(Gpfu4Db#aT(M&3L8| zcY;IeAzQ7mKz>MBZ*c zcXTgYre9_{T?FN&LDD*@8ujs$h){lj?NX8X(ot0D^-@n$>zTB>8VMTCq;3OtW;njd zDxoQLf>Yp_*-&IOcBhUYQ(JC>G{sQqR)XL59G~hX{y-MzJC7JYiv5_-XcM7_4YK`% zRbI!QEXN0NgDcka@4e7s6uRLEXZf7}=M$+cqT}Hs)^e`A8?UqrPv~xqk&Q^lYV1uh zoK?vClCX2iG)ASev^!DWdZ>MoY}Y!XX%*heGW5?nICTl1x31J9Y=JDDmv5kDPfHJ& zN9`bew8+fc?kMF*0eHC!p|4TpB*ut6)Y0l%nt_@@nx>lD>TOJ$ehaE{E73zd#l-pd zSf}=I=S;lOP`r$q+~E3y z*WCyoWGmXQ3;KNz7OX7VyDHH~7;^MCQsIJJ?7$~^$x|KdSMIEBA!!k(j3ruQkk#T4$<5PlX^Xxq!(43iSWWBIuI(0 z1I03wW3+R{ttB)*3pC*YTSVx=(dZku7>R?5AIF51d<<^EXxi}*&D(G%a{ zV`UQKTR6Tl7WyGSkEW{k4*ug5d8S;RdVF75!N7BuFG%;LkJ3o#k>m|6e#kCV7UjVw zmw1{xb>?o+r4gED2iWLmurP0!RenY`Z4k^`3MZZhTfH<`^{NxXvBnu1?i4ZeKDX$Ii)3_&aPB%12S-TNrCd@t^$ZHS}l zQcZRkxqZ)VaGV?gx7?@Ba5J7#7c_eV`HM7I@{lfo7QWhY)AGgg%n~5AL4x~8Nz!+z zEHjAXnYeuk&F?6GC0^5`M+U&-)E5(%$W(eNvqLIcGwH@Dk7xc_dI}9kOTp4jIyweg zs?hyyuuPW(xxhM#%F>0JgW6#5x2@nT&(sI$kFxXg>I--p-gFZ^r8l~kroXm@?iu~4 zlk|1<$8^87UFctYA?6YB4Zs7egw@{19{SOv@s~WCy}Y8QA{ainO7`|&vUfK4xi9ck zc0nH(^jtaa^D~IU<7H)b@^)mu6EWxm$zOWGtogF$>gJo&`g@yNm?DkqOrB6tm5 zY4c0yDmum2-&D4)u`IQeSU>`lMiQNEmHS!~@uPM@)6vBDkC|2OZpkxsHGMHwH+47n zv(%SvSf`6VnoqhNwpzRQcHQkO*nPK2)Q_h3I8fbP98VNdB#cy#)=bg*(;e$)d)W2{ z-GD1?7U~~qn`zdGdxW!0v&p0{?*$fSx73YJ$3(o6LGm2=ApYJmW*fH>zcHCyRMR0! zt?)$haI=Y59jG6;Oy$KUY~(gHpBq(oI_pUE(oM?(b0gC5nfsgDnVpy^pJfiWe4}sVndB=!V`BSZ>8)kHCBb~!p}*r{!rX~&6`Rq;}$bex&xG2(iipY1sNXve0`Nls7c zhInH0M&m9Vl>N=!j5#GQsi%)MC7avRmBPeMcC=HhM-S9oV%H?WKtF<=xLa@$6@6eM zhKldRGomf{^HJ*VYA4M)%{ldMI`z_2HDrr)TzZkrSoP#<2&O7%T#NS`ln5jOB&ZxYug*S@knA=+0i&5$`np4{A^iTaoM@2>WbPhe1jl|KKTDmK` zS9%XyPn*-=-5<26YqQqI%ciq#1QsGdSZ_63?ikk;jVjoj@13_P?^yn}g1o|0Mbp6I z4uyiJ>8x#Mm{oWse@Fhjf&qpe#jTA)%|9()sj|PwMA$58xn-_tU2&mdYT(5lz4d+WzRl^Awym|LaX3A}jS5GUY>?Ng``DgvdFuYkqoT(S zmq5D@!e?_*;l3s<{d72VD=II(k|5p+WfXTWwSyzOxs@jf$HLynnT)Y zx|jNR+fDY__F6{|r)G{-96cPnI`pzHvONUm2;P+CP;rNXA-Q|9`exkCSd(Rw+aUi_ zVPTQB_yb6c{R@ZYea~r;y(-H-dq(cWf*!@LmNTlU>VaAhU6^Jmlg{p&HN}C2f8;I6 zew5=>Twva>mEB#-mXvo4P6&Kgad^dr-c?)<=xSLu78$Z@r8diGmOI#xXm%GqX}8;D+e!Aj9hcZ2v72gt%kG!m zQoDV&ZS8z)C))LPtmoX>HPGX%M^_K6hr4^K^JhC3-CgNoflc<1v^zU5syMe` zd;aF!P6hVnw!$)p*}g7*{(-YXw^peZ{HoF@uNb=;LhIsdc~dh(a-Qb?D)5ljXx8Wt zI}UcfW}l;fqCRZ-l|L=3R%-vm?8JiPQ>pVaKjwNC50O`D=GrxM8s^->wUhfB*V(Rr zyEJin;nV}H$wdyf4h~L7-H&yt0ht$5g_Q8*q_mki&5H(0sbYk7y3IPEIFmIxt^Tje@uPkhCHrLD%~msiEIKfPC$mAp=RM7WM{ z$#?1R66AK=YhT&C`k4-rKJ^btHD7Tmmaov*pXOzi# zlG8iC6(}2@^7m#R%i5TEAgfKztL*UHD}^geQ&gT>UtNrLjqayzfj(N7uRbmXmK1;l z;^*K|zGqPNpzl==R&G}*vC_+O4IFA}7ns}U?aUdKlahNZw@cC3l4iwqi<=q87;6_t zn_^4u7JSKWl^U8jAVHI~EKSa?mp8ZgfxN>;_Lx|HfPbTa=l-=Syzngp{^@m(<6ecH zb39^P5*_lLZ+O)4_V(Rd=AKKs-bMVcs6mD)aZ>blbPEjlA20iFC)%Apdsx;)t5||n z!TSRiRA}s1-e-@)BXy1`urNG#O5W_;!&&e0RE5EXGYfl_1emW{{H-HR?emgSk0&08 z%S*hJR*>~i!GYppDP6zW?Urvn|62hc{Ov35sQ4vdWBDIt%DFFcJL=357Sc^UJiYUa9(Y|Aplmm%Uy_U148^ zdT!_S&8$I1?Q&J<$J$x;`QP#%8fF`J$tR=@#)-v-tnDe^f9aFYri5foDzG<9D{5m} zuCa4Y_4!x#yc7lomSJ`;oq>IXcTd^+Hy1PC!;n zRk*7lb1c_%X;q}R`I&mNSsHFrg3qpU6k%?fl~gA5~4Qyf|1F z(kL*sd|j`2`&{isG1)xK&^R+BJX4<~6Ws zR4%m6$fiRpM+B6wR424ay)KOw1%1$0DiPA2#_vvUn{N2k?AOVZf3wGzJWTzw8p*QiN4caW{92SC8lOM zr<2lS`^Pj&U2e=4C3PR^bK#jnqj|2dNqa^(lUFIVQu5mDc_oRGqj_yX*Ahp4vRh}@ z#=6!eJ_TQjx8t2&FFKr=mQpD-DXm=E?BBn>e~5jWJ;?4-aJ?2Mn+66A^Y34!YQuf4 zlN%LyJC@YVs+V-=*X$(!#ADw!#O5U3%&RML6klh8dq zH~&Im-He#zAvslr&u$-GYU+NN494q%Js5On^MCw25;H2c($8JrvfesJw<(D%*QeS1 zcD-wCs&utVrPlpAv}@GVuc~oh!qp$S->OEB__X%bw9kHN3oO6D`T1?0A>X%ai-3IE)yzV%S!z9ApZN;+wY>@ zghmfb&9RiX9cFjhzKYFpr)u6c{rY;8QC&>`FTO+4uDmt|$E+Di8Oe*x2A9j8Rc-f~ zTbo10_IBa=g++OB`#ukhj*s5`<;uGyQCS5;y*D*UZMn6YO{K2YB3s>WA6z%W`&<6< z?=C;Leh>b->g|S?6~3wSP6~aro?sBjnyPO0zAZclsi)?&Oeve1S+qhPrB2i(I}9$L zRz0rvl(6J#0oC?bZxZP4{>dDWUL$d8+^6V!U+d69RV!aqPjxgpk8zx8)5mdK8L{ju zhfjuA@yBBwf6vcPDj1UaciNW1ce+Vs9+z!sA7rg7*V6miEtE`YwZ0DdI4v?Mvc|`! zG2@F5d8OBz*(|JvW3WTb2`x^yTU5Wgd;N?t(a%4ximd)=->F__6QAYAtI{>i>@K-Z_S;kKS=f-UKWomdc0Hty?_PaG!NH_K z31L46e7haJKUPTflD;@p_o(4~$8LwsLYFUPMYqq^q~u=F(cikIe=juWq@*6t_+V<{ z8d1*0>$Co%^^3Y5J;R%dV-tS7`|^6>`=}3BV_y{Yck5VlN;6Z~=4vbI4sTtlL!~Ao zeSYNn#=3l}9?>eI?W^r?qhivtMp>WfTHD7ses#WI=Dcsbd$PKAUU)*2pW9Mj8>U-# z*^YP1E1y@TXIP86V`_(l?XCW{!e=|L;vPwzepZdW|LtUq-H+guM6;u7o$?u8qH`;o z7LJ`fjqVG?8o#$k6@DrD{Uk3aUrN89(XM2VOR(RmawiUTAr`@-my>e7zU9E&sRLld$s%XwS)ylwXOHG znNHsBgS~#1JMX=~X``x2?uy@M;{QtbEcO@oJKgYXQSM@}d(EJFXX}Y!4J!t@HMS1T zO-L?^e;z+0HuvYHU(vbyG~GOBmR;c1jmZa#oU`1bZH)QLe`H7h6E`gDW#N*X?2HPz zqd0^t5IMZ)KL4@i{>mEwW4GG}m9=t6g5JR!MQf2IlwNExMI-FGdwpKeo=V z+t&L&?Lzl7{$BlXkXY?*o#_ozg01dL@`}EPN99C3cz)q|mCqx8A1~eyj$n#d&!Mqb zV)=&N2OQd4dgo8iteAEztFK|Y6l+`Tb=2>I-?fSjgU?nOSIs{t(%m8l6x2%ZmRgW~ zHzzPHE9F>LmQ>TVlb6Q9YON@RTkG3g(k?8D``z)!fTU9e-KE|ox4~4dWA=4A?fKck zVCh%5zND*ag*w4_HLdZFUq2=$dZaZ~pD14^)LOrJ)y)+@SIw!pu})CXGM5DfjpAm0 zmEOIG7!U~~wh_iph6V*SvM%R36z>yeIqmgUR~YHD zxPooag(|zNzYh#|9jJ;m)XDM4?@|1yI-Zkc2(tFEpY0XxU9(KMufN~d%D1b|4a)TxrU|t;6s;cB@;?grLMZsj$a&qtGX07%DbELIYpKKXYmZ7i_KxD4bCa<8sD}RG?nfI zI{AO`Ty6JU=xR2b`f9b>%a-N2Qu^@Xx%$=G8Kwt$=~**#4;9@v&MIi0-aP%BVSzZ? zZoF!G;Q`}lb$h2vPIQ$U59Ws#>?t-G{Yo0=zs@SkpJbR+*diD}tT#cj~hBDfR>c__X;u^Apy_;JVM<4k~UQ$|A z%7?5FLnq^4%OllgeU#%jSAX}_We5202*~wY=|0GIoY+HfvES^d)4wqN%;{E8&U#H< zPri_MG+m$ZCM(s@BEL)4>a@yP`^^1BgD~H?x2U%CpKh?@Lt9UIuwhDmXz?RcM#+Hu zGTD`LmlS4}#1wo=>z=+tyTvaxbVQXB=TSC4T^snjhpNjx(W>(P&YYQ_n)^pqn`B*9 zPgAHvTl;O6_NG6qFC9`md|mgd@^hP{{+Tu{w?;v6{*(NtMP-F$HgoKB4zbQ(ecS`| z{@&gP9M9{$bcahmj;@zUN{+M-g;la#u88_0DRr@#@0@RcT-;^W87o-M$-}G$W3$2o1yb?9mQE$Pc}FwSQ~MX*cL*(0!?(8M zYD*oR+1)*0hOe7_S96CFDs81lhA&x3*{POg4((m@G_#8@8^77QmA&lgF22vblm&cY z-p<@RDaPa`*(oIx>DV}6UM+5L+vB$-=tTJ%UVYs~uT-D)6&{qk?A69TQIxb{jsXrc zgeistxkGXTvmRwc{@NE;Ic{e{M%u=l;GE`ZYcrjUg*KUvogEt5HrDsFbF}ldpJ!*Q z*(F7o?ox-~YnqyOJtHJNKe;5OM#*-KWM9{QfO(;4>omD+Gw+`+ryXqc^E9ul(M%IO zV5%l8(3f@Gss3S(k?Yz>u4U})tS<|y=6}v|PN^RM^2fd(%Zm>760*T5?H@-Xh0R$fE|;urOI z?E2(J8OC2v<2I%QN^k7zIuEm}Y;)J4pIfL$f0qMx{l&ZT81kj@<|Vmj(>G`O=0C_C zm%Q}*h2)DyNgwDkK>bX0Nq^SuFaO8>9evNZ7@elLR&mL-n;wboJTj=Mz{GJh<|azz+dU zgIa~e1yuI3Iu3F^=4x=fCpwu_WM5|+n;On%KK$J>u1jM3)FqkDsr3?u{<6tBYV_q6 z&&B#!sG#3q8)H*lm#x~wT)c2@dv=*Ja;9ds&L3W4XPjDCFXwUky!5LDLo_jt4P7Jj z4Ye~}d-%=__~3iRYp%Pq$9mVT4!}EgCC2rDT)3)4UnIMDJ_ z`eD|T3@W~9`Xq&O+Xy(B)ZaQq6Kd1LafxHJqs6(s%X60~*I18Hp0C~9T>@SEc#L-o zaXPL$sy(mU$Hbk_QgpGD7oS(L@RnhF-XSorH)fv64bNYZ_baECQr~nF1Pns7+<6>9#d4Mux~+&0#)G(!?7aoVq@_f;{($;OAYSOMljp(J~&3U;9!oSZ_6V1 zF->Tv5J64US9(ESb5ng=>TJ0R&PE#8CJvUyB$cpz=Xh`bnk7Q1bc{>55%P zwqCGyk&PgP6mlC8WBCQXM2fUk-mBUxE>l0!L~DQQUh7xcxZ0f1uhx&(_s~_=K2om} zQ>n9@EK~(?=^J$~Mf9@jh2h+Uc~PNpncLGCx;+2k&L>XpM)klXYCCFC^${Vi<8Emj zRUjj{f%r$rq>tVi)Ph3ptuJwZu!Z}bcxtJ3Q(2WwuZxL0)=k{H&y#C1S9G{!0Ml-h zrJAL{+}W~^dx8;`RhCcO`9)a9T5K&%Eo&{;Eid_hd1;rF#vO6KG?p8v9^~YU=rMf? zCYs(V$%#~$o}qTSE7bv8h2>%w^?dFGebv9jBjD8(aVLD1nt@dAVg`Vtr`%CFa)+^3 zZq0pV6ENRKi6QE$+@uZGRs>gOBiMp*x>veBx+Lv>?LzHPZHcCvW`{aXoQlopBkbc& zNV!)_q zC2rX4@>TsDgeoWIqJ9=$ z3$M5>93wE-8TuZivZn)gf={U^N`&S!*nb1+O#a}OeV%+&I&D#bm9@y6!K~L!#_`4l z#(zPAiZl*4>CM~C?x2Vquw+~QL(+>HEn2a5;@L zccM+zkEz4i28Xub-ouMK(B<=pN5$IaV1xjMJh2dO4;P;H<#(2F~jc&aF?aX&bZ`U9oMH-&nKSnlsGNgCem zXSr-%%?((Rc?6g)9i=mp57jVbxNjc8op%ksJgJ6=lb=&}pC(tK&gdjHOAn|hX-qvr zXDa;f@w|C_ji;Kxg=&{CRB!Et{?|a+Nrh)GQd#E3ef>5l^|yQ+?iwJSmPBs14$xnj z&fMD(+<41EC+Y^us@*~9+^Alx{+sVB)$7#DkPoxCTC6SJ12^M1pZAG+r=ip}sOhG^ z#l8Is>LYJ+6F5hBrywL!b(71zeL5@pCa;wbQwOjEdc5P06P)pg`pI$BHvHfeqf4tv za=G_C&#ihDo>`6kPNSCEhC8%Ga2dOzyN<*4ve}2Jg#EbXn!wHSK`L=`&?+sc>{v*Z z#|m!lyP!*KgsxOHY!#+c;o(3f)mh~1BXuel!E5oC4@+}+;#tXs+sscgvy9L{m8mIe zfIb^YE#4j|s-=>u5A`hfs2O#l^Pv&9*}b9MFZS7%s{DA>AgTu3xJTM5YQdDsQs?pK zI+Z8e#05e(It1=>r`=APV2L-UntNH&E%T{Xp$DFc@dJbz+_EKuygF126z@}?vZu8A z;0}LZ^gBjYBUyOFGf;pS&vViOmEj5?tRNqSKX5OnL5-I zEv6256t|~qxaEEX4&q(ODur?HI~nZGZ`6*Op!NZNdz4zHpX?w{uEmPnsJj1;6M6>k zT%zZpnd&XINJHWI+1#n$p~G*zsyR2UFPYmj7M$Jk>g!^4k-A)T%TDfHcTs~d4DND- z&zKX=`-f1wJfA0x<_^9Ncjeyn*$zhzin+aA1(N0*>ZD#%tLjWmMRn_0>WgZ~ovFuA zQ$w&AALkNvWv$?b^3;^w;+^%W_DjQaJ5OznH_sbGE!Ax*s2=gkg?z>@R=I_D_C@ac zLzz4D`zxR3Kqt#V`XdfO|01d%UQ4|sHz^ggq%KHzIquU<@?h#Y#_%JSUsP~zObhJZCGU!d}B#aW)2>%H;kf2J!e)Q=@G)P6T zf)>jItwS*3X)l>$rrkb-kU9IET zwJkM7uc)C|gQi+V^{qPoXPWjh1-I^xDq`+ zo;m4f&pUI5-{}dn(5+vGde0at8oj9W zQg9zMR4FO-`Xc|IMV&*5%8#ig^N{1y@KXmmCBDKHh1jGP>{vkuO+!DqQ!9Ip>YL6~ zfp_NJ+tB5`sa1IlW!E zx(C0_!5g|kS4l%^OBPB~sdG?C_V^FE)C={&YTl8jP`4#Q{T$U|Z24QNncc94y~Io~ zu>awIw~+WVSdvUICY0LfAAIU`PQEhrd|9e5!cma5z17t~#ST{g6uXJbk%Pt9f?D8a zE#MqYRDc%A?co=tURr>gY~aRe)TAk$m*?QEURZ^3R5k4a-!2V%I$i1@HKD?74>)5B zun@!H{VS?6)Z}as3c$LX0B>JJ6K&(HBG67hK;`O#zczz9ttwa@kt)c1D%OY45j00Q z1-70_v==kj+h_1_7l;FRzYWN@`_R0%>3vX8f0|h%sMisx*V3ZTG;%D}O4qQL514GS zMVbXl@C>}snb5DB)RKSolB!72_$nJL(=2`Xvle=urE=4b{xU}}MU(NQJ8JE9uKFwb z@A@tJ%KFQ?K;1{pNOiPu1!^KmAWR2XdZ6j=Py-UGcre7dZK-cEfE{f{qp!n5JV-UC z(#`sWu6L#5CyMXQnd|8$mSIo+cqH_4hY7-g)&r>hQeoYbWttEiuQ%JLDYW@MN_OpSDdC+ z$_)&+22_W=z}NeW>cN-ji29%{X{i?cO#SK$YP%ApWXX)ZYJ%Q(LPtu}_3go%oP$Q{ zEvV>^I7cP>N3_il`8Kvq23gh4a>YE&Jls6d+yF%RYUc4E?AWz!QD((aN?g+SIA0Drtx`leA`WiJaeKlP) zl{CpqmeM{3`dqtbB6?I;efj`xj)6Qo)KseQzi$sA)PDU%uL8`s5{n=FAU&8_hA+K+- z#S$DAL2Xw>iz681p_UJz4i1sZQ(3hZ?D6M9x_C?7Qj?%Lt!=1Vtm}d&KUpGt7vmIXEkG~$P}o!S%K%B zCY};Eq2bJ++W86F>Hb&>Zcr!rA2?%(CBod*oMxJ3s$z1YaxBy|$aLFO$Nbzp$`UC3 zkeSr9v{*}tv4wx>#vubsH_#2JI*95;XIc@` zz@tooAHIP%`wTfhE!|@BK_0$wfINX$3V7B7R4wspZwZZ=g%A!h?+0xk-7MXDkQ{$& ze{1_{XKOyFKZp;p1n1~l?o0GmUm9h3XZ{N;dn)dYdGSY)?4{93G`=^A<;sCW#{hr#qPulX#K&Y!Lue++PpdF+csCE_O z=+3x^hgr_zVlHP2HwwnjC2va{jFXK<;|0?y^BT)_DOpaizEj;2wu5LaKn+<_OWUS& z;(NU2Gnk$$HLjZfsOkzKm+%ITFC)I9pTK~96hX~+OTWT6@Hex}-ORsClTEg!d&Z^4 zy~aG_WRs3qbRHF4?Lc^kJQ}L)X+XhnnJbJwUe}GG><{mqxp2W93K@PWq-P#3w z>#3TFnzC@{8g*A<`%}nRCUHh>dXP5KtzI2pSm_hrLd-e^AHFU5mU!wJ6_2YPoe0^; zS3FC^C4_531tlURvw8S!!STWp^%-n@K^v|}Z2PF;cZ=-lt zT^ZT!p}nL{)+T8qwD+|$w5gh^nic9)V!7R5OhplA|3e4UIV`(I%Cs2Z!!RWEl%%8f z^#c~8BKSU{QMW7 z$gZI#y&5Q=zwu$`<4Y^tYLCTu1P#xX)}YTOdg_30t|h;4oBHDo=(>sIPKH3IjdWNW zq4-2RZUy^jA!{#-|20?Gg?5W$9+1fFZ#@!g5VHB?3;d>!5KOFap0lV!JpY)Q?6G8E zD#7i7HHBF27uDN8=#5LJXHX&Yh&ZYcIbNsEwjR-#mYU`ZM7n$M?hlj4+eznY64^YfREY}u!R+ENxselO z3qbydZ_C07i|IJMC9D>WVms>l%V~Cl;r~*z9ecA+Gg}i)+_;iz)~EDLRaXr|2dyK+ zwpjXw9{$hr*m4rjW1S`6vO=@*dF29TpXhVnAjnWg@ zPK3A%9z953>sxvn{P1K9XmcIf|E_e2^9+veqiR!G!S{P&?yB20G2 zGcZav)JM0#-<`;=ZZQ?Zhd6WtahQgPVln)g2IlEVe6BtCzOUe;@A$p%Kmk8Sj$}M1 zBG4JV6JB=1dtQr1bf*%36O!4Iv+$A~I1e8(l6~oRTt_8;9-6ERzR7;F2`Y5QNP5o> zBacNYPo%T4(2?%oA)NJgVJfmZ9>1zT{$o?DuT}L5eyB^|(PzBcQ2g}GaAj+(Y$E8? z*?9M!eBx-ln)hV+8p0Jv_>5wrZhv^Z0}+24J}-ox$6|hdg~+iB5wi^)^B1W?`U6gP z26;A=Q#b{to;#6h1w2|m@gme6jaT*pEnJbz3wN zW1s24ok-URy_Be9MN{{MdFoWBWQQAxI(L-<@`a?Zs{z*4_ z+WtWLn~;m1LJvxBv~@LT7$m5{u-%X3_d|Aatv8UK*=UvK)ZDAcGbmqqbX}UjS@eb$ z_3<;9>I9=L6vVYcdiw)-T5BY+COWl*I4pvW=Otu2I-+^25bypZpL7x~nn;A_ z&5n|ZD6b%Mhxv06E_=)w#S!DGh||i^ztW8SM>uOaj~-W}lg1(U_sJgXu*o&Bcr%E> zJ3zg~?8zSs=SM%qPiWc$OIrqhn8)V`)>p{%8o523*Bfm$mi)tIG7!pH%%CskEjbY- zi{OQoP-1j9y1kVSXEU8JkyxaU^zR-4-E*;Z0P9h+=9ctly(H>x&+o3Gp=0SOt%@aI z&6K3e*x>Kt5cO9v3(tRvXcBvi&caA?J|W~c2Y{w{hWwWw2>wSTH`zjl`4XpjO} zzZ~7Mo2W&>6rt9EtnNEbEEWW-;ha@(R(=;t{uyhK!P;-bZwKMx(QsTa`PLXt=m}4l z2gN#(D{!ITIsqQL1FxOOhTrG=4e~(I{4^CylTUWT9r<)aN=J}inhxjPB=cloUnX*2 z9;G%hAK6-f7iZyKEQ$PIS)^wQ5w540gAVkiBW^61<0pu6m!q?Ovr8lEID^bYqGQM6 zBX&V2<8Lqi9$>WchqC^__VP*UmZD=h8u*5ivcLbm&XH1G!}a1*M1y{aZXdQ z+b_aX4t z82DJ3?WUk_G=!fN4G~t_Ip=~_wg-F9LO1FcbnO#P_g{Km7xFa^E3_2!mZS7yzD9p! zk*m}2iAv6|E?m=-uO3*P?ws}@bZ2MsbBebWOwX_fI#SX28e*kfY?KK74SYrnr|=vZ zIE(IF$(MrSFqEv{2)-*g<=e=mKP9LBlDmXoyhG-_#pFJfCx|>v(Ps8&wPL*C@9^Sn zFdq*586;HYksVibJvz(GgC_URPFnh)=hNmI}tsUZho-g7yO2 zk%;`ht$Y@XI4yOg$tr1VH#oBA2<-5RV zQ$fl3mwSabWIi>e+%_0qTTAwLKTp1fg*{iQe>S6gmT~gK`IOF_Z784OM1Dku(h1Ny zflrJ;c7F0CrSC8q>gDof!+Gnm4k9)}K}K?-M=Kj@|0>l=kCBOUoWltuWH-LTS>)tA ze_w{@F7tJX-=2auH$eA=Sl7v%-DK80wG`iKBHX!z{cYkEN_X=sPAQIO+ad3Mcq$c3 z`Olu)kZ-K(7nb)68dC*FHf1Nr(dfCHP6MoAH=^y?SjPU?;U-L-a6oq`-o*+~4C=xQ zUs>k?K5H`iZ890$wS3+Uc3=jxp(Q6Xk-L*6yjszsBjM1_WaZ29zFa={JvbtY{a%6< znhXaH#wPZI@(Q}eN@#zMQ;Otu8SuOXjrl(-nhTZE_>-q}VUzbRj}+DCxr33gsqobw zocE~G&+5&Y^edH)|H*_aR8Aw;c@GX>28G5DB@G2dU<{uaPVee!=(UC2{0ps*vyY3& zfPx5?Qfkv(u#Tzf98@Z?o#4hwoJCol>V}V}Anvq4pUuLWU4eVQs#3^Q=b%-;Au0ReunFujM5S;| zG`UbkU!G!}Ct2q~^o7#FuHbBHIN27Ur_I1-uEQ=Wxb%NP7X?`@jOrC9c94lTnaHUt zxK^2Pg`yL3O8G8|9Y^r>0B%S?x)*$dm!1-;M+53m7#SYEDb+TG ztlt)CaKukgaQEm6X01P1(R*n541T!>bx*P9ZQRT(gXYWOl*LHE7U*#gZJY+5ID)QG z4M}W)UhKn~`_Rj;+_$ZVj>@e{Bs5KgzOkiWk!X$s(03C2)0Mp{XHu3GXpvxrHUfH1 zL9xq);|kEf`JAVjbvp88i`LdaBjxr*{r_t=LNzz2RuO5c3TG;~$<0`gf`X!G;8bMo zF*@@Rn*J>(nZOebJlz0~>o^|;&%=zzZx7G-mwwen57sZW8}-mGex*+m_(Yj^Wg`_9 zBtgdOw1qd+tUCdziGmt8;9$j$DBb9aEm;7iR`Zi>{JjI3UnOW`B|6ZR zYA(goc}@JX6C58!9+cNANTl(sOvGBb6YD8_tKiTo@79CMRl*+3+;7%L#+$+~gP`av z=sFnsk7M3TAN;6}oJuQx>kr?1^8`2cVT7s*+FoHPUETP3S$L@puk6N&G=+*G(9#Fm z$WSW=PW{G7m`m5}0zGQ-#E#h5L2%_zq+|fH(gBVQ1D!yTSsg3;2{$V~;aeyZMQ-F5 zG*c*Tk4z|7SD}0_2W5?{B#zfTfO>b({%7E;+nm=M@^4=`J4N>-@cEzN$w&OTj`ZE) z)%W=GnkOs!P&88ke^lgB5=t$ta^8pF(f?!VEa0>%zCJ!P_ddHzhjdDabVx``h@^Cb z(j^E82ndKsBZ7d^A}s<^k^)Lg2uPPSf4Y13xp!vX@2s!;*>(5fdG5V4bLPy6-}#+s zcnGu6EzS-+jBI;6U17%9k-apMoj;acJDlBGlV?&?>z`!aXCvWbSh2Zird8~cyU1Q9 ze9RKeP+Pvr^V>VfTQX+n22cJE@7clXIn8x9aR;Y^v#bK^(Kb;(wnsPiV7J!f^Ufa0 ziS!DXd|oE%fD34s(@5w=X6yoAR~YpbM(rft?aX9+;%&Z*am6y^1M{#d5uWuqR`f|E zdK;Q(D|2^%**M6Rt{|gMww&c46Xp6PS9QAO58k_z`CG^T-I;ch)A0fC%*YevW7Qim zFU?rVrtIK`*!2bAU3(MD{x$Oclq)-SyItsnGmP{kPxy#AcXpJss|v85`IwU|{OvT! zBi6<3-Us~lDsiWz<=@}oU1ivt&N9f4BqmRk#uu#eL$2?1&Oxq!k~^GBoOQ?iSw8Wk z`2@LkT=$KUyV6`KHTxh$ejPjZJ)STLqsYg)RAiQG@m-bO>sZO11mELohuCxObU4G; zbtJ`cCuPQ}E68UYw{1$E+x5_R$-iD=rz zk215jkn?-I-&t=Wz_EE9D}Ve0K3Im4D-3Z(?QPusE(HSn6FOk=0^Ng0!@m#lf z3TLlmWhIO7o;r-91AJ=E`uPx>$nDpH?4j(ecxEKjX}eU3d&`|TPq>fME_)Mq#TN90 z(?fd`SI>3YQRv<5%xp=dw+#1oJ3kdZoa43MfQI-MTjV3o-JV1vMqXaCzB>pLKqQvz_iG&R7zpIzPMMJ>FfAca=z-fsE{vIL4lW zb2KgQ_%CKsf$LOd52s~L-QIOQ%AB^{#TajM-^`5KY5C4*%>jJ%Nj$qZf6<%fkr&cPH^LP{dJC`*G};BB3FC@hST{qZp8}mRSKO@29Dt(%(L5z zLE>2Ua;+sGl*XaQXR{}ML1*nqJWnpL>Q3@+GRrsk`4|o0&d3~$rznVxM(nWeXp8~q zj84cxGiIt1l9iSBc<4oq%@WJJIV&(9pDDt=DZ>A=^P1y7cc*evo+B%-6y#j1lqgg6 z*|{I18Qn=&5GfKwbN*p(E#%C0Ow|rcHIFCW#47J)7d&8`g4s@wel5T!DD_RWF0%4j zcao>(^vlFgr{yg==_d2DiMew!H4QDZhB-XTx~FIM>oKBUSbvTQ?>+W{AQrce`Iw2P z`Yk_QrsOGFCLgO^2c{TjzvO0yAF&2Mv*SO>|hPc!ke8*792H z;{ z4)-X)6*C}-&$ynmjgIq7J9w()>;T6rJe#kH=#qJi$=P*|i_Fb{)06KoUv51dyP0GA zckAHpeivzYzznNWgk6f-#Tm2>1OB8 zI7xG?jLt&;&kArcBWDNu$UtU3?M|+O%vlj+pd`A{odQnlxG0s2Q#ol-iMq}`v->M4 z<8yYplSg+gCsiR||5@+<`7ExJU3w%rGw(}=4scz;9`GL5f#)@LtK+nD(&l_@7yED? zl+zwg^4w~=nSI1)-Ml%gLh)~DS-WgJ?SHnUo1d(F)>*#!SRZ#vI2$uBlI*lkka&fg zjQbk%c9Um(&8Xc|yO@K|v%9BpyUtmwF2Z+^xpIB44sl<1=l?vp*PKKy*7lme-Fb4G z^>%!822UXgPvmr+dlILmW86}4buNd}14DU&nD5Xxjr(n$4c-s7o z-dP=P#yw^ro;%!N#hj(?>?Wsip79j!nn{?Sm;C-9(V}sYqSJ{_y@7q`PSpR_+(itI zAiqZ#nX{Eo^V97Yhp@cI=iL*&NR+$(G5%|4pG(~DeB$WbIq6QmoQaa|&i~wrqjZvz zF>!8_v#xG*|E;2v5*JDNPmWwSum3zvCsDQ8h53=L{AijS%!9MY{$m^uc#6Bssf(|@ zW+tEE(<`)_kJsb&gWF4PKO|t!Xk;be@1(p-p-W!!`!iPKL85K%VkTGl%~_-mn3?q4 zL;U|rxYO+xuioG;ZkIYNrju#M_Ur6>C+BWGQnMDx633K`yFKGtB-znAH@W|P=IklY z6XiD-3wg;Eoo(T4{)^1;4bIPh7=z}y+&*xpX2`$0wQ_g$SbL|ToG+1<-!n6#DR>U2 zg9D!2t>ksCbUsniudvGQ?yt!f6=Sa@z>4THpJ~wQCHQPUX7VlO(pd;;kQQg3zu?nZ znXAWq(nU1V^6znsryw$Q4rVpFPcSPf`27*1-^YCA1@*s`vE4<>?MFKP<}_c9X8s=| zn8|qZg8thBYp~19eFWZlDNpkR8FJj^&YL^J=sutl;VRi~#b2#qE!zu9b7a-)%*;%|}I(e}2@EK;sYkAFUuEW7;Mo^3Eo~G-H00I3O zGw?Ir*|O8cpaFMuwKs+7^0JRiipv`1;yuH`R2(2;m4bJ5L5^FA0pMu|3FpO(rf<#1 zwgdD36W71RnstLm{01DJZMnll(31o4EmHI3yWvL5Km=+PYq^!VoNj+){>ej5t-#cQ%w%W*6AZx=-u+N{l*-ZXH3*)l)X)3 zd6p=@fycRsY-uLT-^2oaQgyILO-@zKS*B9ZOQ!~1!&1D62NPjJf zG@3oKqgjg-oiG{YZmxS-JT@En)J@`u88}~;F;{Dlt!ZGu+JoSk4qN3v*j@i1`9-7aUJ^Z4ysvf!_tY$TCCIAi zHVU45GK`AV=}lH%{XypHhU%g(64O7deK|`MvX9W5AL}@OBKQ5D+8u5Y?bQ8nsa>az z>91rK6Nr^Et!|*wis9xB*+on+#ne#!($@BR2S0+Q`X)>XqVCg>)l`SVzg0D}7)G|Z zaEHH!PSfv1x(DMy1Y8IgdZYCKkx_LHCk&2PzLaZsmU- z#*qUoZ*r@7<{^6Lk}0eT8`qDXnFX?-_(gx?X9(Mf&%G7VTiybjIgCac2Z8ue zl?diXs)Q{>SMS##nU@c_&mq4vEnL+F-pwrbc6};VLURn=P|BW?@0)Sf_vYye_5*Lb zZe+{(7ek-!+D-HsHA$BhJ=K~Z*87_r{&}x{uuZKHr6JRHSJ}1lOT9|f)Dra9 zXJJ&nCkC7OqP-l)6K_$g$cvWu3hA11q`0p$s%Q3acv{X?I}?t_GzB|;EXE|XAuHEb zYq*}@;*l{9!&5<F;sdoRT08Pj_`PZ6We1U%)?1-V z*Xf}mY{jB0ebc|m_Bt)n972$kbqvEL~UndrXX{pOSV2iLL)~n58 zNSM^$u3Op@>X9xiX348CQg%_f^>f)-Owbv<)Ov$F3+_0JG~pJN6Aa5+@{W0eSCYZJ z@}7sSY#YB{@Hc3^qxu`Ujebq<;X}c14g=&K=KY>bZcpec{$M>CjsJwYoV3J{t+^(f z>DFL!4uyx*n_SiDsbgX)`n#;RDB+4fRpyUB7~9FL4*v90o4fW+RY`{`oo+Axm4(81 zuZm8tl7pB2!aEmEv;*NRs;GPTX~=%(kwrMQ7MuUc7G@*WUuQUjPjD6`ga!Q#wtv_u zGDjP;+dmP#@0GQM%~JnN&_(>j$bUD7$vb@m^8T_IDDMXI)C_SgY@*VM&%zFV1{1H} z^E-v@y=i)dDCoV8X7>uqA9Z?k$YNbV?KEBO+p4|UF7nF$W~@l6hK0ktH>leE$-kD6 zU1jnI2K1-(YlK(KEbo8lwBNytlt;VP5_y7m{c(1X4&`lfewESf<7J?~5cN5$#&gR3 zZS%56_Sl#HlxSY>f3j<^$X_0QNUbxLf>L2?^#gd_duEyUPB=(Z@(ahu#C)Q=nxiT? znq25xs#jP=c2h&5)grTWtSz9D=#;81vsBDWr%&6YD$X?Fv^qw{>3>B_`wQLAV?~18q}PG4$${N-kF$9OI;4tOs-u4Yu%P^! zRd26Ohu?cEV3chrJ5m8v)2#J72kFFb{wGnm&DAVjT#S-QY$rX(D{S8jtDv={(by*| zKAmx3pDyEix7Xn&$Mx0cSKGO?FeV~w_w zy~0UK$>-6&UJJ20Na1Z2)pP~WB{fAleHG??KUCg)JAlgf3HaiFnsQ>iJOzT}s2Yv* z#j&OrZ43WOu#$}Sd=sHs0;*sS+Mo#C$*bh_eN>zluwO@Ia z%q*SCKMrDhHC3vsgEOE*_HxcQwMG3;5<-86-4WJgCXdNpdXSoHt8r?;Ei8BFoT|P| z8!Yx3%QHbfZzeI>^YmNnD6;ASUQXD9ld4#AN~STZc~W{6saATMB&K2BL{_U)^RXK3 zv)&w1^;|809|`-bN?6Z3PkyG)L)HUgZ$|HMKwORm#*8~Hyx$0$j5*a@!@~JAivv)!Z=I513 zs}AT63Opt!GTlnlPiV&jdatZv-!cXL_@KA=T$P6lIf)0`JeJXXY%;j1O*?efPv&j) z5!FpAuqvO31!j-^S5`AQ0o`64ePrex%^^5rkUVutAv#MzF z(hGj8sH(@Q!>r|A*_t`tXx7=E)cd-yB({%^rPfkq3}d~s=CSQ6Tk8HQ#(3(iP9<}T zJaz(%f6diucx&enIh`lYV_R$u+j@tM(wDtO_V+LX^ZQ#t9eOHU3Eq~IRmw06)hDg= zBU{8v5|r~t%4PALlQh$Ff<2LKCL5Mm9oZ_V>o)^24ePe~+p7?L!>4n5IK+P#0OCDnC7GyWA8MXM|n6J!h;Rg1-8I{B`;qCs3y{nj6i z*GUcwABwK(X_(!v^`8+gjg34Fnurdns&0?J($H=*?Y%Tqi8hVAAGWjG{Gwr2JJmlB z&eA7iJ_`N_Z^V8a+}DHsD)`13^~gy7aJHTmlRuit`;ZgpZ&@p>?$tL-Wi`&gopMHS zO>MzX+|3L|n86+PVz}4u6|P~1+hK9$0aw0AZ{hr_q+grb{?1^yZR>poQv8tmU9<|0 zc!i{otn_cF>G9(tXTX~MC^F!0(U&x=@0}1mqRC?N>YFBo3c~li zs`_sb1fQ87Wf6SQO?Z60Y zUg~ZI{axWdcvtzwO7l>ZZ4sEx0O+dN~s2$3%l< z^aCr4SNNgnA8C>BlNu}6qR9%1Q*vVPrQgrg(Odk}A(j5}e_ZjNEv5?V`{JQ2k9U>Q zHj~YDF|V>-0@uKuFm2?AXl*RMWcq?SD=M4v=9s@WxP@JEAk2dF2E@UXOe@;i7BVaL z#yj>{P$kAUk+38E4Bk&@>hF|iUzbc$Hpp%=_$v&tUY#W7LBb&_P;v!fm9cpf^l(06E@4&k=WPkBYV`b*9Yk=@ayY`UI}kXw6r%w ztqeA(e{3dG02`*RUo`krO!ns`SZ|4W2_m(vxMBu?Lp~V3h{OcRO~u&y@t(I)yf6jj z58>39_o7o}GOv1wm1c58&cqk<{`RlG${91mw$xJ9$4WhjP5F)J5q#`tK`W&}C&&5+ z6RvybM0Z_4d_!extLRNIuE#VHC%J>HRZ{tlXl}R3S|XO*8t*MNDdjZXTn!S(!@@BQgR`Qe zH$8mY9tBA|CSgltvdt8o6f-$EMRb8K@x;l%rJ8b)jM39n#@z^iG!qpJAc2hgJ(`P% zgzE*8%s%$|1eg3zbvfG@)|}qrsGfveRQ67*>%qjxr+O2S68vUyNH69*dZjOM0*_Op zOjR^{54$!Tjr7e9PIGeBQn} zqz6a|ubTZr8QV;Is#^F=7a@kVjD+!Q?=sPu|51(bfd3Z}5nB$8IF6@Tjnx`!Z;H&y z<_NoCWesnvNe?SeVY5VhXDWf)i<)j=MF*I{;HRJ1 zoxJN9)ejF%F?7iSn+#9o9U?+0U^(ezJ^757(Jxr7Gi7%1E$?`a^|1w}h~sv$Oc3;) zC8t`O`VlfWx;0+p9bTa_inDd1Esni=7EJChctIYKq9nGdT#FatP?NpT?>)J9WjU3e zhYo4_DHTI?h(OOhy#>i2aJwBrDpuwA_S7yiGhdFVV54 zJh8)TjK*V$n&-r~=8}i}7LR*6wT1<3ck_ikOBE3P>#0<{1Y?Dzo?;;L5wX3&ODDnq z$Y&pe1;0y{tgXFf8ZiG;`1_&xfY?M`uC)ww^eCz&YE#MZiS^Z1udv_T-|J8IkH8^g z)Ju9z^in5e6RH5GtH$0FufM;ETFfNgEozbJ(rK0Li)Y|dD^|iR;<_&1_7!&9Gb*DL zOgHTkt9|AYpFJuT)8}EDdPco+E|`qcc$K`&ss(m?60H9jL;$n1A1mP_*0x2>VjYIx z!;~~WcpA+e)DC(EzXXSaQdC0Sp$hFD^-7beh59F)M)h__vyV~dVs@{?vyw-SMh14+ zT=+QOQ>l}Nnwg0@AzT#Rqgs1WP&xcQ_&4EZe7opN-Bxb)B9Y`U3r&j28PhwGEOOBw zVmcZ7hgOr@$^_*)wI=B!q0-U(KXT9(W!I;I2u1Weqa2n`1Jf9#&3)NJfS4r z9dbuoMw12=xn~jP^qNf(b1vzD6q!@n6fIN4CpXD|PUa_VAD1-tK;&ZN>qyPWvB*AV zYfvPEe@CveL%8%s64C@k z!;yM}`Hh`B3}Gf?9#gwjkbVhWbYFAXJCyW?l)F-#OA?8j5qp!~ z9o_x+yfd;J=#KTWFdP`8y>I;iehII$iyQ0s=#}`xudlvt67MCPjjj*s>z8P*r(oV) zyzMmEja%XX5!D>(sJAF`F?Mv4M@fq&trnLg=9Yh(Gdm}qN|CTdbWF5Lcscwl7@W{N zzD&Y{gfR*8UvGZ7^+k`Dk6+b^ZykR;zGie^__@6&3aH%PdH;G$tvJh^WJyv#Ny8*H zlB|JwAdF2Nw;(nlW=tfDpT%pd63AktG}-mAa3_ogx2dt;N~e=lLCRn;ax*u&DLOD( zCpw9~9w(@!E}}2%sb-2jPV8>(b<*R)9l?|^2&aXk!Z*W;LE7lC_<8Zm zgGt`|SbBO?~10>HX+$j#(HxJ|<)2p&Exxy%VN_ zt??~j*h&(u6C4O0g;h-kV!g}Iu2o>{>1l@BO~jLWtJ?m!m{V~(lMYXoGU<=8y(5qP z)-Zhy_YT>&;gx%+e?)ig(>a4e)V0?RrYEF(eeuPL7gt{nd%c6&|1=2`qEEw56M4Ee z**G~;z4FTXTm3OH&*I)mIzCC$xYKcyk~B`TEOtQ5+{jZuKix#mtL<_)xhzAC!$7?) zs2-e*9*$;@PDpq+p?<=sgk1@D5>6$&PX+zZU|-NDxIxwbNc|m^K%>Z(eE_Pyu53Xa zTSK&cC3JLCYL?+02>*fcqJ1za_$~T%LivQ=;TZ+dN0OB>Wg>VY=zCVvGrR8P*L{;-g^qaTII^nI8(PA23^Xp(R{ zS_D>yl;OglKP(1w^k&_Iiui(t9+!ChjlDkpxX4#A`{9*47?~Q`8mShUi4NWBr$L`? z@Ynk@{WAU%uZG%!ZC9IG&|O3FQiRyyMLlpNLYFFEE;*Bw{skxmEn^_KhELap>8g#I!yaJJ* zA{NGmTz(4QQaP0xcAei;9e!DO7EvS|Tp@PhNOAu-T=pt(MzR^>U?<1TDJ3r9;+tndDK z16$!dSPiS8h25YJb};;1ck&wAG_I69>bUQk2@p?$k=(g6C>ETprJ5QME_q z@;ac`bNS`iB~!g(-UMvYEOaQ{$1|0O4Y4z|l8>p)yrrd%4co&TkPW_xCDDD+SJ8$+ zhhSc?KbS-9{Wf;%_b|LH2@Ap#aTKaC&l1 zh16wrNA>j%P=lYKR;ep0m3LG` zeFIjuV^pXmWp-NI-(ajN0Ta?D?h;4T_b_??$H-U#yBEI26y)okleLZoL-vd4N-gO; z@G@sWAbd+*PjVubAAnhNXoviq<(bLa&Bpd=O1(fCkY&w94k}D*laFXmozQx6s%^=h zwge5h1xxA%RTE`oc{!hT?T8(9l~^U?oU` zlvbc-yC=1Ni+O#N8l=k58&AL%Q63+pAS<5+%SfPc9inC#dbbmi_k#8xDkr;RgG@%- zJ;EZ*P5!$bHb8P>o0n~Q@}4QMsXoTCY6hltGjq}h+)a7%_`i`uAIFt0fh%czi z-&?3`dl!4Lx%~?(-}RnpHy0IwE8%;i7v0pGHn{jLXTB4`&`gy1-F3k(fYF zDoBgl>#$I+rE>2C>}*M~FzeXoFg=YTf8e^gKBRJe2O26PwLbl@a=Va2s!6_VHHuc~FM@Y<3w# z^yEC=z*4Z;qZ6xWvXd|VQ2q(RZ##8icgc`!q_(pQRUbt_fAynl-y`g>)F1{f*m7L) zHuB=?H?lKJ^Rd)hBBM?}Jb@wLIQ)!4rj@6tvu;nEq8uy_0Z+UO9)vHbPp!(mYJfo4 z0Jb0&_Q8wb0wyzpt<=L+Me5ps&Anq5Fy8~Iec1%Mus4|0wXB7!Se(Mxeqe80VoNw3b+to0>#J{}F$ll;u4uO=J zXGo1qIy|65I=C*aQRZ9m+eHu`clmN?vztT@9lFKU4CLVZKOCK_r^y21rz+34)vEGR8I!b0ZDj{|BzV@mnA{Q zWd}(j__rdUmNa{h93l3CLm|bY#ax}00LgKHpAN@!fwgw^IIiDnH+IGtDll6nR!nuK zW~vh3-O0~(XT3**?-0)<{$ZX3BlWVfzZRiyg|@)h4+*9EZE|`6+nS z@zpu}p}}{{k3^K^Q+2q*d!Sv)aGerdtvau{pROvPI!{xNwP?gu%knN){5jus}T)k93R%IIVK9hZRm}-Kb*vngx)AP)5h?J&hwH@{~BeIc-=SszjS7hHf z^m=y2;ksXEO_Y`r%!`xmT8tqTa#WFLZ_OyHb52!cNA_bL>w+Hbz**RmQC46_RwEZ& zn!kFpLRHb>g?M*+elNuM9sf)zu3nUHhn7DGyWPj!>l_%Rvdnn_&}|Xk?+}&AcxMgP zAQyAjj?X6NuhzV~0I!Yb&aQ%`1={XyMpB0ptsc6o6!$H~lewCM!i?qu(sTg5{Rf!s z$?U0N?D{{Ly{K72R^TPGni(0q&suCw)Emdy z7rU7I;mGAG^gu15Gp_&eelk?Ekc}4o12UvWtH~?oXgC zWhFDQm6M|%S;bL&@>_Jg$L~>2&YrB+4D@^sFj#}RS~@nC}hj$@_LCQJ!sN z{|kX^8qD+UB)9ZE=*`L^1(Guqd0)ni)JBqr!cY1on#F0$?O@iXVed3$ZX2NyPT4i& zZaRvc@Cb|*Rnha!$l|48JWEX@)-pNub?*>$Z;ef`+Ab#l@H6iijRn$$ce$Fm(lQU0 zK{kAi6Q;Q>FH6z=@q2hHw#&nIk4^?_(_uI<7IXKec0JGkA^N2uY_8qWE#HanY+YoAILqR**>@LGP0F+ zm{-eA2!~?hSJg@76*7ih)ck0Fc|ffV7Ru^kOgI>;WV5EvJGSB$yI*&=sj>XVglWuP zzltmh=UZ*5y`AAGqrGBuSZ)IQyA-q4b86t9gLomQZ+Z|vTdY0#J4m$2s!&+cl;OVa zd{Sie#;d==IQ1FYYrfaV9? zN52EUaGI&;UDa8_)2fLb$QoovgIV&Rhixx8Mt^H}cqhWTV6-0Tq2d9ktc_-r-w-~N zE=1=KgV!i6+i~}%WZu_^Tg*vL*%2i9UbrkWfRP%mo|sF>)mW1jj9_16I>EjP|4ucN z*YqUAqwpNwl?{mt4-orwcBi%2HxJP_?|^=Z6PY>hrrFX&a&r*dK1$TB95GsLhpBID z1)T%_9GJJw*XaL8Hi67dC71$NVWVDz_xh4)B|jxY(Hf4Ft>kDww7R718>^RnZ5bVESh<@ycl&XO(0@~;Io50wV?p2cM;;$=Dpiy}n~(KcIg=-S0XNdG zXq^?z+O>SVX?IdC;kh-NXA{58ZNGWFHN!%ne^E(!BMtYfd<@csCzxkQG zUr@8b+ae^Alk%!dU=wJ}dnuXZ-|mTrVI;_q%8TZ(kXDJ?s~? zZ60Q|w)_Vlubf>-ETg}ziv<>sMfL-}>kzD+&**(pUoJ#%y%wLyww%*dvD*rg!CQxA z{+z!q+APd+4`lH^c5fkUx(S@Ut88EFoLKyid?G#mLMQy6_rx4{Z6;Fl@CeJ{y!io{ zE{eT1*`70KL{0*q=h#MGYP9{s)PqIAAuSJstNztqF*U>ytkswJA0hPv>#!r4J9PF` z^yNdb&twFBT2LCZk#&D&{=i~u&c1(N^tB63S@zFQ=Z%ym7KLTDu#Jzi*-IsURjw@Enh14_7FM#XA(+ zc?_7V$@sGwv65HP$ziO`3SX70(R*bsnq8bQ!|)$!!ItzH_D*r6A`V-49&_CfpY3z( z*Q!{$-LYL4VG*}vhI%mWyvTDa;#2RjZjM9iV=Tj+>@Syhy^Lfguzuh1Gm2)+fCu^# zNghIU?2hTfr%w?LEeRi?s~OlHz6C<5Jxr&0!Tpvtdyu+8AZ7}in(*zt(rMr$%*^c9 zW37Jyb-Nhe*bc1yYGiCAcHj)=ESp>kdu2H|Ukb~`Sk9wmZnacp@_r%$nO^o1*|CL{ zd_!%8hdPVA!fXd{v}x)I^5U=L#j-vsDq%78;rG9ogOAXr%aNgWNK$Y75NhtwtS#Y1 zjKSZnMuow6xPhiIOI7UhM9#TVwjz4P)r6kmS<7;jaaeuzz|R+y-(j&#;3Vk`gH>s{ zn@CY5Iy~f*yF^X-0%qxFXo|u_V4Qurp4BYDYP#I%F)Gcg;$@E{o?FADWS!n)4tFyz zi_Hw(o%nw~J(v^pD>}~{Gfngb(7H8EeSH&#&YrqIoW5;!dcDLGUg~tFC$P`;)vV z8OlA>6_iFItII5`e^qMf*@_Zd6ju{o}{kX!jdFC^|= z(F`SyoQYU?8#~I3g9G%m{+>wdC6gZ+t

wBHl+r*MR+d4&M6-xtgOy`kSczbQVZM zxAea7imw9?k;I$fSu%$Ky(NB?^F&x5!je zQ)?I2jE&xJ|#i=Yrpsyes}8u5^7&zV#( zXdL{acW{!olTGju#>(Mv#L9G7vm+6?;1ZsJPM?7s{exaBWD67RYm97Fk%P&F?}x4G zlH5xMdphi+8tmL;mDy|S9f7NHh0F^c{|I#ldznd3)<+W!MGL$Jw^@;F_6VJvS$Y9S z?Gxh2rJ1W<`ZriZ@9M8$+w1}_YzA25(&?hX;V>c|>%~EC`m`+8`N+&&36##Qh;#Q5uXyQT+G9U-TS$W=$vKFe#j>lVWd74|maXVKck< z5MAy+4Oi+5^bc4G4&ZO{Tgk(xK|1}jI0~AfwkV^QhmUkYs!O)Aw)4n(wDAskb?CJ; z-E(Zw|MR-iFW^f*-hUC3DfUL>lDAFWr(;8A#xca3Ob-5z22KxhXurTEaSeMpUbcpwEhg1%3hqp<&n8U*sj;@2D3DLFPxWvQYr%&e0d7n95t1Gh4cMu6Zj>M+qnhxJukqlO4wTxMNCQ4x;Jd~Z( zn`C`o!^H@qOntyk&xalH4U7x7u>cQZPr~y|G+{Gcc>cg*ZAeYgZ|tCt$bU4jlXVq# zQva}>`O;3%*?GOK9chvyt3{dV!e)XE!(Yue_8->$h#AUGqe~O$#AI|&I>w5OfY-5- zF3vbEhfA?T|0Q$MLx7j?_Q+1`EXP7IKz64`PcrW%J$_cJjj{nu-R-@8&^!WG>pj6sPfO zBGJ3ZG5;~ebWL5}YHO+bI>e|UcyzYo)HVqck32;`YFlUM4+}3}EN5MIb*8>f8qF`s=7qEdb6oA5aq-1%t3 zT*&YkMwFH8!!#_GFYrS$;zv4`Vi(a(AoiS(-#c-dr6C9XF8R)`oavj0VALi)SDW2m zh^Sp^Vh$Oxw=3aKW&-nb)$F&h{}M4+i(k-%XD!0L=rkbK;nzGMr`Ca3X?gThBW8Uo z8M3w5RFkpI;>cueBZ|Ebyy9QxvK=C4Vqdl(rt*7@*z>BUc~WQ*|}srN1Lh0-!!cImUy8#K+M%83xCY) zAvQVJUN_6I&KjHb_zsQDIHI}N$ScGXsqH96;!%x|^Yv`INv$AaH-JcNJ1o!|GOZYA z>XO+#Y8u%El9~{+f!$V;nDQypPZGP0@{W)rjR)BYyszN}M5fkr@x_;yw}9 z^mtFdh&?dC{vvAPDWwoA^q1JSquGC@iO{sO<@Gd9-s&13T(ktcl$D(4XF8Rs5B{{Q zUc`y2Io$`E!9+!uo9R?JQA1V+ z>v=*JBy#_$tV47aRH^&&f6eyHCv?@PErbu}VW?p_^r5~M46Sl?uzk}kX6$@63; zuK!W^r>>;N=;^^XL@BO>mI&3caEqlvHyDeI6(Lroh~({rVBn(GL`bT^65&D ziU_0c;=c?aE}6o-w6R`pH10>l{Bp@QHeQZWp6w^URKC0+evs2tZT!@$==ED>wO>WG zHAVf7YO<-NzE{8Ci&ats$x;+nCyAkMkZ+2=u@0`HONZgR?Xm5NynjqoV1PYE4B)JI zL?v1|Dq9zuG*rKqBa-zwz1}j?>2C|~|3LR7dijQ!il@`q+f7ZD^d1MP#5PqqjG9%d zC>0o!F zFum91zq$=|bU`?u`pJr-A9}u_I%h8FPvu3u(~MHTg6W9$>w|kq>AjDKH{BjlIoYLu zDHmNWN$jhx+=|XmjrUv4WK=8cB`Tp;*)n>8dRr#tTp1;E5PROFQs8Sp@oIwWZK!VA zmTIFYZk~Fd%CY7wmiGjg9ih&1hFzfY$#mF$6~K6m#H;^G?xfDEtSU&&eG%f559|nP z)OPy^gFSkDY-wsF%EtuJd19%bDaauj`qjdLvXb~CZ0COjx~jR~!n_-9@$ND!ADffJ z!V{wF)G6CY=l13k&mHAWGiAaHs-nmVvU-f{YroLD#C!G(<7#SmMtAzP<@@oK{3)t* zP|bGtSL$8iU;Y96zaX0&qKRp6EqcnO?gcMHVW%b{nA|Qr|wu%s?OQf#>s)u1gjBNPU^8 z>cy~+T}Zu5dhEFG$Xx8V1!N&}i0sL9Vi(iYXJ)*p=cNmW(6Qz^R(oqzK|V0I{pJW!48cJV~zp~khb|2G!&0)K-FgFjSKf1%DSI(etb?B1aoc^vw3x%?T~ zJ&!k7jo9`i(T%uW8#7xqqn@ju%0=ImS>6cSDp=+D)O+Ms_4OIs&p$#n$Q&|Ujj433 z%>J!y4d?X}4cCz@pf7=_oN6ABE9%SI6gAtUzsKA%Z&Bf~Nac@~QCTDHqUBV2uV+xu zE2WMl?4grGh3K2&l%EV+X@lxRhAov%;SUTS>+F#}3Ek~DKW{M8mZRo2JGD$Etg5p_ z4uqwt5$r|OJA$S3S8&u!Py>QHrYC#}XZ1)dv>LjM-6FoF zwEmN*W-Jw(U+LkhPxvmiS*gPcc9+*)_q9*Gtc<0pt>J%ymP+M6q&|4EpPvffEwR&r zXJW8_J4gdct|T>0r&Y=51g~C9vG|N?N#w(znK&lPlNFw07Rf)0JAW!MrQUWVxQY?>6=y*W)8Fn_ zcOl~{N?f3!DTXyQJ*e$pP@{tvSWFtUj^7NOc1=|0m)b+!R5g68;UqO9-`Ql0W{ji~o2Xs-QND<_2EjZjSWT_Qbo^J)S5(xm6aR~*0k7I7dPPM1s!=*E z`oj~Z*+|R^;vBPxmfg}{Q5|wnXEcq}RdFzQA?AAwp16Ew8&P%i3-Au(KoGiKANlkQ zuO|rpUF6vts5ItHU0H2VNfY|2%>KIgyDE*hFj`ZV_IHM5>?*40u+oEwciX!iKTZu* za41kGS&ka5`7oROkD7I7;Z5|u2Wei@>qHE43fgpm`ig3M;jc6k?PcI8j_ba-T4oQ( zY$`L+!tRkPCJ1Z#Y2K<$gwK5{yzLLa?sX_9+cnu)u|iEO>TCIeMc1yGjg7s zqMoD)XYWXnR~^*tu)lj?(L~6Fy&1V@8bk~GCCMHQvm=9jKAl{He~8nCWTJOROOsP= zCx`3bO%1sCYjWZj*R@RN*k{rE!7G1&ov7b}Vc>ULMx>`=sTzC`(6bKtZa`O} zQ-}f1q%!bHI8Rph4(ScVt3MRqshr?O-}0}DDPbyXlC0{u=o);WDuGK+s^16KyWjLu zi|jr9g-Y)COz^1$TZ4>L^9GymgC{YQZSioaACIMU-F|}Q6)QgRCh4s(JxrA!v%i%Z zMQu-2R_qp3wH;T5pW!;X;|;zQ~MtDyJBqI2G+rYEOtg{J^JJYMMu^QoMMekp_n z(owbvnv*Zgt4n*w!eXL_cQE+GzL3w+k#o>43r!1HM9fJA03?kk6YY zUTM0!7;K7Wct{`NTP~N|!!JZ0&PjS@=!<$%OiP_KVT`{DeXvy!|gjZXKQsJqd+pe_nwM~;@G!Up!5U)D|uZ%_+2lGE&P(9ZkL%d7v=d+4g3o+xJq z^8l>wuTfte$5tUTYTxtU&=*bAe-1;)VE?Kd8UCpD;~U)ZGSEw@tvArzp;r4Q9&swK zhgd?T_BZx>eO#sj2QXBp=2WB$3$@&bs0064|7!mv(|DcC!FJJ&O3$9UnOBdza0zio zPQ;)40TjhpPN6xVjH2cl(zL>C!+LFH-jzSQDsLIDXMpU;Mb_n8{fQlpmdyaS$UO10 zNhQ~oabAOX~aKVHTJImIJ*8J5^oY6h{8GioR^I|_b` z?9@5_te5E~UJiLCA)BO&Ua&>4QE%Bf)B-mY^Yul$)7u_a2rk6sGToyE{N3bA_R1CJ zf!+$2%uIGiZo5onv5$2>`aKLGf7I1>0RvSGjAuo#D9gxUJTgBK-x)#vZ-&_KwM9cM zl;`17qANW-6u-;OWVtruU0#vLi9q?{2pw8C>t1pZ_F#LHRJ@YIv9G=(I_-SRuR)E4 z_|_NfVmg410WGsyEhB<|i45&0H;}Z zKL#t2MwHbH@zl3tCHJy_>6=o(&QsrR;(0R4mC@v)E*8;ORQaxlK_d?p{BKz}Z{XLd zCklnRT|9uf`AE&Bswk)Qz}94;*UOjk2Y&t_n-C*!1dGI@=pHe~Z)(a1r97}|;cw(G z76y~W&tA7+C~OyP<=b@a>%^|zuZ?UW3h94{ETz^d?HN^FY%v=_(JscqFQfo4j7+P^V!?>R1^}@1Zfm)8PKL?6!BDvQu#Y6Kpxs+#g>CAx_S-^hm zy&=EnZV|Gp-9%NX@f;qQfnGeb7z-=JTj~%P3+4}ylXtoMAvw|vvDefD@H&N*!iOwLWQYE1WXbBoDKlL@CHLzSAF)J( zz>?bpIZh0;qm)A?cfdAZ%I?jsv~DG@kwrgYPKY{cBHr40@eK6I3j3v8L+0_E%uFO8 zUgjo>6iejjcp}SQO4;0gCcB9~=44L|L{Gr$(e3uKw<;JCUg9)u6Fr5G z`Wo)0wQ4yvDZi;+c9bs28S+1Ty-&&E{l$6J6NEIKPGnT5)x%kSz z;JwYlBm4ykb)A{A;0@lxOPz<;J%M+PA;0F~z3n7!H0^7&#LMN32D>@3l z=`7W`WjObS5<|F)g&1SX=uN5}7Bqa8asYYge)20ZQeQ%El_9!M4nUlbPM4nFE80^} z@+#P9SV=m}N=Q7m0jQ9FiLM^XZQtJy>-exN$%H{w|yxQ*Mo5|Nt+;aq%_g61N% z@Lv)|Z4U!T95MY7RJMAckowy4M%osjfLtGr5Ul8Uyrd`@;Q?SphN|0kJ+>Ph8S-;b zcR#YzjA$*dvERQTD%T4s9>vULBmS{~n%S1%Iyy*)e=pC@y8oS7cwgiurb;arG15sL|1U)$*!CHN|9a;2Y2)m6hnlJ!*G#D?j@UE zfNUE55Lqox{0Qzd4utVkUSCS?;~p0072@d2kn|d8m|CEiT+izQWO9|7BnA;l@59-5 z98~m4yzO!@wERYY{KECm>Fh;Cvr9)_i8y)LhFdgK2 zk!h)YYU%nq6Ibda-X&}DP-Ku3K=7xKnmFxgw0AFhrQHRsvyn(|Kl(%dNtIA1qQ;%c zCMDywm2hKpAnUD}ixiv^DZtGZnsre14Y!9@sA;L zI1#P?3>@J-eD3U=JQ;0KaQX$nI4>naoQit+;Q;2wVu=~vj@J98B7d!Sz z2`Ya+wqON3=+w;QJ#bb_LTLwK6O^>Ci3=Yw!{ktMC*|-9_R$M6D?a$|;Vqla|H^a> z8_T;ykf(u7*+Iv*vDgA#^gK{}Mf4W)h&XO5^N_5_a-z9iLOL(a&e6 zrG`IiX$?_}D} z+F3Hf&N_v?K9-umUCinev}`xKNl(DWX=1a%U~rE3@e863E5H|4goC9tk**10BXi0t zFBS$1$f~XiU&CoKgNR}qRo~XtH^H%AMJraduXSZ4qy|}{o}BLGiQJ!(YtX?{*ato7 zk5-f%L?fcO2awWKc(xw#WqMS|dz>T7=`|dZE6jvNvw)rYkQwVkOusUAT3>4CugF1S zEq0&A;@pT8xs$xZ60E>h_`U}i$4p}C^ROGAaspKX>pYej?nm83YfjM9Nbqr_yA!i> zft=%+Rcgi2;RxQ z`nt%7@0HQe4?;Eup^%A_rj==pEi#h`_X(H;{^FG)WcFR>hK}g|lw_FpAyEh60X!h~ zkb@n^iIIey2h~Jiqt1)(&6goHOK9=!WLI<2r_W;l6(Pe0dzG0Ds$!Vz!G1WvjBI9q zoF$&|H;DL+?C&nrK(Tu+VhjDDa3^G}DD z0=-n0Q@Epm#SI>ox0I9{$PhopTbuy9UJC3IAu^F+dx8a62&8;NGlpp13gT9;VSI?8 zMjfQ7`M;jd0z9g#Yum?1gA{jncXw^k7N4-?^X=CYgQqUbfeI*0Ty=Kk`Km!1y_fY~?d-Qb&-aud!vzh5O9+ODDvGduRcE ztqtDrD0_}tYXWLLOFuv&Gf zUv6bTQ-eQx=Iq6$#c&%s<@UvQZKob~%^i*x-w9q%0l)DB5ltDYxP9^6wcV%eSXsDb z21I6xQzNJBWZ;1t3pI$0G^$?#s-n$7T3@Hzq$^mD%~-*eP7!ifrKvAB5w^QG$QieH zdqp~PD<~7G6KRGeD@KRJ1$Ouy926z-gL%Xe$l`eTe=2Z-(}eNjd$Fu=+||hlMj0^k zNg+7O%jiPrU`4jTue^{so3JLmvxWwd)R zI)m#tY#VPtp$;PM)A2)PKre0v36+wlyopo}-?bAYSp-~mbC^JOF&lC$JZQ(|Qc@zi z_XS^gMQkX2VWRF0d`NVDa(m?R2_En@@xw*@>1}rDZz`_>dRPa}i%t0Td?2Pu5K)&G zcCst$>C+rS#G4vFyb|ng96dO8WH^1!H#yyg_>&#<4OalGU4=?R5DT^sW{J*3Gz}tA zWLgzssP%4t)~&mxh^xy)MDdcF%Zc9UcRGFORyYmza9*T5nA7g;YXX(pn=n1>B$i!H zUV2reF?Y$_;%PTiBswYV3o(hto*%;o78m~Hoku~T?gx`FovKN7Jl6>{@D08+m)MfN z?_pTno1zaKi zBX$t?aA&DShiMo5adghw3*wmpRIB$%M%1T~PLW+00yiC2YapXN3y z<jR!J_n|%HEk?ngZm=E`W>~=p@IVMe9A^g4Xy0JZ?SVhWo9Z;C4p0(z~}n zB)oTgZWVD-q=Vf|SVi9gU1L1s0C9`!!cyjNA714igzu&r-sxYep%pjD>A6E@ws zM>jyJ$a8lSQQdO*ej0%N`7v@u^iVMx%?*64yBs?(0thT z!D1Nc*pGCM7As3rBn_lCGlPXhF#{d&GsF`#%%?9_OjI^D{4ls)+t~hnVFHvGh#teN>(n zp7?k6uo$?@#qLo~Jl1_7G?gFFW3>&x{ScgQ0iLCr)Cr8V#4hxvQgcJvNYBbyu)pbK zpQ6BSco(~HK^O?SXEIe_Sv-!^%;C0Q4z1k94evNz7q{V|SR#73-&GY3i23m+17I)8 zD4NJu1#vGnf%%J6=rdw_vvcEo!_EIN`aCc)nE9J+BO*Aq3wYgP;43pY4wh;jxP%1v zx?RGKU=wYZdG4U}N=E*mruTPJ5A!C_HhZazR~JLXd%5Z57?EV>va&NwQKAp)EZ+Ja z9eg4+_BeR4QoC!U;%M|h?7|8%NU6lfk<(yKWf4YN^3vt7rz_Ea2Rla%fo|K9#6uJ5 z3TuuPI|Y*cGB=fsR1aHA`KSzhhvmN{UIy5rEs1_6&Cf=aFca+H1s zFud_#2`Zv3t3lXRaNEE%s=8URJZ13gbf+*cdLHZj3g$1&{WsCR;mqgmJJb1s4^-K~ zX(Bm(JD>Q7UW}&hHL70#;$B9jB0a@_1U5uF9d zaHgj=c8l}NgvHD$E(1;eD|p#EZews-bMPJqrB`rYbw?L!(AOf!MdSn6&gR@p9eIIr zU4r8f1ot_yv0PhPi`@#711TduWsdMl;U;nYU@TE>@c?^tkG=80Fqs_mP8=5YDA~|* z(sH7QD{wPu!d~&M*oFA75;0e5?C*UrAyq-4f8$i;X%bxUSWW=jy!Y zx+Hd`x8)%>_GMW2bL_}4@jtRe$?=k5yvhsVDGb?)#j9Aw;D;{&Tn6PRxOUtLpC+C7W_(P4M_fe>%pdKtvmX29# z-JYNlx;TGDGrjIRyMvS%*<{ZWQ_9?}VI!VPG*FYiobt{fbZ#RE$X>|!1m}pjNO{4H zEG3oFCH6=-T#LyMsD6wkzxSK_Jy_y%!cMd=mkSetl3gt#&mxjcMT}8UJ}#dU-@}0T z5&v}+EL$`1k?GNKgRE&WuvGQ1P0y$T)f8sXA?zc%O$h=qr?ew7-rY_F(}^8CBus#@ zZvl6$lwusUpgm4%u;XPNrWK?4VY>x&z|MA7YGYHl>lSnGIR&f%LXiA#o=7<-Ozmk0 zw&_>;38`(^cZffS!P?$WTSG4xmMOA~HV*Bn=scV)7oLa8fp;r8^tRp?_sDhSYs5CIh0anYc@7q00Ji(9 zaF!VI5xrMSxFt7&>$D^&%(6tnsp#}wD{lbv``&3}e`}`|&PcnYIznwHyKUP|g@5Vl zj)@GlZkuK7@@{@|usI`D?fu3V!?NFk1WIr$r+{79>gqhTHF23B+e4gx?FZ&7=86o) zE?1_ndApOIJ4tC_D(LwJauzb0S;ZpmSsS)h5jF|z)(Y;*PtoW6^kSWIufyedIEqr? zhStfg3YTMTDX**wn=KE#ZX3kfAi+0_m+?X^i1S9N(-aV!M4dyWj>|!HV!}+vvQ|60@a5r1M^3!6d4%e#!(Fb8d)=I)4>fx@e>?B&mF_TSiy_+$ zoqwHbc=I#nNvdH5!Iq^2KPNHOAPZG;KNzR`F0*sE4QCarfRNh&;&m+ds|P}3=LEda z8<62qVmhHRHYlCb%-t>hqvlt~NpyHRDXsZ#KdGNQPF>G)RwRpXRqCv+R{hF7(0G@G zu2KiBt9O8Br(#imC@oc!^C+?EBKWobl&{N{G@Y)5Nzz$7Njvf*HoYpp%0I{l$U~hb z&fL$PZ-5wtbLe-eEvUKQ?B00aSSl=o-Ly`6+nMVn z-x`O_U+kQYV9z#V%r(|4D=V$mkE|s|f|=d9VEG&$Y`w3H7uG4^8>geyH=0#-cYy^y zPi?r8xX-C%`^jJ3pnLFV;fs@+KAVepvM0#cP4Nb-i`|v{;uNc`U0e9bxj*&PRCa(F z&L-ZKs(V`b>Zu#?4-bSPax&k9Kw0ljvTLdED#MiBnxP(7<|`?bn{sz0k8)1#2Hq|m z-4GSzN%XvIl4^-Xs5$%F_qX=UQMLD+?`|pVYhK7lL2}esm3#0w_lOvB6@Wa z%mn?FE?8@w`|fNf&dj542+z}Bn{(`T)?uTv5z=QF1MQZu%e~Uu8r#g%W@)<#x6@i6 z&*LqH{w6ZVZa(h(xt(TYgSrW$;cv`G?&A$HYKx`3w+9DIj`(WJ(=h*R=mT#axb~90#v8}mj97TN7C30td?L)u22(D z-JVt*tGL~b=;^IJ+7$I};nLqhtj+?hSBlvaIn zseUS))A-GLoObY6KC#Gt}2| zNN%SFytBN;y+gfcJsIKWvz6WQ9p=<`qeG{qI#m5znI-kX;+~~?u7l|ixM4PwWTla` z+RbD&Hq z%%L|5-wXTTSX*pXGOVy0?r3bW=Fw}}&^cuV&5p)WbF%%xnd+?MYnP}p{RXF4en+LcHH|7p&;y!?}r+jlqE zIa&AMRroQN!yVezv&>uG7xX(QS_a=%&v9jqWC_Kkp~?iUpJ$2Zgj$@8Us3WcCBS;M zrb1a>TB0P#t%dLGZm@hlvj&6C*yql$Gh2Dhrvd9*U2Dl32m$1mZbp=hvqK znHiICHr&p-=A86gFRu@q=dD{na29|lJ zs(!VjCm2`}lPOswP}+ar(@AUMndSY}H`AXyP&@F-|K9h=^HkYIth_*W)eW90UY~b{ za*8hbd&KX*f)rRljB{D~S*}EP;dhp1{cBg^hV!$#&OT$BMiUdxWhc@4Nk0hxW0A06 z-L+k7xREOKF#bmT&cwUnf<{(jezN0-)!Fu{}sO)XdP(a|JD1AI#jA4b(0rr zYked9!+gWlom9Tka37E5_PS4gtejO>%Ddg<*006}vzxOXo+Ag=Ou?LIR4~`so9!`X zeCX$dd5LfJ>UL`9nRzw5GNEMrv4jbsLPk%ci0%u26P}{yGFlqx^^Bp`31t$ugyH;j zuG;A>MZcMtD)d))mZ4cY%uR-CbTpP2JB%DotUOM;t7VXGn>E6F!gHKf+JWHVWZwqo zsoSW5P8M%#dHk7!eF9T_$$cI;IgbX0`Zpq}oxJhBp8oFs_Wq~7FTN^)ae*EF&fcfW zX6#up<)oIue<$$OH%I+P%oX*wa1geVpQ;l*|9H;HGo9*&g4GScOx#>rAcSnyOs|hO z(%A9#L@N^36Xzy2)eBg!?Fm*fy-Z@q_~Hro6Ibfz;kWhcufu=p)s3ZkzHqI?UlQa{ zygt@C?xb+inMXsf;!`A!2xl+{!kswYh}9c}7li+2GQl1#pJ%x^#%P#$C9%4FTfG+? zoJ_(El=Fa*TAd#s|D`+ zQ+ppMd6@l?C^gpF`!5Ff`BKh23kR5{dbB z81}rQVzMRMkSr|K*e9uU1^BVQ7*u zg^Ktvc{=DH#af`R(?1y_&4v2J@K1Vf^IvO`eZU;74@i6-pEY4i;+OCOqlr;j&l+A9 z9&2PU_vyPrpW-LRe@ZN5WMTHsTBo6TGqFv4&V<&X_C}(qSe?zcVOihmtdM(XOSMCh zHQ{Fo>qDY@R$CP;nXG3()3$QY+z#XOWA&7$v9EaGe$0sEfn-noevhdPSN_nR`dh{v ziCGg|>>KAP?Tz)-_Wj}ss+&Qa-j>&D(|vNFu`jtNm6DAdL038;PYVsTL;k~o+gk0& zMqLc;3fHsu3Kiu)>Yyu3%hAoXT??ODt$SFz1?A z^e>@q;i1M$v$6R(JT0MZe1U}D!zt{O#QzVhDd8sx?Gt(?7SJaeonS2Nrn!sie^$@kBxs=Hblqua8L+%=EZKje&d4CRE2=w=+QA^W>F+e;l%~l(FI{O|3`z0%oY(OBR z=ZXBIR7vjT=^PjnBgQoF5Aa-4TWROCUfL(+gY1`&O9^se?R&4}-JqGW$()T+#i6{mRC`8Nbsc*DvT(DC)?(yyfU*WUXw#q^9h z5mO|vN4qZPlfIWzc%Nfcss+pYwrd;IkLn<`xN0kxx$Si%=0BPYaP)VbZecu-CSH?|tr=b;ut^BOv*Jp)q>r1VgbWF@~YjRI0s24ZJnFWaTbgPOz z0QS^0_6;MKe#*KjzEdB2Gi#e8MJ*%z)OZzXt(v~_LBqFJJ1XY^QIl34sQ#q=>@5(u z8SEKz%5Q1imEF>0d5q_zFD5uW@ZKAzc2NFN)~VIB*J>6ejZ{vWDy>pFsg;TNo=eNb z{=!3Y$bXWXo+WKnbmcuL(>hjrYc6-TU!4SJGHj$d-EPh+?BVZ5Dg9-*t?|*?#vQDY zJp>e%deUI5k#1Mepu0>3i;V334IzTXl!B zl1|f;;!v1Zf1&17UjU0Lrqo0TbdiJ2KIVbgio7Z>yo9|exmrUd=&PuU3UPYPH`YEr>mz%8erd{HbN8D@Vm%bT0c^41j) zzGbNd%8>+nxLHO|6Bfdg^r==BcR9GM-u8VXwcaF*hcX*;Z@);E{dYP$d`@ory!j_* zJ-{wQo%tcmcoX5k_zyObAi3oTx3xCZ`ZH1SXn_?`+}HL`b|!lnxua%IEi@q@#!3B^ zP0Bg(h?~#OZ$7eqkBpYDXz9FPJO{Mbaz8Oh4s)Rpkeg{!d?N!Xf*Jkiv`KPWP%)>a zGwN*bOy6Ul$5+l%LtQG*knR%Uu9M!#`P7%{4t1@PL*5NaWH8mb%v3n%f$~?Sc4QoL zME-H+*vqUOmSOI;a>HU!21zeOuBwhH8b9bg^i{?W@LzvNb?Tk9#awT6H|7{6&1_aO z8&*K4fLnn0;jrD_{%EbR|Dv7%7Xui(V;~pQNCvQP?P0V0nL18xs`odk{FG;U%@A-P zX{n2^;I1pvcl{9tg!* zr3>;b^`qwpUm;&oE`r7V)h zMLOE2%}eH5ItoO27jq+OY8#aXlEReM7v!3oa?A1g()z3Vu4#FcoYD-jyEKu<6% zgRyR(^sPo$vpbV58k_I*0^uJ*r$Sf5r;U8pkM=?KAjGasv=*cJsqDPYB{E@a!F~)C zM}pYTOC=(+bWLh16R*mbutEjME|rpp!_!?znhh(`JUA{2!Kd=AWQ#4x#AXJ&IG!9M zd>7z2549$pOFrnRZq7NhidOa(S(%x2CVN?|?U!+kRgqm7GPo0q@Im zxKjp`NB2vI$Z2E%$uQ0NVmGy`+FRf|`Cxands)lPr$$rboE{FZ3oi=S)5{rQqX)Ox zUUVVx4@>BzJ*uPui@+D?hOFTcys#!g2CQb+|Uj zQ^M0n%b}TSe$HutnnQh~Y*!XCJLX@diPBGACbg2{u7(yUJe62NckLFUsP5D%Xkq1v zbb>mC=H`d@B87I%Um&JP%x&Kd^`KNr{6V^+4E1F9S>8h4kJ=$L>=f)vcfdV?6{89~ z3w!jJTL(t+pYS5n$pUNE8MBx*h8t2j>$>^ad}-#g#+YyQrQw3%Qu;Mxl(h?cSIo{x zyq4c=${dNR%r%<=6J{T(Fd=GCd&w=Pj?NZPrmMZQ4%#NgRzg~P-(}w&??F#4&u>~u z?WS7Y)7m@2+m_7jO0BT^QT|q5A@!D0!mzK%tzq-o48~xb-QT)tO}2kwqQO*q8+ocU zMiYI9{y)Rsp4Jbo#VaaN#jYc z?NlDf=dd;v*{kW~TT+pw{+S9@l*8d0s;MiSl`s%>vya$g?B@0eCN#{%f|Pf*S-H(+ zMo}WCob*Kv0iWB$DdJ>y!f-4#b2gDxoCwl0B(|a|x)n5gO`(R^RvIsx@>lts+*t9c zPt{dgQ*EIl5Wl{cw<>=q&z0ip2{onqLe4Lr0BQ6D=Dor~a&a$N-=^@{4RD{@<%o6K z+6!%g>|#25A(rop7G(HV;h?BYJ!U@M#7{I*f{9Cq;2Rm|G`FWP?V^O0 z#rocA%=C*(yzY}jT4k))%jq5?KN?Yl=eS{wWTrz+?hylsYpT%oaK?E@z5WiUt%Kk| zCPmSuaQuvfhvY8oKL;g8ey`+IQ>Z^G8I|ZnpfB+sZoi>?_u8t0aH_=J%lBl?fl!s=3-EJ+ZwVQo$}79_`yuK=z7JXIb6tk5%$(+TX4w}C(k0=|QWE%ZLx7-6vtsY3#WG3~Yp`cX;i4UZQ z@=c|^I)QtDtL$bf*G46?x>fC`3xjz2g@|Dmj4_+2g^75l&rFu;;S_Qb@DLL0WJIzcQ7+np?E)}B{cc|a zf$_*r0n^g~vN>O!=V*Ei>=0{-ed;4O1Gpbru%uiEd-IM6X(~469(@L_#Bu1;De(kM zN+-dKw*qH$4+PBwc!>^5)u<>Nu#WbC>l7YEB&RifIME3O9=J_zVmn5-Q4F8Lw6f)( zFuL%Xf(ESu*F1-wmhzlzD>$c0fv>vcx^7MC?T0`_#9-4CKylOrJ?SMPxCR>hIjFR1 zU^0T#A*1ua9)q8S&OY)#6PTnDWy07;{6y~?Yd!6xq*AEc8$j&rbK}ta zo$h2h6gt957jYgFKaK+zG=#ky!-))MRT=4Q=?k}2X;3lIicdd~;#t96Hj~;*UGcXS zK@i?07Aqw!lyb=fnB8~{Bt#s0yOnzF6wrbVsPwhM=DY{dRF8g_byVrA2zO!8REbi% zx=Y+_#EXrH(_Vqa8R+WHMdzj?v4;cc)F0~ha;w4DdfD0OyyUAP=QeTSTx6pU{9apl z&J+B77@TF2v)`U>H?n)#i;<3|&T;25TuCRv;58x^ObaIe6Nt=$?D1Km_{Q=LZgJb$ z&$mpsxkJX{F0y%-**4GQ97;cBsxnl0FE_)sH;^JA)qWEflLNRQ2AP&p0DeCMM$zps z#`gxTaRE3vFlsFLmSBNEB@4t&zrza$3})V*ezet1twCxeg~eg z9f*Q##Kq-78wBxRnc?qm#d&=OA^8*(m__&DHojvxQXJ3U;;}im!4eLqCuJmhaWl$# z0IIYve_Idccqhzjf4aZnDcXYI?1Wz4#8zd1@B0hd$s9Hi9X0tG z6M4$L+$SgUyj{8JEkdu)@HKiyBHt0KR--SbA{;}}jzJMSw1mvgS7#e&qWtbadK*UZ z9EZ5qc0v+uY*164v<;Z>!|)hJh)*=;YrhB>YyHR#b|ArO|mu!e97NYA9tOjw#F z>0%YIl_}ul8U_;h3UTD$Aobq}i{P=0@>wzslaq+yvN;!egZ9SjFs8u z{K?$IFdF+GR<9j4rVCtngTegRc1_RO7rB!dAeWVV~tLUS%>wF zXFhxZP;Gn3M5O|Iq;ae2Eyl9qX)u_6zzTsMpmsc%uWYBEYAYCJg`R=!Nb?2G%tUh@ zp+WP|N(r3dRy5Us+jb`Zz7pAPiaf`lIfXgJ6ucvbPN~Wu|BLef6i7u0B9ISJcDzUl zR@V+mn+$tZZThEDf|tLJ%^&S{ra!kTT2KTG*jsGWOGkqnzA6*7BFyGGh!5j7LELf8 z?%*&3Rd|Uer^9Xx;1cKkE; zJOmbf1~z6c80^NZeLr~e@u16lAWg55aO-dBohZp}{7J{f1F%MOu>gOdvFX|8t)Ozt zao--v>l&Xu3f|(3;6G2J&lWe**+k9(UNL;uN~ANMPxfNjd$WIEh(GK>esR5HoeT;h}F z;?Mh0iH+qoz->v)6oK7)6P{%O@x*3&Gu~lcGQd@ri7u89IjEOdjDGBh24Cd>a%6vs zDWt}n&jq4~c0`FY#Ae`3^V3Jw67RPej>e7boL~G63zdRy-f$$!1=J`>@3Vq8ZGmL< z#oNw8x4FuaQ zPW~uXdp)mh?A9(cAOymx4tVL*=*~l|zbT{;MJi0u&XfE+Q97PTqOUT_PmiI zA!IuZpOS{C`?Y(QIOQ%F7!eK62rFl4EPs7$Tgr&xdgy}dg;lx_%J&1kU0+#A7CcZh zJjVnw4BODdophG{imn|(J`8YhDk#Cz{0ozkk*jI=oLGF&Kw>N=9K#s?9rolqw6zSH z_YVB!K~DcB41BPoqbHZ)Yd(lwSjs70<18=J{je2(G?ZT731CN}^M*EI>kjcGlhLf` z^q0Q8en$RU(sP!M=gJt#!A@o+V;3Yl^a^?FhXnSA?eaf-WHE4-)j#c{AayDqmKZzu#;2VBJ6CTh@w1$|o4A`7( z=*MbgA$m61L5ux@oxBBF`4)R$3<;kJ=lb{T-FNuyGe~D?xO0CX^V3}X9=}?SyqLlq zj+A0fG*;&nmeTKbfM>f0kM~5pSb0_!rf*~&eBhJtHS_p{?&xiHa+{er`P$g4YWyt) zHbf+H^AS-ML}GuTOCdThXDcUj4sA(+Y*l7TP#t&<+R+m-l9OJC_C6r*q_F}AzWj&i z@<+N8(z0`okjOsWgAHy!a=Qlmcp6FGNS9R$As3kW&!Fj4_AT1&E()JG_am%vD)zGj z9iv_0K&%EMWqM9GJ07$F{IiXc{Af*dt0XcCFF#+e%kL$4iULS)CEn2yndr^)wMPG1 zgIR5jKDDL4Vg&Y~KhaoizSoBanC=AnSf*gvqP*l!d4hXr*>6}DgY_q(WoLL6hq(BQ zP?Oj|z)vnA+O1FTM2L5GK|nx10=zTsz9zF z#8)S<$B%?Ke!s^$u49+4a?*RSRNIK4CUY;S$oIP3x!zzQPasdriGZU0&0RR1I-FWr z_NpotDl_IpRs2u-MyKxDJ9vPF4&matY%MAWOo5c ze8@VUB8Ol2^(@IlY){&kdF)MeqFNvJrwUeI#s5Dg_BsT5cNF^$HjnOx{q7|6lWtSD zFp|_2nK^(Zx`K7OffY>P*I%6UQ}psRJ0hV8>(H~ed{&4kEI`l86D-OtB9kpxhhF@9 zSxzE3F>VbeU-dy&n(_LMS9z?oLdVHVp6V782^Jxn?KqjCNXf70=qNrr7GKaC*=@jS z)F&>i%_}PTMR_&iopaF7U3~9F))d_tgZN*-@@K=^MJEy#=H5~fUG0JmiNz*QUP}*rB(P-MZUirzei_@Wn;H9fu?tvqWL=MlUz_lCS|;Sjls& zLSGgoN!u)*YY|iZqEqJf^RCm_p3BJ1bD~BGOIDCIl}A77asJV{no+jU!T~wver8rs?oz17Qx-jdx!)ZpjrT%YbU{AXKTe8EIu^>f=?lWVzvtku<@q1cy z#E&oef;V~S-l2>91X2HOI%rbxZt5(oDFvU<1sU9py?6r3GdhzXDhC!fxS*JWoXxk~ zlgAUwZ6>lk#NGKgwq!GwWHdHBhZv7{-^97}W4(3YPsqz|#~>LN`}~2Q|D3(M%6jK> z-Yu~exmlCL3f|LA`I0JYJhy--lD`Z+)t!kwM`N|4_4DPC^{nrABE5r2dw3bi{SWC# z&u26ge#DcHL;|9`ev^^Zq3mEEx~y9BZ#CH6=-j;`NI*rpw5lgbL{u80U(L!+I;<=X z?+uHLNkU?LNtUDl@>!PMtCjSL z#jtj{x!uMfKMAb#CF{M$*&k#5(YfwBxIJt_S~egntC5)Kv+d>IPV=r?Nw(n~@t2I0 zW?~PEvDQk+a?K=NYl<{=eke!LvQ)DXw90 z_C>a_k4uQ@reixtv%B5#F5jbt)tRtS1bdYyNlv1y3;D5N-(%O8BxQRLT_k)P@#vWd<(9nNm8q)+_-e)$aE@G!n| z4{`G*zPgOac^aKj(fOVu`4x*EPGGl3@?AZc!5EdvlK6~dSS&iJd8V_R|6#1a4psuj zpXYwc^H|u!s4vWoltiZ|?SoXTu#m<~>PfoEH zI?)Yl(1Q4~J||feTbWbHh?Pj66hY+Vr$#M730@`9*ZiDtYHoqih&P^{zrpV8Krf@# zdmeH*orqy3`mzS=y_Yqg=ft8DTi+pVA*?|(((@qE->{lYNfK8C>4~z2XMcXeM1IaPyuohH{tnU+_Ghf}DkD{Sw`6+4n`0 Z`}2fd_(~g|wQ-Wk1jLsc8#MObI0j>MDA2)SQIXwhHFnFZ&hoE*BAqau=Q0AyPqr$Zz zNKwEa!9QLJf`?1dK(SC7)B!y64*VqznhyB0hSEU!3p^%6&EUK6WB4I72xN!(JQTF7ItGCaRG0O?Lh7-emDTvm1jtEcuTk+`#mx#+*Pnh7v*Po zJhhJ6!jR+zw%O_?w` zn%E65lN0#KT&-~P@SgC^@L29;cz&==0AoM%1hkS`MlK^85GsU**B~xsx-wY4&UR)S zbMFNl*@Z^ozwx7}jJKfcsda|IhWffsOfT%I++JwLAK|X^$M{$wi~T#C8GaWgxXA(v zZ^8-k6zN0TLw@lrzgMtIrywKJ9{NvQ!3WuDTv&K5dk_RYj5I`QDI29-ViEUG*dv^f z?!sq@fn+lxgv280@JIY4eV2KvE@L2a3*29+C(h*!(jt+R=Yl;wu1u91N*upPY^E5n zxx_MZ3jSMu%ljfj!MbirL*M~mMN6dd;vT7m^iFCFU5BT^bK!1KIhhcahUbTmh32tm z#8=W*_!Tk{lhFWSCuh(*)$i#Ly^LN!)*{SkOK3D7#hnJ9sghnO9NZ7!V;neZFEk1r zNWKQINkw+b%LEhGn_I^5{01pe87JS9LQ;Y_o}VPGgj137XjN<$G*x`U#sseiY6kX% z@A5O05_BK_gQ$-0#06|G-9Y2l?K3Ua%j)I0L8;E2U}p+@1QSF+?V%rH6ERO5CW+8I zY&W%rZb`d{#qcCyb7X1wCwotN3!jEG5F#eBJwhWxwS~%JqHV&dWGzd@V=eiJ0V{BfY=eWa5OZ_E4pU+Z%4FZRjHqnEb&q|A(

?g4TA z;1l3Ka1%KQUxsW!N21r5t-AK6jmAD|BmI>)L)qx}^b2CBvV&V2smb4xGm#Qh2B`WS z>BdFmEodb?37H~yL@2cDQgFJp-cZAaCWj zh-<|+(7(t|5bb)RCN&?iNDafE16VkNJBd`p-eVkW=DUX~hb(MYp%@wm)s+{szrBj{ zkj+)pvn0d+QhG(dH+IrNbT#O-{Fq9z+)c@=n3?oLmk-}yud}Vi0>ub@l?p-DH-m=( zteK#iVqdtiI>~Y(cB%0Vnix3a&hr4_?Fy|3 z-S(!~FBW#UR$#qkdvoh(wYEIEL+GrG)>KYpQXW>A6SH1};9+PyT7(ei9Ezp_3aZTmCH%$6m#1^F^A~8#2qvP+9kRa zCwz_?%;e&+P&>djU-5qqFs=$r5=+%EVbk{x*&&SKZ| z<07ZU7-6jcudaN`Z6z^}J)k2jDn{SG|_M_+raatWO-BoHoxH z_ey77?;1~=z;rgrV=TQ}(!T`a`soH(N)vxZb;IMJ@~Bb&Az^O?xO|P6cbZIc2l5D= zjI2_|%3GDOerpTq_(5mzUqEvZCTlljv>X362f68t#?+H)J+CO4RsQIeIy^ zxOva2KyL6--~?OBmx))!hT-4dL%t>KX|yTjR{fxMi5$-<-I@64{}La^_c0AqB@j;P zs^OLSgz*F2Tv@_4V0Vdg;Oz(oGBqyJNT{Iff?Fa(L>*r#5)x`D>7YI>5H#FzemT^E zOjFNhO5rbTHU9|zf*`}z3vF>Hmj-kC{^AL7MOeN8Gl6$74 zr>sldU{ujfrOV4)OzG9`Mf$g+?rTbZk;105l{dU(h_b&Iz;J?yP;s|*N8%pF88!#h%m&%|k z8Mf*Ob4hf>)J%5-?~QB|isVM*Wo@*sooY6EhHt>WV|ycw$yC61vq8QXxly6ftRwPR zJRq(BwXS1imOt6m#yPfZud7nzERQN~>1e27_)(xbw*oyySJmdwwUH~Fom(T+#=7V~ z8P-Sn)W!HExHWuXG*5{8Y# zvr$t17^%xOk&X00-B|NB<5P8AvYBERoS~J0uO7msvfV4Hp1bY`^L^K+O1~&~im1_+ zMV~Tl(KThRXwSzMrwvHmR<0oGHU3&?9=;N6<(=ob=$_)&^IoVjwU~N9uVls>u=tS` zA6DLy(k`}=`Wf0*Sq3#k4oMi>%3rsvYw?VNP9-Jw(GI_JPk5#6&KE~HK zG+XSctbhjy8-)v8RKzGm$#1Cyo!9g;s;;Su@t$UZ_8U zHrq*0G+&Gx=|qfH-YJUWq+Xf5al>L&#yVtIdr_dZ~ zHQk0fEHxBtLKtspiWoMjSBU4sqj;J+6}K{8ZSkXR_&K4yLMk1v+oIcv+oYDlbAB&W z8)+x)@%6TKv)}ek;qHek_{s_o7c48S=S`)3Y7)hR*GryTH-s0E3(QO6uaHZHQovdJ znPl^=xKrle%xGdN4rys!2H6X{!bQmkiEXM3Z53=%__TMF8;+Dx8QK!veT)e=XX|pC z$quH4rr+ud&^7)ETUWjYXA0GVcb#6>1%InZCHWfv(4XUK>s!VCLY5HsrAEQ1vgV$7 zY>*I5XDLjn9QY7ih_=*DimenqQPTo4f_g~cHJGvZMKOb`!d?>1=m(^{2uD5y2MRc? z(`_&s=^E%TtU0kbdU#Ssg&&Da^#jTF$ZNT;I4%{N6mcSSyB9pwzWMmta2qn$H6 z*`c#+DVwgGkit+2x(nVV*WgnnD|SSkprZ_%w9{3&WL0D)bQ(H@O{20Uo)VMxN^%A=R z0p=wxQe9M5qJT{e$NAIwir73&L%oUYAm0+QupF&7Zd1ZX(;w=6at(lX4OCUgakVVn zSiG_Ho68J7@OssDuMTT9c8?yxujR7aM_`kj!)Jh&V~MWVMgi? zr@*ZlNu8{X)_lUpAdApjW)aIp6sn~G@-^%JkB ztV+pFJ5%vSxst>I#+~?mp_TuRdyMC+O;fDNwSI4$yWjpR+y}jl9}_wE&?0qdexMqZ zOSYgZ^X*-K+kQDp`59OxQowe>x8&ur7I6Ooh2#DFRWw6iKIVaWr}{8dNBE*#WGu07 z;*yM2v5j1S-3m|E4^McXbl=j%@K9Y#wO9KeQ$@Pxo9lR8ru7cbgZ&_iR{HukZ zoGm#ja^ADdmG9dxj$}T@SYzMn`ry^&$9#rB$!Gaou3pUA8;vVj*ZclJ# z`9_6$fxBN7DTk}fXGga1smNHUnbL-5*eBs>p}Ky~xxq8nS<{&pn!tY&{UXiR5pGBy z@kG_%Mm&}<*N&|lw=3pwLaXv`Q#vN!OxhRs#8^QC;}xX^!Aw`Y_h_V6_-l9z?}&Vl z9F0_mSjs~$#b$9(aJ6TY6)OF1U*&ubc)7f|ATlXf>>uc#%)LkUf|~q=>P+m!hhcXW ztK^fr%XZ`*X2AN29Yckl?VfUx)xs+zmrP++5r>f(^mI*;`A|~R#70TAVuu;0Fx_wh zn#|1%_VQf|sDtkCS@sB*!sqb|$n>Ze(cjI34PNqz@@4T65ST3Z;kH~?JR-bhUkUG}D71>|vUYTo zU7u)N9DOzUaY~=Gd(|eEE3{-AdQjhx*BlzS<-hDVd)GUY!XqP4@J?hKyAI}6hv^yE zA*D+==umkkxu)80dXD?9^UwJWtV(FkQgRN|l1$ScWiB!(p~6~42Kifglglz)yPap9 zt=;*~!B)T3;Q8enA=lS*Ha?HH#8!%Hma?h*!^(x#&el3yTc4hpnr!ZmP3Ew01IMsZ zqO5{rdZ3$shyNvePq_;}VlE}_i*uNsswXNx`1ir7ZjYIv~@Dt4=KsZL7OiZx2pjR{pPFcTI!xocSO+1k7Qv_C9_?Gt?r+XMc`cqzJ` zfzeE$D&hZuo7F3F4`vix)P{U&X?hKlxBEb(yp_Z2(|Z7ccGHl&WN z{3tF>osITqPX(6-hXfY-!(e}Ic$&Jg@Mkbl2t!%Y_z)`}hIFAS;r6a7MV6Aag;}=0 zd@*5+Z5+Aa&-BcS*aZ%*PtC$}$$EHaB1ts@@bwRnBS{jf?2)eX`y$D%XlJqav+t)e z56h&d;%|v8V^{No3Y{xa)ipIAR-RbxS>?9n788_4vV(7ulR^kaeot^9tI6gaPmb!`t7A(tSe=Yuj=he;ap1VK4Vi8wz z*10Y;f~%sWpdBELNY^E5I_dt^Pu8265$aW{iP#L4fb9mn_9xkiTA=P3bvGo@8>5@582%wN(X=Md*STNT?S$2`XuUvdx;tFX(E7sL#u9CQ-73=NPz zi#^%VKB4qnQNr&hMQuvV*4m!GoQFK5cZ2V@cXQ|wm=uhnqN%o;t(rg8jY$Pwho&M+ z5gF9ntC4E{HD%M>zdWM?uJA$jJaR+%jh|2%jGf}|rzY0Ot+}w?q`C)dtw?K^^vqPA zNkOkf26%@0%q2bY_j@yg4cIHtD5_LbM=PsGsK1-Kn_g=vLnHd4{8yND-zpyZ+nAm3 zeOdOPZv(Rf->-dAeO~zO^N$9$ub$Q6OK>V?AmY@s4RfL^S~|wmjk8-WnDca}$P0is z#NZ)zB0p15Ngd_Way#sy`fhZsa!B@q)PGjfSnYWFg@j#(mNX{S4!3Xx99>Hd zMej>D+aEhWa!({3xH;_-mrC{FWAH7gH*#L^`g_^xTl?EymzG(7*(?q#xV24iesZ68 zrFr`W-m)7Jo@lCDr758TL?)7fcVz0&LF|dLEvWVfe7$_(U|vYiw~+cvTTp^tr4fuT zqGA&Uq`psWStX`gRN94vf6d(uKQ%H#;m<{pTN>Qpxe;z9PM11B4T$aJZpus*spECa z)x4?^{RJB=HwhVCvIRIuyw#x&7u_J zSDDFl8P$)et$>Q8N?71i1W+)QOeWrV$lR zm#0&j#MRPNBR}F*u(1Le*%C_k?eqQ!%m{xC774N_$r(rlXVGt9(s@Vx!EX!CW$%Ew z#6ic3{5B=JlEwKaisqLt0bJC}gE`*1GyFm@mWz`!AU|3I*WkVBzp<_~#q24kV7 z*`*wWpNZ-4pHMHQ0+x-xAk=gX<|L?oygtQ3m4r?^lJK z3HhfBb4tHBLcxCgQSq&^3i}6R2%kEa+@^}re^+lJpHl~jW9Un42$&(7;g{G?LPw3z zPSgF;G&IhLJr{c*(Nb@Y5G5n`4c3+$tt>xBi}$+dlit@A&-7d5+?rfGrT~ zHqQfpk?Y^!Pj(`xrW4>3$_3~iwhv6p|HSLipV02i1kFx7huomLMp4Wxlce6vR5Z4; zq?#vM;^M}dGZU1QF6I7CdX!c_<$>i?!qbEUQA>@9#$*!4Pmz5AN*f~*G$}k$QDIl) zYRE&fI<$}5DR<@02Y-Z80tX$4eV}VualiakMcZ;BUuyq6`E%umT3_W~cRsOSZWL(p zS`_@Y&nmNd*70%B6XiGh7ucJ}Q4cIl;$YL`=&qK0O~kZFH${CBWNbA$7e7xo15B5p zU1RPSt&67Pha^TPj!SNu=!+|#*f()~Y>L5UOxMgMb}=)_c=Rf&!Y&|l;X!gAxdu8F z{S)e^%#$`sS}|29;=YFac-wh0T%8@8%2+E|82wxGtJSZpoWY$P392Nf3c;(|YI4&zakXPz@wXFo$sgm~mJw!~KBUiP z3hCxVJUm>W#DAm;{2DN6`6Yc5KFNN-TL%>*m;lZcu8A$eAN+X%RnX~t=~(GT>`AV= zW!d%(woRo;wsW?A&NFrgIQ8|;hxVejBbVJLn3m3T)zrN;`yfB|ywaG9W!6 z&~{qOe5UpyLF^6llb{KiSxS$heCj0qGj%(CrUi{!7+p1CPg1wI{P?{IUVz*lF{e$l z4Qb{FS_c^>r!qDy4~57L$Y|v)ybm9TXONwUhM0seAghsDd8*i5KF4|^H^S@O#omYh z0k$2b)$L{W$)!7sR}>}|mAC6bA5qnI(AmYd%4V@0uwJtoY`dMa?U=*ky5n{_3&NGf zr`#JkUzv?|Cc3Czsb;9g>vFWDI$u+4s-d%~DrzQcmuvg#P8gH)BTTE!^Ud#~-bR~a z%1n2o+8K>{hv{x~bK?t5JV1IBV2LN1NnoGrF+Hf;cr`MQ+D)Y4yNSc(5Xw$c=q))* z(r|CQCf5PL=+%l3ST~g=I=&U(wvTqEJ6m|t%9fT6aj*9^x9zu1_iS(sEbZj%>ZyR(e69r+Js(Oi52)dcUadSn=)c}%rZ2Q*>wGy|)XLiFjFSaTE86%!xTR2Qr5s_#N$#9*MOHNkb7b1E-cgV_!k za06n(k1L|^ReGWv;N8+bz+Y7)MoHnThRq?+I|SN!yk%}rqVJk}m}8{f>9hqOxuczv zJuc@kTm6#vHceT1cZt1*^^U!l=Xog3|28ln{FDpueSnr&AeAaA>9ni|{CJ$OVnxVu zT%+bS5436Oql`nnUNe)f54ul6eMDPRS6g4+@Htv#*=ufZZe+e-o}?G_ImQF+3I_Ek%x}yWQC&^aRF~;}Sx6*mJw5}boGLGZE&Gv`(i(biJFSISZ zJJdZC=05XxjmYebh(P6;szsYI?P{SpCv?Fsiok zpz&T58?)UKHb0BmVIH9WSHH@bqU);vrZuYWYWnHw=ySn37wN7t6;+MZ*TCs*psOM^ z;Y@Nl-cac-uaL(`t-vJnioa$E;%vbzU!J?B)9Cu_p6ojAobHM8W_oO{on^RdhwHih zKWi69rLw8kfyLQ{nWepKT#2c;SxIeMnSGc0iLZUIapal!3h9eR6L+Y`)F)~YP!TL( z;`mWLhheGx%q(&T$*X#)R?{!QiHz1{8?Hq+i;gpS%tCDK*jaJQ;@Sd^UuN!Rx^KLt zudn;AzRHwp`l!3of2!9qJ*l3WRjM@dFgcLk4)l{e_&@k>q!ivSJGfWDPa!1oz<04vWUIE?V`1ADPn!=eC2^$QFe{%)WGvyBi%mug8lN50ESfNvM!z;C8J|RrG%VH((P-4U^bzf8buoEERj93{>7weQ%4Rg` zhs++jF%Bj}SaW5UI6~gY4GaI^-?F*>0ZuP|mG07@ z`spfYtg0w=1x>8htm~wEsb8;qrJrohFh@s4#r$I_G{bRnOsZwIB|iGR<+a&onrbN3 z`3(n+OZB@or@)P5iuwY5nf_lE%m#e>2YwD)M(WUha4d2Px-V@6if-S~^HASVXP?1y z+S|?V@Xzz5xVPIfT$epN?J=eQSqGMdZ2vemyHj0*-Mu_#ojo1jz0-VF$3|B#Pe$1| zHx!)a^ZHl$#(A9qC|En(E%KOu4HY44px>yM3=lP#HUQhBbZw1kri+HzhVF(HhK>5? zy62kdno8=4sufHQt?1So#_P80JDAQJUzwX*9_TOYUmCmU3jhl5QZtY}STR}|9)@hd zN|m#4CHx(+53h*zBwFI5z$9Hp>p*5S1UdOEHipaKlEQ6)Cf6#oie1312g<=@?m_sq zzonn@MtRqTUIj5vd;j)eFV91-A>1jj!}ZB)3wHBo`Gy9n`4fHr2K)QYIv4m2VK($S za*F#EX4s)hw9;Fuj}E72flefcK1Pja6siHyjB2Mkq^dws)J=LgT|l>D?odOCvmhpg zDx|vt?N?^9Ks{cnZ*GjykJWzGB^l4_ll66(1!Nzx3h^iCqbGn#=3Rw?D*)Z788HH< z&_pbVoyXpw)-7E8QAN8<{cF{5XuP_M@m9#L&-uKS1vM_y$4qFCbH9?>YvV5=NL8teBuyx56~>8 z$j^Y5{2mz!P}hd~mrS8pY6g9P+NSEmY{0I=dFT@C66m#!QdMCKyqfrkm&a4-NvivF zPYrCSsf*S%(*B|DrMjgqr2j)z#6hAqRu7#3|5R2fuYndZ1NjT?0=ysl@cDEtjg3~Q z^_p}I0elPz=tQXl&@Fb#h*+Or!_60t$h9Su-zlamgJctMpRAU~ge`2DSR7dwY7rjm z^|%t*X6#5WFbg772&sGU<2*(OP*Fhd9 z?}P5bAEBoIo6#aQfEra3HbFh$KR~Y6g^r^2&^=ghx~}?(Y6_UIb3mgq>h0PhZ43Q< z{Rz!cbxmDA-4XR%%@M6v{iphdMp8Fc_tR`r*HBC9>wp38tE#BJ(GGGkaMP?OCJ^ng z18_TJ2z(n%C?-l{qtKrP=NslwKcWJGpw&83cF zK3`q_BwM8vu(H-@145xL)7L<~Dx@z_J4lGELyn?O(zEdf#0W;DS5myHvTm_vmFAvi zyS|_9o~FL;wbrCvrai2^$~;lc1Kx=>bPkxBUngdfU$Fv&$JP@V)(5RZq>?G97KOpB z+yqsCNhn=e2PeY!rDyUDMUwMGg|~A-pfpY9mWInk)WSgS9Gk*JT+7Hkwi#cAofSdZ zwUHCydqB4*{dUi_z}}!I_&$t7?e_6Q1FXER- z9-%YeNSG}i6B|myAxt@;978$yJGkw3R_-ZV&?)E@$O^ATm*b~!E7p>%PjNtZE~N9A z87f}IGHp$dzwOa}}FZBA!)ZkxXbM+*A23OyDo^7leO>Us5~a4u72Ya*O$5u6v|4=ndNk zjp4u8d90JKA?^`+hz&sPiiW-NLb8H>5|_y+(u34PCA15;J+bIq;2And1hHshJ<$j6gtkOFp^JfA>;Y6F zUspat)d9A%fz!_c?o$iEd5w|&6O$x6IQQW|$-Tn$1UyZ0SGfn=3x1&-t(e6mp`+NE ze;CnlquCANOCdRQ1aQ$YelBnvRROCikd6b@eySYcdkg)PBH;BZ0GZrXXdwxJoj%IF z0KR4MrPKsnjeLhb%BKWG90J#ehXG%fTl%8Rmd`4$kT__JA^-(?1hfKO3wnVkK##8u zeTJ)Ii{Zto5&(g>NG5KujOyc(7MfB=?NbIPPoZXG%P}6ky!i!IUM-&>fvkg>%?nvH(|g8uoElLp~MvY7@7q1 zDg)LFn@8LtD}cNBQ)oB56p2IIBMC5p#DmG{K1hWO0R8_ro?BrJEk-S!}C~X%) z!gH~W@&F1dZIo6p0yunrNzqCl(4kzB8v&Nw1RaJ(%9Et-VCH;C zY71Oo&w&T1lH6123Y?_Z6;$B>qdZYQ%F~p^00HH|v%8f6N|w?Kd}{)5DP%yeWlnhy zTofE^gbIM$XAR_32xL6m2`CvC#Z{6)5&$Cdm4$Maat-bWzk;Sf6X12g&5|v9q=O(w zt-$MXLh1v&r;h+`qT%wOxAI%!Ss$pgjOPSr_UH za;qQgg#z$+_z36{ovx*9{!jwn!GksZ)Opg=r_XF;z4 zHj=?-h)_3hE(vh1yk2<@&gu^Myj%zeSos2<0$$H007-GO2HbQ{%PwgFP!#``REh|E zvZAm?7$6y?OCm1J7e0w)V6J&bECzl^r|1K}_X5213sl&)N|KVO#6r^*O7_XO1H06U=XPzu~dX)cYE zyFn+E{z`)Kr`$w-4kuxwP!BQ~y#w;^GdvKg27Qrc3SB@%1B8!za(TV_0XQ12`Fl3f=6zu*zB^fx6?O=NU4-$j4M>YdaZwWHIF~CXy zwjl@%L5c8u;^u>Aea%40>5p;cA*B0!b{Mta0ap+*$ei%1K^L9 z%1ddAOv*>)FG{?eBfV4z$RUrFdBB!!l>+H1aLyW}gVKFzjqpqO%(r2uaHoVKp%dWY zM`FBKU3LRBbrQFM?sFS>buzRcIGKTOSG)#z=oOfW86;e~3FaHAP%R}Qk5&ST8Ey zGE^VY+W*EvOTm01o05 zke5hRWIlLa3!Z5T&ZaHE)I3aueZ_`iZ^4}Z6iG#pC?vyE@!TjL+^4+)&Tn=dpKK6y6)utW0bJSix=l6K(+2tq7@vE(G{$06aGtpih-R zMcjd$|Nk1-8DLZbUVk6J$5G(gmqi}z_Dit$Pk>YWe@yyLYAsFym`B82;%$JSj$o}8 zsXB;qHi-2-c+CdMBpal8QWLqqbY6nwx`1EzfV^m{JOe0tqI?F7`5jse8`_k#C^4n~kOz95pD3~%=sSe)x0eJ4pL04oT+yL%>3o<7I znyCEmxygVTOJEDq8h#5822m0KJ^um+|6}+RV7q?s1AykAiWg!43TbpRIIlvG6#V(F1SqY55JPkLlq5 zRcw~;fIYVY{vR(|CBIk?oXbV=2S83H=m{&yS3&$&!9DW3Y?mu4YXRpElm~!H=aypS R$-t=({4>f*Wg=ML{{eT8y-WZA literal 0 HcmV?d00001 diff --git a/bgt/fixpack/tranz04.wav b/bgt/fixpack/tranz04.wav new file mode 100644 index 0000000000000000000000000000000000000000..9599871eaceb7fa892eb077ab5a9ee0cbc3ae8bd GIT binary patch literal 102844 zcmXVY1$0%{^YuB`pCvEu#2_RP+@(N~KnjHxiWGM#!5s<|D^^?@q`0?GDDLhMoP>}- z+>_^W^?dXDuW#KIh}`$?k(oVvX7+h~Q&UndY{6*Yw*$J3pE5hfj1apuul z$c)C0nmuYW+#?b<@ems+B_GH$@`e;==O6#Rf zRF|k%)XVA#wU@d~`=}+69r!x+4Sf+$CB4WR609}S`jTqx0vUl+jOldh0WEOB%zQLj z`=s2FL~ko`zW0Nuc+KJyt-sbwIf@FHKw$y52kViDdXW%x1dUL8k!dK4y3T&X9pZlx z;^{r=DCw!YoqLRDo+r**F3(r*s_m6UB#`~gXRw2)CFHcUQtqtwkx$7-)Dcvcp}XG1 zx^z#CJA{7BDm+|Wr;H{k@^5kobD92*VT23pG{(&Lz`k;_ieMaWnMRFJC(6nAu}(UG zX^HbxtCB04)YjT-B~0x?exOTHU$h>#Q0hv%RV1!(jrCTmk?6j%T#eS+P%(6})=lxK zly|dxi2Rc@QPJVibSAomOUQJwzT4&4Z*StNuRK;Zp%{La?xZk+ZNhz^{p6F*Lyk&s zW33gnSQuqe{QCy&^Z(a?`5%~Tm?p{6PLWj#(Jtn1c0AjMeam;%)$j?-QSLfh$`o_Y zbzM!r7{1s4N*`3WD1GEL(n6`dR9~9nsd29G-0>`Nzx5E&Emz4s)nMhZyiDDr^dOB; zZ+Wac+1=cpR5i>t!8=sWCRVy1iI#3^^Kk-7pb>Krr7~mq{iKC_UMo^}tGC%V>@6Hl zmEsfC6^th@2?BXAkgcC?A)ykJWEIgT%kY3yMQiC$`t?{Z!7M9YDS%&+KtEy>@~G$mSHqWDT}z5h5=TTf?( z!(@AFzh^)1ZmC3)iRv=fZ_dt2E2ce@q_zOt+eFneh54=a4GC%!I9=ae_`prW)oOcj zmZQ|+wL5ASSSC4TN0{5L<`cJCq^~#p)j#nj01ybN!nJo5GI= zh8TD1j|yM;`DnBAT6satwoff{ps#tq5UIIrxutdUE&2V67nNsMWL9-`7K%HR##(ckR@SH$N-y$J zOCHID&$5?kNA^unYB;EGzy;>wAOHl6g!NST=DJPv0nz}-7L0N{82s9al_?t zgxB;dKUMOwe1m;D9pPuF)h_W&z4wiFG)`(*nux+@n~tC|d&_eF{Kth!Wvk2UR?n*G zYYlYlvF^8QwGOadw>7ZecD|F3kRtkq&)eYUQ5$P_X}F`=@CK1}q9V^%>^mxe_+XBj9z7>=o@^9RdseTkH&j-8jQ9s#b1N zaB@NIy%Ai{KZe@eOR~+iq`H5pyQFc2wIZ%WEOJ);W-Yb0tZ4OlX=Z7Kz`hQg8R+kC z3tbm?u>R8ex02q~{VVQ8%#QHmK0BByZJFlpoohK=s^tHh^Z0$g4<|m%{q$W#B7K$)HXyH`h1~QYgzP8!+w4jnZ89=*f0weJEJxDAatFJjKT#N3E)FPTG+W70*PE53MwCXq_~T`qv=q z6SWS`3pKSYdnfEh8qdXCwX7;%?JxU5oE><106}uCZDZ-oJ1GN(xjc&9qL5?c- znV^JJpDJq&cJQpcopT9Z?R!0_#CL)|oa(7Y*_Y+c&lvSOv1q%rgQ;z38Zf2SfrP3$ zb7Pi-6zg)lrmEcHxYCp=UspCNGld1t4rt<2oBtIV<>sDZ$MTx&$^kXIocr)czwWhu zuhl1bvC&3br6hZ9(S)yuzjVs)R6ex&gY&ZTg00PNRf!``P6%?>@6>2+=t6$K?zbpX zcV5gKe^$Rr=*4zW=t}>*z4?7BQmX&@O241+W_i|(5@Jix){{TAA=EeeYyLCCzNytJ z?o86BrYD-LjL9(&Z@A5F^SZ3wChiZm&CVaa{t%sei$yhJiLPWr$>`!X*{w5-udQXL z{VuirzT4YoQ(`~H3`ks8w>r2rUTA+_)@R-R;;*qc$K3{#fpmM*@%rmvK+KJ6z&fs?PY4J9D+FWjOlb%I$GTY`fE?;YTQT(~U zSYECD<=ZS+4eS%%HqKf%uZ}IGkM^#txJrjs>QB&j+&kTQ$_!19|6>_`964$~T=J}7 zP)S&2o3fyS!TBE?)qzc#SG6inND6snS`zd}*wCPz>?zkJN3pxJxY<3%waYzUZA>?n zuUB5nZJ2%bYfR-~+b!#Ddz{MpMn#f1UEQjr$VRD+jwH_&)5u@kf1u?l5y)ZSGZTN-Kwy zJ4=Jh=TuE`9Pr$iH+v2_zjF_f=Bs-8ss6mN3(Y#xEpEBoINyJCP?snza#2vEZ*SkJ z0gZeEg~!Nbn_loX``^!0L4(S}?y1xeLr?$50Vj>=>JwX^ngx!H(kZnRUv=Wpx3EB0kod9(GjkRu+10yMOa+wT4tC zRi;;6DUU6<{-t?-ROLX=ID)Z^-&41QnLz_010y}*zr^0J{dWYzEUn2due2>vE~1yp zPVuU9kaLJ+MrSBi;w@dur&T;GpJHiTbFsRsvn6AxRj=vFc2}GBuJw;Wk8_m1CcyjT0{ojG#N94p$ubZ0mvF?iKJ$^Rs zmB?F?Dz=w~TheM6=L<)dst2V_3m$zPoJ$H5s*t?S_(N!Md|=$+*gG)?;{QyX7dtbo zhCSfjTeGivhhw<-Kq9XF&d!zwHP1^16*zNOwKcFzvbOMkK)>P+WQaJ+dBaM|K7TV|3cn-yvddYj<)vKa@;a`QF zE1KJj9Z%hl+~d?R`n>+8-@mnbB(H7OvT!nTmu;Y3v-;U{fMdC(_M{bm&c_qZ#m}lUJm!b!s`#hzmjioI5snUJ6TT#5J<0a_ z^0aVr#XZMP>8#RIIqSLPdf_UwQ|@%OGNMOPgJyM`rY0?nDGogne89IWU&otTb}O^< zyVR_cUsEb3xH>Cw(s#~b_AKWouSc1Q|6vapOZ>CL4#g%W#y4!%Xkq=Th<@xtOI}e{ z&iGG*vc_gV&+eW#qoTWGom$B_b(^_Hs^&cH5Y^y-mvyt6|J?k?q}W<(0$I~y{R?KH z_e9m^oa8tApY_f-lf&0Iv`*A|^_Z)`u~J&jw)XEGm=H2Q=zZXouv)ba$Nd}kHnwYY zvxrs9?6PL>*S%`}=FPih?*cR31t+ZG=(Et?4+YNf-)W?oQyxFtBl%Il+{XLb*J*h= zAuVKrPjjIkRp8Dm&H8xtaoX*=4_3WTEq$QP)z3Aq5W2En9{WW5jq>yN+wFVG|Cs;T z;8_u`V(!G&i>UH(tAA8(&DCc`X06RG%F534ezp`0vrg7>P4^-?#QD^E=ReZ$gRVnB zVD#$59rd5q=@S}i$Uv**ZH}ahvV!heec!fx6YzfIXRd65^9Wuc?DskA*Eg_lV9Vg@ zkY?en!v76_AFB9u_SAIz17a4zVefKn`dqhUPw+)}xtsN#&O&t3x78m>EZTdLq<+yu!x9dD>^!1$9Jp5ce zwO(AEzzBCxN>FfEN@Sa`vjN}h@@X?2$Y$wtj2`-ib4ZaPE8+d$nX|w2FVCoYV*B0I zK_1CB4%WpL#`gD%K`X>Jcu!bZ<7MAWY04MMt?$oyxqL@l{w(+c~iMpyRFgxVavbP&w^~~>} zj8pP0kJY2W(?i+>4Xbm!-q;v-z<>N^bv|*>eb7Jh1rjPs6+^3t%(I=9vCiq1mxZ;y zE~y+V4WP#HKMOCo+x*G^Ir3KIq*^KA2Tb#EBjvRBth>HP^l0vRj?eZh){T{I?K=6j z7$%KJ&$V;%Jvz=b(D%N%S@4m-38p`Nw&|u(2q!56J!7i67hU>VCoi?UTB_qSFg&VO zOn7sXsyuNPSp@r^VtZ7J-H14Ki_}A1%EtxdhxZS>&f2X17EJllt<2!fr(^Z^xN!O? zDm1N)7!}_za)sfVN6f$S{@R;kU+C(`s-Ar=ToFd{-Ss~iYU!Jy748trVrLm074&2L zqPk<_`v>jk3Z(+4*S5;~vhsN0j!zHX)y}3XGRSQIFX2CjPxgDk`J(r@z;Hb%Iebp= zXMH1LQRY%7_3uovx=~`UvL`v+b0!y@seU9K(S~d5mF2iO|IE}lFe}99XTrzq={3>P zSHsC#=j+DR-5U0UZtD!FTvgVwG@!Ie$=bYsa+>8xrNhM=eotb%B_4?B?0<~!iRW_r z^^Nu8*fo?N5-F~3CT#IJ~+ z8SsZ;J94?xtkbIMl-@0`VA3eW#swr9D$fwwEzW-R?K|aC$ z$NjdL$_$gZWs1x3$9x6+TJ+*)V#ytm5z zuXBp4rHv~u__{lC&qD-g|s|-xY>@zAk4LhM7`>nTT^i4NbF{B>W7g^0jn@c&>L%&H9p!g&j-I zRIadna9;A(k(_E1cAkOqpBfYs+AZja`IzykZW619>QSZ^Cv|hx*uUER>^57CeS!0m zbGr9OskPD=A^NcHtf|EOlds_8V|t~(%Wq<)(D%tk+2y|D4s_md+;Knhp7gX6A4|oO zzkEPyr1e7kn7@PxhSk220rdiB`fo6Qt4pUg%U4}*9hr}C|}#zw0L?>@4QWwubj=@ ztsKoNdsMWtRpXgKofFz5U5Qw%50ziJK6*P*f-XyFN!?Y3h)p~*Je$3z)Of9hQsYQ3 zPb|$Yd*QgicM9DV(I)VeKaRK;ogZ;9aG+^9Vr>5w*86PBOv{@3D2b+T8AnhG zbZ-p~(-Xa&=c%!BclQd%F2_K}D`zX$G52Xtw#2EQk&~XtXYk?NugpxUnz}+?WEpl0 z)0j%d6G=RIrmfcosXs|^-Ue=)bGfUVcfE2DZD+0s;ifOf>AD+SB*kj$)fCbJ>V#I! zA3amAsnL?nmQcDmZ+Mql1%DCNQzwRJtW~6ZfUhbHD0e_&y8T7*I`@*(Min{`x34wQz?u zQXN1|VP*Z}ppfVn(F-Ea1Uk8$6}m3M9V@M^ciL?(}3xL z=V_txK)Jozh-}1naRtS*|1x#CHtaM!SRUveXrF7dIo^7^kj9Lr>tGao1{)%D#T>&X z(D|yvYjNIlzH^hlGjTSO+yGo&eUQ?*2Sqm0(V(K+>$RP4T6bEKqy zX}qnJSr9RxVN^3~^7{xs-9fRfW36MDqk;8Pab@<)H(N7v%Wu<@A{r%V@%w^r=q6I} zxE|hzPobBZM{T6~Dt*)t+DCuGxQ*{9 hKbh_X{*=Oqv5#bC@Gg!=j2lb2ck8Kql z9!hjc${4GE<($e@l@(>Hio=Q;RqS+s!yvzdA)`b7H2*7XWm|Fg^j^b2eg`3*N=Krb zQ{pL_ZGiLS-X4QPw$F2ql@iD)G!BR1nbca&$2irT=-9hyRY~`BlQ1Y}rq%-nG7lhw?iD~1c$E9mfKb-R2)nl1Mc zb3AreJMVVwK2Mn*8t&3Z#6a7H%4wAb3vty^{>Ht8zkKPC>9sz@w2G!fx(eGoQt86n z^z6a8or`|1-YkCMX8C!-zeZn-3JzMR%T>PEqN?gvF0#~h)E5tuG=7Kw!O$I%*F%2y z&Bp$&Gv&vMu0jkrS#!ztpEiv-ZHf#y7ji9RP2dXSF4|Wf>HN<2gY~*&g)2x}Lbfth z!dLx%{X*dgI|;AWqU1W>hTehRpS<6SdCD8o1#FvVpKt|2h~a=C5F+9db+`Ar>!EX` zQ+9=V^MDU^BcpKu(}nlw1{-eZ;<@E`kGf92FNMnQq!#ixWsa7OhEjW(VER}3XKD$K zAv&#v`da=A`W@}5eC9v?hTtO%=2G!kFRmU^R4XsBDAwMLSsA=I_EqhO=x>5sn{v2Z zSkZZ&sG6$6i=VG#lY$NQIZV3$zVNK@$H9qaKZBk-ggdHk?^T!6Hr>|MSt8y=r}=Q> zX5$s!Oifg*-u|8(_bm5jx5e#{TI0Gpi}^wDw9v?)A->!AKB$hA8Lw7H$r0i@ zPY3rMSE9SSXPQ)~a?~6)MyL^VItv%eB!Gph>LVpmIVkr~bjnS25&4(C!lrNq+z!@B zEl1198SNGsfzvQY{w5XbBYBBDQQ07^6e;&oOIY=Q>bZ`dWSQU7nBIw@iNj)w0zUAg z$!76)m&dZPWMoeDhklvu^5@wysFi-h!pGEV9_1IdCm_!hrTd$?NScbZou92WHoNnM z6pDtIw){fZ1j9@A8#FK{balE+9Dl6ciBLL*EHO> zzE7O7jQ^ePg*e4eEOEbZW;u>IW8H!{O6iYpv)hCN`k(X$-F0pa^DA|Y^iltmj(cBw zOT43`naU6?2EV6gu}8UZZY#5onn+rxf67Co2hvCA>{!&gXf1UK_A!siVBB;t-Hlp{ z{vs*~!;#pDuA%m1qsD8&>TY?pc*K+F)Y~6ePFnpv>6rC76FMdOXmq`Z*8v7&7V|*; z-5p=!E*|#fQTE8(J4GX`XOzvlWdUl~t%!ON+e6z2UiCRFw87`ag^uSnYE?zeR{K{^ ze>H&W#2(;0>_mp418}C6ssu|f#k2A{%|=ylvM*}qgqm9;Ay zUD?mp+WSCz$m*c#nHJt9GAv?v@M)hYZne_N`MA1sd2s2<(v*sHi@&>C)z}xtiGG6u z+6T<`n`*KPd+B}Jw-V(c&L5m^=l}NGs18TzR4MJG578s2BHR&Xug6ExG&By~#jmK7 z5Jh9TUhE=z0G_HvDL;uYRp&~F%RbBDb)6S28fNPnB7NKV2LwFxbNdwNSF!g=eQA_4 z-O{nTrm~_c&|2thEpNoF`Eo-Y-$L_bze3-`#$)^abo0Og0K=)z@^*WyU~`>N5EX%@xPi;@j% z{IdgJ2JG>_0A0TA#uP4EO?2dzzs~pOF8}hVs8>xNsR0||O9vkce;Bo`*5}BS&`;(f zexZ8OzNUOz;n%!+1r^2DtA29!Ro>IP^o71T{$cRQMNTFOJ?)M(_?DlN&R*I7Bt7W3bbZvG# z*N`veh5>iHOKnG|v^Hu(Wu81rzAE`!{xK;anzQ6!`9<33f*;Ug?s!LHkXdUZM2T^ zNw3|#(0$Uac}7XklzLSXJuC!N5lwfs{8VBsO z3u#ZrLBwtXd~Jdlsd!L48z@ZI33^_Kg+tsP#)DNYS*h<`?2_$|>^GdJJyFVhw3s={ z-_{lC!wtXa`w5}kL#hKgE%*2OyU#m^xG2w`;-3mdZsL4k&wIHp+!)pj-kpZK!OV0T ztr8^<>J=$aD>l8H?rEK~+)ozOX)OuvEdyNS6<=TjXi75zYt zso8Rsv`k8u!T@!v)sbWe(o(bKgSKI5mXyW!sGD{^o+D7*THVvX$hcMxUU&O#}J8bp$7N@&Va9U+!AM^ZlH0f z)|zP>!Jf=&OLd2{Ho<2&a(mN@RT8^)vk0grp)w0#q>O^&w`V8va=d=+dn&nB zPR7}29qNSg$qtf4IMSEwCYQ-U_D|-=tfEqq06WoCi_scu zbF?d<*|-9E+qg~qFl{@_<9gc z#ZDYXMNwz)0PvO~=wan*$6-XHK?gEUyQZ}vPVyLS#39sBY7I4tN}@9GM8L&W@cb4K z7vjP9Tf&M)lBJ+l;ZO>!>T=++VdyAvYTdN|qx%Iz%^M_A;{=hAEcwnTAWLdJv1E*f$JjJk%dJ9zE68sWX(1@@)B<+*(Nl zyJ$_)fWP;mDyRl@2>p<1Mjga2QEjw|1e2RE_CeZG?UB}zT!&FLLdh_q3i6s9CyU4k z(t|W6ZAd556Vx%!hz<<_OXTnpTmjb!oPZ~yo1`TJN z(*FNbQ&BqNVXr|EfjdDaat8HARB!%v|O!7b833-_yeC4&`RDOc2 z|AKau{EaT+QB)aTWF_o!C27g(qX_Wd}qkW_?m zHhxU4p})`-5a~T&{V8}nT0;)PZogEbl*{rXxs6h-yjC+oGqneG26fE?sy#guu5fxi z^*7D{k7P+7?SVQ-O@wD`RoiIqwd0_VYKhOl+(W29P`Gph?!cq@B$8OQa*Zd^um+RK z64=Q-u)g8o+v(^ye62wfVgK5|E+Fu#YVbIT(6G)NiUdT2E)i;ss>mtQ8L)AqHeZ{j ztGJ*P=$5WW@}pkjlTh$i--4e!}uq`olEgi{0Ds3088-O{wNUr3W%5wPoJhO)~>^| z*AS6(1Uov4?xHU!6KrKacz!ozL@&v5G8D$z;{RrQ0`|ExFsPTnq*U}A-m4J)-VJPL z99af4cnnIndT2EI3*Ce#Erm6BK(@dbhl71BCF{WxV$f8u=fAv$Lrz|UdD zJHhHA@SP~who$Hb7(+6;OWF~Kwh7`?3$3rVTzjqc1pH`#_Ms@8f`7)%VU35PFEFwe zMA2+uv3CfL@i;QgTQZZ!ai*WY$$7nnPMsOSU z$_i>e4`A#fsCMlG|NP&o){vp-4e*x{u=?Ww8yI{S=AVpm;cx9p3EX`b@Ff~n=n(l# z0#FjH=y>q`G>8hHVa<{NBichmaFPM&F^p7bJP*AGFM0rx^}2FeDT0~{ ztz~HCgu}^{M*Tt0r7@lQKU4<1no1-X`7}V$+2Ebs$VHL>v1bsBgoCJm0`_P(AQb|% zIS5k5p?E*O1!$6nWAJrU4;_bb9Dx-SG^grTyTK~Zu-~O*Dp1m-rBT1t;*jxzn2gTU&u(ITj=j8N|? zca-}|oSLV;h6vpUkEWb}>M}KldWm^l2c?j)5W9w|qtpv9{#*_EKBN!W;6>y_4D4Sa z+6^o3AZKA8j)SLpNErGVb^+?WU{9$i7(8(`X#h4bO&bESbb}_sXdGlIlF%x^Q=ST= zZbPi!2>0~`T->J(g=;qWbvLl+nl=$7P}k`+<}$N`iDG`GE2$8uuLYya+9vRj)qszE$!Qp25^4(U;w706ctsP2Gy|q4l2w4p^RbTFMU_$>YCm`z zhbth$M{47tB6wUmt5`sB*+)wz!7%pYxD~aOS_S-1#lON+9Iz5gwJ@*-1Z#0uYXPjv zgnEJB_<&!f!Igq5VC^LG2Ow84jHVj=wj)^u_z;Eqp{)?_t^o>chfJ&~AS2i@yu%*g zX=lhy_{j=S4MVNr9aA6zCLtYM2t~uajo@c9x(tXoN86`D6-~{Ay68S+!w6Vn4gEK* zf^za4+6P=_y}C@c-O3|S{H>H7 z@;jwaZ3dRn8JFS_z>w16noD775pci4=V`!D57<^I;NWV&m=r*f6qrwI6a&2F6x`VcqPQ8Zr2oUtjeyt)nBgWs-$-B? zy>VL{0?+&xUh9uC00I6N^(8GIP)Y)QVLEtU3OWV+aw0^i>-ZexM|V+AK&l?(0QseNmMBIo3aVjx2DAB$OuwNf<0KRb95mpWA2O(f9m&Z1+Pc;*lAJ1a^ir_?l9x3jV$e^ofJ#$?RVTbV=b zCw3k?0yLqKcnv93M=7=Cc~WnvO^9+2)vB#T4$v$gr*F_(>AHXfh0vdCiPn>I5WR}j zPpU&50kQXIz+p4`1~4iGR;4AZT4zA?We}gT!QoSR45;`IAm)56+v83`20Yp5MK1$-_N&^s7# zo>Tj)`RW5;mkW@Jh60}cFH_A#vjGQbKsSVbCVEl>^^HH!0K5Y)13TIc*!Mqd@TttpzE?TK^K@tS3?YYO+v_V^^I~K;;tx_%a7F82h>w!dz}5)Ne+kJ8EC)ThBV@ua0R>)^lIV)Jmxi z+&@CGu3YD%>%wcyGzz0!=n;LC9!cwpkQx z%5m*3>PO|%QS3c-1?$89N8d#jbsk_>NAT4zT>Dn;>G{pRz&aE(;ucS9B^xcJ^{frF{SmtHy3N85Tw7)dK18Y@qDb0) z@`d~fdCMfolk>CyEm2XVlVV44xVT)h%4apme3}2awS0|mPw>+P3PsF*tjfQ5?m0u9 z26t09zi|+arp-(&`yHFiP3P`1G~TYZlQ?gX*Xtc6SF7z{2hD6Kzd_h5jOX3VL|mm_ zl@5E~d0u$7d4nXqazbm2v*~X*jqA$S<~Zgt9sp|Hj^rcQ>K5gulC9Cmj6YK==w!MS zy2|C!Gq=H+<$NS&lP-*(@KzWp92FR0v2K^{zR;N2L)wX&L$)1-?qI0YMs2U9qZ3pJ zo6o)H_52y;2=1@FQS#(9$_3?t)&f=Fmvk~)m-~-<%kH6P;s;Q{n<4(>ZQ;Ej)`7DN zTeZ8S1)ffSVW)6u>_d7!sZt81_Tpf%4k$pEXnpX{Y_Y%^7a9`{Hhv;)MzfV{ais^l zv9rFTz>(wLA`e1Y%oRQ#)E$^FV|LPSa6PcYiNJ7Y%bZ$=RN(D&8+Hw=hto0pDKqs9 zHB|+%se7#JtE;W&qt~o_B-wZkbB#U59-^NB2Av^a8I4;llnOqenps5#kS)j$YApxx zO*PSDvp=^rbyayC%5un{pF#e#k94Jf=ZoO#!%m}XFrxZW`P3M?FQoymib8)t4Io!_ zD5+|+@<=)>wUe#tahmyqcgcNLNC4XUDQ?{xDwL#=QIf3#h zJ^PvK&Nt@%p(SEghe<=k{^Ix2YG4>sq4GA9Or_)51>6Ac0TV`@B@Vf^=Z0&RbAx-O zvI$@11{qeHHkf`gTJ$4@!`#309^#f>xt7|#v;1M*>kN~UNh;Nbna<}5R~_Ll*4@K1 z3i>-8aVnd`&*iVPDzyhPs}Y1iW_k-U1_$|>0{#S=fYrhwK8mfTmZ4GFapg6fGm4Y! z;u!I!I8jPe^(YOeQ<-q$=>bX91VD0Md8(v|3Gyw?if{3s4ahgi>~FH`B({v+hYxBS zW!k&Txxzlse$OFzN~QhUdOU|tp*K-efe#h|cSq2!-8n-TGOEOQv6p zt@ujjoZ8y+muIj8)D|`4ZPRQ9*G|BOWX}h03&n&_7#jIe=AV3~>$A9I<~n;7aH5?d zP#8^ZRDYBuDNKXxm+D0tih}DmTU|$u>xlQJx3kyZGsG>5Pt}>!KYT;O0*DTi1cq;* z3o-nrpUzFA-vH|$0bZD{UBdy|QIw#u9=&!QszlGwL3On_(pl!T+t0hAy_dZ2r0r@K zoW^+#-}=r7IA~sC{zW$)P>3bHQETKsSlx@zCf0U5tbt zbcDB#_nLeck6|Vl>iR_c&NCe|4mLE@T@^BcU!0?sYp0|mVyJhXxJW)CT0rv`;i+=> z^sIAJ&cW8XR@v6h{a*XR+&8TCec`v;@22^P`Jg$}FWTJDxQdM=I%%zEiYHEd1l7*j z%2?>!-uHI!o`#X%_ilIpnx@x;mI&Id4j^^$hm#@2%=e28=`%h3bKn_BGVR$B(nM)62pYnMg^$+(`l7P^Nx-`aef1#TaykETLSwhnN%6V;J6ao@o! z+X7NV3DXUOP2c*wGyP_mB@E-B))VVm;T#LrS!s>1 zbh7x^BfOJIJ!Y$}p3iAR1KmlzmwgWPLylB>vAek|%stg}S$?fe)~4bo%r3pbuYcej z|HD4B_=AX&w|R$o{&fH8+3Q*8sqb0o?V&cno!Cb@r?7*y;w73QbrVO4iPA!E2k}qo zpwfqor)8l=FBl94mr##+fGb)C8Bk%OsA}rf2bz&{FVl9>{!tpc~`IDsgwU zcB>j!(V!;Lm8^WBp71~E>l-5UZS{WohQe(&4Ifn-io@K0fIe!Q)Lz>}4dcq-DaQ@6 zZWp(QBIQwQm=d0_EK<$A41gvML@GIW{qT=^-3grO4xD`2} zCb@&;;i2qrIXagZmXx-&PIG38^UFZJjd|_{H8|HM2^T`KhG4Qgr{9$8>F;!^H z$1>-oMDIZ5k@(2PdZviA#ayu^bl=vJB5E(c!r0p6)&DM((HUe3)E6(qiP?crXSyq| zR{E=PIE+!5Crl(>H91$@>qBy)aBc=9^6u+6}xy!ERj2sc*Z82G!>hl`jz`m zFzymGCKVgiagJgm>IVu~SdLtt1MdBR@;!WPq1~gNMzjeT>mO@q!|uS*q?fwRyVEty_0GLo?4>THYYE@!19i)} zAMgvgzIT>uvGa+=P*b<+N!2Fn1C0Bh=C_HoP+U>A&G5 z{6L*2Z2|RnPwAS<)6E6hNSUn=ce?v@H4Ns5F=tSsJkX`p*s8RuH`Xa`o!nR}L|=vO zrhEE-g%!L+F1cH|H@SYd?x-GF)35q>tDon!^bEh^=NelCWrTGK{W+vMV7BoI*O;EB zQOXT*n`b;IjFXjMtqjy?6Sxen8G8+1Q%8wj*DJ?uYulQR)$ui{_H55Qh{{p?{~~Iv zDaY4f`ce0SuT8f^nWO>@!Al_Lk7d3C?ZZv|PQyRKMg9kV44t4264UJgRlUm2l=Uv( zQhmZvE}kQ$R9&tY-vE@LUj!S!ifzMeLMd{b*jp@tGt4G#gTdm%nh%;58@lWAh40{$ zZcAKWO0~DG8d@b;rnruX^W=2NA&tX5ji1aDO=pdBh4T`29`yd`{?*#R%3G?dVyb#o z?{YMwUg;8p&eVDn-aZf%GKPVi#{bHvF}zma)yewM`jysclq>D20aR`FqreIu zbUn@G{)qvX{r=Fq(Rq)@I?FoDp}CrR4RGG!ox{)V7K2f9b{cnrNkPXYe^+zoH*Tw^ zzx1GnHIz939W^=U=f= z%u)ITwH3JfCMFP&Fob_7Y}ON_)%QGjz&ex5(3Y#fH0q#S^3=1ps%~1|zbvV|qWY_& zwKy4Sfpf48IsoHP52(O-{?`XoyD6>Z!E(BmPOTN{`&{;K6u2eev^mt&ME@kJ>y;}{{+rovNc3spb5D1Mo{9RBD=HIxHnAXB%QP#I+F{bVtPx9M(Lo` zt$?~+9km2>A_m|h4k?HoJZmUiJR^vm@Rxf++hz_VbR7pKRZCW7v%P*BhIEwv- zK7lT3tD!QI2Wpy9sD+iN2&z}5)I;tU-7LMVKcF}08*_hCL-F6_Pvw-@)HT>X)85=s z>|I12Fk`q*pv)P9=b$d|*#?N>&~{~m*T>bt(H)r25mLj{5}pdvp)&A-t>oJ3+Uh)9 z2sK=Z^9*xs@ZM3cLA`z^bW~chvrWT&B20qME1|V?%+p(XYd=)|xT>i9ufk(_q}1g| zQU~&9178M?^|hEf`-GU9m~?)BnX>Ut&lotn{no!0IsHr63!}@JLtxePUJ$Sg%+rZ8{wAee&yIabWe~~`8 zYn(1eZ`Vd~4r#^m{4imRZVD(e2l=k{`|6uxQUx!a44TR)=qI*B4(RYVf*KNNEKxl= zL;ECN^VW8AmRsfdRZlEqEUoM>ToLZxp82v&{m$F zYLacgQ}muzQb;}Ano6NoK_?MGpY(hD3DHDU_kj8!6J!3B{;=sUpJP5YqeuUTkk7wm zX*wUZfYVpsxrlSDn|Qk^zUq%q+Zc-1a{IZibT~e!E(W&O!F$Ig+u|)Ft*_t{5@ct& z97fgVll>Y6O$|B~u*~m(?|EY#V=H}aZXenQYOdQ}w_Kv`0DaZ>ObYuAbDdW4OtMU= z7VEp#TI*FiDz{ZPwEhMebfFlet|$4-V0{PUY{OPvTj4tUD}9$)#l`@y{DED=W8N!V zH6HibX3FuYGBy!Ps71;)&rv&XSzDD>IjiDf^*JkXxIJCv_ev3*x0pt~hEo%z6pdCY z)siSaf~=u}^5gI6#u}%ah-s%!fAdwp?dD>mou7@j%Tv4!J&Qa~Jx$!VomZXn+~<|K zaMEr!^aEEav)w;={vSR*o-8Pxcv(ZMmOw5V^8?O&*soB#Cu!S&d{f*=0(hlPEI`tH2`)WC(oKMh;n z9m@UA&qw@X#BT!Q10D_d()ozVWyGH#rWO7iCqgUH!-R`?G?7Q*1{@?%L{NdTYyRQHC#?!-d zJ*T{BMyv9gz1luYZKQ5w(F{x*YTjeUtg)WYNd2<%vYz=bE+zb=|?N3o9i~i=YD1Q zf#Dy9UM8N~e!aS18ys+r_SIQ^N}_hi_MZK;eOAE7WJkYqM3YNj%-x3a7qw0MjqQN_ z4f{p1R$gMiP+eDJtz^#X+2Fc==#CpV2L3Z}{l=3n2av7uWt#EIA+69BW_y`>P8zY@ zCfgIXX4@Eg{NAzewLcZ`=fEeNQ;203s5x}TKSOP$j{3|)-ie-e_xGL(&s=YyubZrM zn6JY3ig$*$#QUUony-NF`R&TBTD88xHi$>^j^mOe#hKzP#75NGE@?U16LisJDD_lS z>c}cTz)avS<5emY&6b<4@IP}JO{VJ=&kLU6p{HH@hyOGDI(hMQV-?k`shZdR3&+ZU z_plIy0S^cMG2pL`czcxnkX}KjP%)iMePqdZD`%l;4PHqR9WG8IhxwHm?xf*wU0<>H zK=)bd9nD6bGKE^#I{i+2faA}ORL39jksq^l** zp-%9K`%TwpLkkAJ=pXL?-~RgscMUgt4KqYd*V44j+FN?Q?Nb&V%^S|8jiph;`K1O4R{h@9;N6c>1nahOf9k8m=7P;qLRCvGzFb4*Je9 zNo!HN%yQ2Jt6iDsd4%lE^L+j>bjI662f@dVxgj${_6Ls~`D)06K@SEtIP0{H)Bqmw z+;H9Dex2&+VegY<*{_kkdeA=Bc95>9bIg+c#r2`GG8`G2&wM$(<24=PWX}a;2+t2GOwIXGs@uB;FhJG}V-uK)O zKjTcExEtRNZ}v_zJ<4AF3;Qv0vM)OpIR0cW)Z^6zE5uw!7vy4PvzBTz?fs6W&V$a$ z&Nmz(_J#TzN|h1k`PlXLjlU1Rc;o${2&nvuC(l=-cG=r)s{XP*M$I?NEvjd}(Zh4; zW_Ef;v5CUEdA;>c)bB$%Ah)6@K5~XG1Zb2xF1nFlt6Ai|efVEDz8rjQ z;Gr99TwYfqoNjpsjCwWHKGHcd;Jv_a1ApoGlkF{gI#rGwYP4>n&s$9g%!^hI{dsg% zn~$3hQ*W}V3EDO5xNj!8$}{e}Ji)$2zG=QIUZ1becZ{s)%lcL1Ji?~viP{P6J^elM zIo+J^6-YN#uF|he*5+$d=znZNlN7VxX!>lMjR@lvUj*I4L?6~sc2KN#DP`(%x{8iy zi`iMLH`z1J8}7+-kMhiQf8yTnTVx&5zOZj~9CSYHNTI@e(Ds#{pdV06%uT*`J(?%f z8*Vl!6>6B)pr)#ysX_GXc2XyvV9xM9g!cU()*DTK%l~;N8N00vrgH}EkI~`$Nx)M9 zWA*dezx17)T-Kyq^4&9h&RynB_Ra9k!Amao-NV^sD{Zs%E~a^ADlZwubdI#p#W&SD zOzri&*+-3bKUs5ZFOkxa9;NNm!t~#(K0173d`I28y!RL%`M&q1n`?}j#$@RWrgyD{ z4(3Fq__|{qR$~rUOdvy43Lm%Jx&(H_% zTCd&Au)?%Y=nHAp59tf_UTuxGO}Sv6W`8fywf;Jl>=>(8S*g!w{^e=9xEI*&*9YmG zX;I!c>&e-?;C{e!28^ZAJ6NjR!5l}FZG&E;MObINyL>&KcW=Br_>ybZ&@E)7+l>8G zlfSV4A`mY+@WBy33jEG7**VpwYc8x)EZr45>6{EStIa)1mRg_{Yrm!MLc!jAU<7-8 z?k9<8zZeep3{m3@Gj~|Gs*3F=j)iEnT*ssK^|q1JL2|S=l@0VvJx~AiYg(Ij0iSNM zU9-n)$x4IO54U{pdDL~wjfVz49NaW?Cw}j@Lvx0o^4P3uEybY){3+l-KsK@ZHT$Dj zs4hL+mPeO(AwAK$lB>q+3-mYiMtu4RdIIu{bKVlqtL_=@4p#Z+&{M8Bcd+l2xdG_w z>FwHX+v0c{Pk*0tto|HbRSs*CnMvI*+xv{ssMeZY>Q&pvw%6zz>7oDapmpBZt2BFG z@Wgt5(%nj@MVpyMcgT33#INH7LE*-{XuI z=%lFi&GXs4QQqrbJ2}=B#&|Qq`jNIrtJGhkLoL%bf_|E-^y~jqi>Jm`MYqvI<~lkH z;)#vQ)$#Nr?4#P9K@VVsx!T;!*>S<%r@W264Q4NOj=hS7uDqW}{ww=M=kv}O{fv4a zX9(!(r|LH4b0UQj-)8Rz@KPsTtFiRgAA`d6>IK_&y;=>&PpF|kH;PWAH2Nry88eJn zYo+=GGZTNZCFvKnD(xJ7U3v5l_gSH4GP~;YM)+PaGR=wfOyw8m^z*3rjukvwaN zHHxn926_XhY6tL6vb5K1JL#obZ@-nQ^&T~g{)liZ$w;D?@HIM#0UQ4vzPtR2r_Hwq`YAMv{UT}Zl zc6(O)o~F;emCkL4Ql)?5EVTEkm+{aueYNJ}mhPVK>TsVK{@A_S*lbnPqrckre~yP8 z|Lb@);3MbVR8?=a)mxAHUh?fUQhi5_9oUW+eDp6fv#?N0(k?Kyd7SEZ6I6ZGcb1O- zY+o6Zo!N#07hh(Y?J--kwg9_1-)1m>aYo6Let3GhE+OqTba`D?8}v(XT)n~wQamU$aGe!^^H+! z>@?lh26eiAjE=S-y-iEkI_cnBV&+qo|BKslO>%wV3UIITT=8X@b!LH5qP5!Iam)&M zHt^X18}Y}_^(9ITwsEfao}pj3=lC{wTy%TpTSfG-x1@ZnTB0&`-T3g`W&)XYv$6USx1KbINCJRm}^ylu|3*yeWU(& z?8d|T3}_#2Rrw0>8H4G7QkVtN@xgWGOBDNmY)kE1YxNw)T=yDbcp*Cv1JTK0QtE zQr9aEE0x)j!`=@(4|&eHd)>|6arEFk4!?gzJ$I>X6tYrktv0HyMtVe77_X8k@j&qg z^(%cF9cTw_4Rl5POE0Dqt(&N)fWGty^AR(}+(!rdA~VPE!CRxPudR93zo=)>MNGF| zIi0W-%t6%A37qHeXADxeXnA^p{-s`yrFzdc)s{tZg#z{-F_j(Xb; zGJ3PLBZ`TbFi9enNyao7N|4nonJ{FVJX@pT$x6n zGSk=0I4q`9Z;O?um{i5iXh$_4S1qTfX*O%`RwI<CTe`D!!jG-g6 zjee?P&83zx8gHDrNdYCtu z7oqY2t%fmBX)j%PMP!yztQ_-Qy2WNI3zcrNSmW>rr)eALA`7GUY73Un z#l+5XD}(O$EP8m~)4tSx$BfW4ElJI?x~v8~t~JIVyuBrr`UvNBCeCG*gy z=F=PNVnX5!cpsoERrAg8o}v$Kk=e;C&r0S%_Nw{lQPtKCc5Lb?v~>?WV3>-Ph{bO* z%d97qDke;N$i@AH9`1BjOCghe4>MaH`euoX%rnf>1p2Cd@pNH(tU)H8TGT$Kd;U-B zf@|yPhOgF&!RuDoVH@7%K62gj$PYBoNna^m6+OEhW{(j>#dEYV#rO}l{VC25?(=;} z)woD0XCDz*kXS89cj~kC-|EtTz7bz?wO&YWyhU559n_wHOP-_SJ=1&_yJXNsRphDj zRC&Ikx8lk$-7?;Z)cDS$$#R)gdqaPg`GcLY)k^V4o!5H#@9&NVmXO2wmuokOyD6g2MhGA9^*({^$_5VCWzFocqq&J+InYly* zS`R)nh56?(gFW2o$XF7NKI#&I|@DVoxWIqhl#r^?QSibbEVzrnMz`zUFHyT zvVrva_tJYFsFavrnVo2g%|;*@`ZwLb<`mzThVS!ygtn|v4(cu)pN$;lpPWB(zT*7U z5nx|!3u8(@k}3XT;~6?*cUvjeMIz%Z=>45^tjAi<7+!CNmvc6}?=p`u!&}AV9;Z?& z>DqSPL04TCQQ;f*cZi!(^h>d}Oyk-%UPrESmYGnn-WwcJp6!U+p$}!G9dSMYxu% zmTTi}y?Q_VuosJvtK?a`tf$er6X-lnGf$a&tslYZO~lbTXtPChmL?)M)5sf*c7Nwe z##6LB;Yeh-YHFX@uF-v2Or7mlj`{XyZI#-3T~Z&@VyP#9=07Px&U9QJ!gZrtk3X^6tQ<1uG|*h5SfM$Hvab zgPNzbk^TF@o9$alT`rvt$y$7jJhCxS`h2{*JX<8a+zIp!uEAqpX4TRs++^xzyonFV z`7vYAoEPb1EmdYHO-!tQ_xYNr}PM6_JH;GZ&DOAM9j8|U54>Db?T3cT<7jzh7<!X;j(0R`N z`V)GW77HDM$@26u_q?0VyQ97xzGmMuMg-BDgSA?$i>x$GeHVUo(vMxqrw|XMhWVRb z^x9N9Z8KEcAmp>Ih_I^5MYRG3*W7FptANUOXw|}``aewBXK(~9CImz0i^s5Ur z8(!)@{Xz7fqTixjW&L*eJ&=j54(!`3?Rlo=6!Hv3S`@o=Dz)Z6jB|8Q|IC|2m;NdG zM5=vx#xB!it*48998*INVuRiQH-&gjFB45?g0J<=xF#}rtE*#~-HXSgBZ^d4Duc}D zBwG8KXKFQ%nv1cp|2BF#u{{W{x(?sbjrG`s{#nO-Mgb=Ql~T=V!2@{_4ml)!(`p}+ zWjmOUEyosQ5qlIdH`;-fmAU6$GEpn=X0~(QKndqrF{5ODL)Y(S^3ZGP1uy2x4N5(+ z$dFoy{B6}|>a|pi%KSKBIv0AS;Pos)O80@|*?eN~bdF+s8(3`v@$p399cQd#LL`lh z$q?G%Db{PmtIN~Y^VO~f!A0fZ!loWjm}H)RR^7&oOSg3vo?A<-vm0wX5loj_P54baOdo59GDGq+rl(r*-XidBL!fg#^o!8* zv^paG7WBRcZ+RN?CWTaS67aTisOTIb2UBa!W_Cnpw!hkx36g#?M@e*fZ$p<>(m@=< z+W=zm3JS?YHfbT88n9POrB~t?+DS4L&3Hwlm`5lyj`$KdgP@VAuyW?PdePNOtrNrv zt<2So=9GbYqR~>*AX^{7JmFdV1_cdql}LOnYu&1yCDUl2`5a6S$Kw-z!Wu*5OI|>K zQM+V!cQg68i`mUouo1*{2bl$H#Ya8EG*A|^#jAn3fqOPsEj)FCx)u!Yp;KZV*@Qnc z_ch48>UN|p7F$@&983{Y92O?@qw;Fr&{H4`lB0}+o~tCxXJhd99EHKJ%@j>ls?=PnayT*jqD&w zISU0+c~`>}cy9~w-vs7qdzlGZ%6d;=jb;(YWT3}q;jh;bFQpMN6)*$aY(8YIhu6np ztp<2g1Cus*<-76ag78Eum>ivsw|ER}Ou&OpXL_{Ij3WXa4^M5xJMLDf1FNglIq-fK z_HF^%wG)XAffIVoOk#{awB0k%Ad{*7CHOvl$lgihW*In(vmWP)M*IpUD4B;W!OkYC z3)OW@(oaQhFJb|=06~q#Jg1CsY;LmEgI_#>b+<72wp`uJ#8nUS9?U6O zYniIegnnDlA;ru%SFoQDrfxi3*+gDC!9Uw_4zGJQ++JipNi;JAA5}2f9xMI~Sd;15 zLT2JFFxNCoZ9|?`g1>CIW1F?a^cYKs$-g0r2?O^b%!)nFQzD_?DJDW@BLUIuFO3~$ z5s{Z$>)`oXpcv2OXRES_IjSdaR6(_u8#J8)N^(jFY9YY(%E78mW z+zuDdW3I0bYUE+%-bLREDQB%L_%aPnPlCs?)t{()!Cg0aE(4knWf}S>gBit{ z<|uU7E;Eqn{|}f!oxh_4u_ z=F4zWCEj&4UyH%6So3(Ekq<5uB^{2)&S7;LGK?x z(z3u^7xTuGIm_X7eoj?hXZCyi(C^f%YP8yZL$*Jp2@n4kSOJC`a)_hz_Wv zMqwYDl-tnTUFoZ7m z7o3>Sv$L^S`|t{)k>GNq!hj#@z(fJlahs5z9DKHD4zW^_0*PFc%LK)(7W zzQ$=ZON{y$H0eTPEdUaW9S)cQWVGX;;_K+RRoqug_NxkP72`p@#Z+%TSPh}aUa|Vk zNOt!=nyOW~oqWy&^m-Ebov9v2*1Fh#5gb#D6-nW<7oC$0hH_XZ722HwC+T!rl8qw2 zQUw3c0$868i5jNpc@dvh&Z4O`W}qRWu}1Bz z5edf_%3dHY!_pXdkkhefm(d<|a9aTx*L6H+6a287+($H8Y9$)L0Jj!vWg%Z@+0|Y= z@J;4w@UQ}^?|^60nTaPm$KJc)<0EKGa`wR13;k!JeOj=yd*B=$oy(bx$YUe>I?Ucf zz(EIGmO?&cqWQF`S}#F|Xdu`DbW`wZPLokeRBO?f#Y|yuL>8U+qM=lP3t6cGZkT}o zBGyYzrYM4vy6emb-&E{ zD?VQ+Cl&m`T!OE(2@TPPw=s*(kG=SJ4XoJ*f1Jgd74Zotx?DqK5{XW{h7Ha`Go;~r zZO0ESFca`X+c`sJGx$A&_q+z4l#>vqL&Hw`8Go_Oi0M?_|T8kVOar)1Gti=Z8WC1=zHk?v~#?jSF@Z$pb zcbj-|=!RJA{UY;rGYOfHQ>r$ix!<)cI6fS|OIN>xh9x}VBG4q`Zxkc5m6i|tJ%n!{ zr*=$Z^{q&o10S~?tk5BWd5zeZ1v8$XPgimPZ`89G)ygQ;%0A66(O>X94{-XgH22=5;*s z1T>$E(_|u8alfDDwRjBSe0uP3vcXp?6&zH-Z_9}?ayVh5l&8#r2Nxsp zMAz08q$U@>YCz`2<2!_v-OX>hu`9*=y$ovT)_VNNa%`-Q-OR$9Q-J6ke6SJi7mqgG zN5psxxHsXwZUW*&bX7e1qY?|^u^#6C9>V*(h&)B%N0p=RLajm0zPM&~U;(R%cpkR? zNVH1@2>WvszhxFyaGm-ZR?CLh{)XSr8>>8MmEl2gh7%N?jL+W$wI=|32$FjYsDI%1 zoWaHUPhr^YTJsz+~8q21zyO;UJY3*xgsAPEX7*c zfFK+{I0q~K061KLe_xHZx(>W$P;UbKnFCLj`1x!n_f!z0<+1mNu^Xq@c@CVm2K=4H z=M4vfwcxcDZF&MvZXLFZX>TZBfv1-VK9;~&Ra~iqw+K9tnZzU8Sal=5UxxjOWw%4be9`zW zhr!kqAZX+oIR!-`zX2@#La1~WIvnF9pBktegLVl~tGRvyen%xIZ%kAk$Ag*!o_BI} zFg`>KQW6gCH|!s zJJeM~IFZmU1lba5OlOxzfU^V|iM`C>ry3{{jZcwEbP-N8)J=u66m5G7E4%@0d+>I4 z5Cufzhos>*j72Ag!Q<6<6$Z2`gaU5^&uRQlk+vw{EoFDB(7&xklL+CoMs~Or867Y=(T02EytLVPf3t{-8sLiTnX-xArcxEc+Hl4tq>_FZs;fV-vwG=K00T;80-^PQ9F#ZjM z>kjd}A+Bg;-8Do>XU*@;WY(>OlUC!cG@#>FLG@VTy?nfkwa91*TI61yGt+vH=x`rA zR)P%e#U4e1nRcYffse2sD$qYkzT!A1g>=Km3y|h5*p3ct=oCDFGI%5cx#`DiT8AV} zlZibt9HsvA54*u<4?K4ay~23~@Ioze)&nmTBE!<}bRM|VkTc=6oyf)+G(;o#zLmNE zI{2y??#+jPW{`zAgLf~d@Yvwee4boI6dcd0a!N%6pGllyR*enb!TNnXX&gEu2+nT@ zZYLVCooq`!t2@;JcA5i?Ba~ZMQQ#>6mbKiiLh14FlL2+sLNW5A(5TU`?fUp$LL^6S z8_ag%U0$Ky@eq(#V7DsaE3t^Dxn~A>)>5twwH}B2c9Wlc7(PkoDdb`NRE$Blw&Jhs zM;80JHka&}oWIis_df@w#{oqGcxXq*hhmSG14j%rIg4$Gr9Ku;{JR3pS&PR8UCGRh zBfbcQoBQCr2JB1-c!|d@m0_38K#@W;K`HbI2M4`)%`PBif(4B+2#y~h3t$kFg|coQ z`*ecYEFwp0^~z=Nu!3_B`_O}RNP8ACI}ciyvHvkhV+nr`#b(UmD-ph2B6)mP*@{eG zAs5@j$xw5xXTgV@N>>OEA5hP5E?^H@b}1faAhq>pIPHwn56PtMv~C6S*;Jj>v~nt6zX_%Laq*qxCX)u4wN9 zWMK<@Au&lI9G8SYoP;O*Q@o=+T&Exn3G7NvLd*wKy?DQcP_@R-o2Beh;xZe2xev)Y zfLzoP71cpe51j;=@KYYoO5qGx`!R@4a z6GJpmk9?*5LHfWBRFVi`)nxPk9fWxhouGTNL+t>h41)H>@b(ZWIHK~~*_7g>!j zxtomQB`}c!g?p)5eTd$u;f%3w(AtCKK*Icb<#po5DtJ5EACp#+2Re*TG>)CUk8e6z zVox=bY*+&|mkJ{2HJlzc9;maq{~Yp@0_H^5WC2@+b&&TEpJ(+5Y;G*rJq*=F$5~{< zJK^!m=2*0+WMFcTZhE-jZ8^~`O`V9g+t2TE{Z_XPOdSRvU0^~dkCY0>6u{qwJU568 z-#R!jhwO8{*>3EoXKxMOlV~O3uu>(1c;Z%So*T%g>eQZ2vFhDq@3NpwNJ0daoJ?dZ7AzESw&`WVXXL_P;qYz+Hn$WngLyNmPF-N3ipVho+7;ocyab&k zlkqMPpFna3u}~Y)qT(S)o@F!qng*mR$y@3~y!rS>hRNIV*xPdCHj&K44x)+_xO_T! z(jF)th5sNHvx_+FrcF#HVs2y~yTQVAEb0_04yVX19wSEi6&e0yBHcD)6tE{jlPP*p8eBTPKNxEAg?a;Im}3m<#P(jGYU^M^@CsPzD9r8(Ox*uV+h?w}HPM-tR;&@Gdwq z9Y2Q4I?OyQP&j`(LtcWDGwF4xCzl(g9>T)(A_Z&F$+uB!*0Dl+kg^yoL@JU}4J~#c zz3p&r2C#OKmsm-(`l|UExtwZzuXK1Z5jkjK)jD*|F4oo6onqyoRT!91&{JE0sR3En z@eGbYhkEwpgReL7ye=@6#0kQIWNUVt^i-pB!@;eGv$`78U^!(GnOF>#JNPYUW&1gH z6>C{g|xWRP_eI1#M_J-!MXScNU9gB#ND$|V+DiKS?!Qt&vQehpl< z%^x+(nY0P?7R@yOV`PDYWcqzmkO&)AIE<{!dAb7{$auX>UM*H@<#gMl$p2w@cPu{0 z5dLZod{GC@!r=q)J#&zma-OvWTegE*bsr~U29p(iAL&^`)^fk{8>Y#Vw3Xz%zNAu` zKwou~dJztrVy)u3O;8}(It2_0K1dPTBZaK|d-!xYc0mV%4zJ|d^YK(p!E3#EJ{{zkqu?<)-8~R*DFJsXP1!Sf+ab1hwXIu!+<`Kb#Ac1?~-KE4WoFI*-^fHoL zfE-++l9>u`jE7G$*pmWQqv)dz*Xp5GE%aSNHiY+@km+X5h0ll7CaGv5jW%MIZ-`nB zW6#DDof^b8nOK_w{uK?*S-kM|e6?Mj47K95(P)HIWDibZp*BISN?@I5KE%qBp^ri< zO(s`Tibg!6T*bQ*YZs|(fm7XvIPLEmB!^EBC~pIqs!{ z*kKh@Pj{I2LWx)5geW|fbVY|(zvcw;Zh!uDrrJ%e<1=i39UPtrmD4%(v&o!-<(rP3 z3&ZN=z%dK)R6O_!4k!_BHE^Q)20mrPFfm{z1u0BpUnkW_&PrFbYAkgLnUZnVy=J9R z$~ilS>H6+A65!q{ve4f}Kg@oOzO-0r&A(zzQh&LyL+d{6J>zDQ{@=PV&;}?naa}qVp#_>LOt_RG>)X+H>&ai$AP=$h zyp93dQ)rrF_+P8JK7nbT0jzWyc0UeJX#;sQ4?QL;jB?{P@OBIfDQ8<2FoX29Hdeod zKD6HwxT z9+Sj6b?EV9oK`Rm%`gC;WmDZdLnfmTPuSoz-hUhC=s!vX5A9YZ@>9(2s)4peeHW^9 z;pHMJV08nlF2hnJn#JUSn&}~y?gu(GiIQ{t5|Kn4xdtxlB|}pM92el*QnK)qDZ#mBuYkd)qV*`89RXwaEj~OiP2i|LucZLZARV$m{Q(N=h_ma$4#ba0vz)We)z*w zd^WMKGxOQ#i5M1Kc*cG+)K4 zm%%T|_+cI7cEYi0IsWdIvEXzC70xo~u@ZZhk7qX#e%yvM{*jKHNceIu=O{afFE1)4 zxOjpJ&RfXkAY2#D)vRNA&|%kzp)#?P2G-;ZQdt6hj}tqjqfKY|>rM1XVpYR9L$V!P z-H+e8A3Z_`1(BJ^=47&K#rP?ciRjzVwGZHvE9`MMIjeh#6^ii0M!^T$!SM3`zCmID zYG!j?5nPiCKgt|J48FG8+5tbrs8YYL!>&pH!#Hre$*;qb%>`g=GCH>eIWNHrOJz!X zJKbOL*t9hDAUk^;PiZBVT_FR}giXnzIV(o+w;f%w8=B=>qluq(qjASVi!h*}bCoDsaHdneSqJAVB_nr{ z{dV9d&cwUuBHCF2J*u!kQa^!9uu{=rY&&u^RqZ2N@v!n89&Q|+2Un1>arpDaWGmwE zmreBf6GR*niMS*Sf0=yx0iuB{s-{6$sW5Vv>&T9jk>Sc`)m&^!B-a+RgIu~m0-3Ih z#*c3_li;vAJSKYmi671|_e@7LUf%meYrn(C9!;e(5xG2uEos9a)aV>5#nYWa)?*#A zaELE@M)9^=i5IGgJ`?drrOwboC&LVU+}%jqE$luHPNb8T=wudJZ#O)ai09A_uODIM z3H}V-ad_MHS^d{ z7CvD-t8XH25(h1%v#6XETj8~8vJ^>RtO2aA!FO9kZEp-*lnBJfp>QnOR;jkg)lGOY zg*-nJFRupN7qI$f@_dphISqZ6;rhT__uw(abNx1+pN7Y%Tis~g9xVF?G6x=_+C)DbANVV3tB6V*crJCo`~s3w zOVl}lpUn&|dNcvcBXx)%bpA@bkT=*>I$TqOew+eFG+`rNBBrf}BGK^q1#&`N>@1hq zcP4vN>496t{$r{4ORcU68cZjq-G^RC!=g(5tOvYFXJ7=^ro-(%^ms4Uvcn7nCs(XH z!0B|}S(L`Ai~P{GAj36qZx;Gv754uE+%O$1mB0apat~`pU;%Vw;x%f+KJ@fkaO^as zUi$k&q2CJbt|cR*u=WbDF%O)~L@o_@y_#ytr|>K%{Bcbyo>Lk5l@si$7>u2#L#ha0 zvxyb9LoMmy$|Mq$JV-Nidk~r?uvQxKSU`?Dg{W>H*9h-yz;?^Kc%u3F2o^}LT0w+% zgj{_XnanFnqw*rzh)KZFj-9S!{USJH6F*6%Y&Lg*+0sVeEKT*PP#SAq3)Mc3I-5o5k91vhqdtS4o6(2n>%Ws#pZZ zo+9rS0X=G|xXdNz6akbOSm2Go(v9@V?C=WuWY4qG4meZt){V%s4nOUL-qKaHm{py` zAX2IC0)J)TJOi0NjGlM~iCTjt-H23o(`%Ulo|l5FRq$U1HsBQ3Y(ej(5(%^e!zi-5 zCG4P$b>)pkhsl;*f-8pj_Ymt!rN%{Ou98(V(F1FNLahBsw9#1Tn8T{c=(UMd^E}qS z;muue!*(E-nqCPM34zC@N2Cya+yb{X!%2^Gy@Flr;JJ<9ZV?=p$4?>HiCN^erhwbk z@X={o?w`qu(rF-X5OIM|(Gt>mx(2$WV5J}DTET?am>TrU02;uC#yW@%TaHz7v!ge_ z;7a&^4!GM4HZGwB6eukG_8gQH9UJP`S?Uh87YyTJo&Wx_nCpuPy;U~am@^?Fp;abrj_27gl zcWhRkU%q;=vGd`gMn4Q?NKGdB#baQ4I@%zRwL8h<^e~6>A{rqXe@HsvL$GZ#Sb2c^ zUVsmDza7fNqD7Ojjb{BKxZ8uLz7V@2eV!d)rjol;k%S(!=SF<2WIk!=$ko)$4nv;| zX#Fm9Z|2%2bjd0zEi%86g$%gqsTj}75t21x7t#K8o4B?Utmvu>?posad3GX+^RX%p zEV#}cS@6L{xNrtLTZb&3(n2aO3b07OnDkoY!G8_d@FA!_5&P2hVbdTBj(?1PG8O)K!N z;?c#TVi+*ZmvSvP4EktWcwYY`nZbWAXf{{SDJe$vI?&&}imtgP3 zU-{IJmm^?Yy!a-d5x+ef*`JFJk~&N;?}AKWRq?fVAjk5i$TarY1{6Di;b|l$ov#8U zz7=mtG|xup{V9Idr%;Lalt6({Dv-6TorYG61B+|ngJ*!{JT;_z_O_dKi-Ah2$_ub$ z$IxX``CY~xaeN*@a~+~5Bidj2Eu{nM1bo{-F773C=qSAZCiK~Eyr6up+zsXBgqI0m zLUhW0zT{0u3Fz7ZsJQ_M?(yrX6r^|_|1WPik|~=FKvK)vr{FG;w^Z(swX1+tCcuiZ zgSFTRho4u{Su+R!Ef?;UPQK6Zx3&OxI=UwroU8{!byQ3ptewxw_28ohDcTE87PE3U zJT29<1!&kTVBZbC4?~}Dzcdtp^C$Qz1Dh2}1X9J?>sURTuT^-|;+46P;zi(_)B5So z+KsMw9*9!llMdi41LjTaZUc~41BZfVcrSa(K?=43!y49>E*AxAz6-Bp!`s{6 zg*FNrI0kAobPuPloQZ+4Tcxw{S2yhC2kNQ$TT=E9DKC z?f6qVd6gJG6Y(pfh!vzqFBIx`@e$wP9Ct`BQY{v%8Sno%{N95;3WHyr#Ho|nue@0? zluroOLZJ((9ocNdCU6ZnnJl^%F|0G#yrKODcvUJX2uaa4!yUeO|!Kesr)p1SO;=P$nV!_*v&K(n+rH*KlBq;=2=UGWf~& z9-t8nl_MpkP)#Pb8u(2McSb?mpW;i!`mvOXE*r)A-C*Y;@CrPwKy!&JZR|G&Y{v3^ z3UrtP2Etff`nEdwYT+YVuakZj>9HOK55$mbljtA~{+q$RBiW1aggn0-9;yI8t=!wj z3KCFB17s8MSVgn8z!9H;m(%>*$-aY#v!sVzR!;W+JBR;|X3s7^p1)?t z0?$qUk&ek9`S~r)zw%6Aj|4&!{I!CI8aTQXSgNV@%VcRExQ#%bB>tGoy7BDxCY?Iq z;W})LU{AQMjjKf( zetbtlg;1dB<>}3Qj&toP?!3SgEua|(ZpOneLREoVIu(22y|du@h+iih;CnrE?S{8? zKZi?fmduW)a>sa97zeF=+|`XdUF9}yKtX*6$G7{yNqG+T# z-2VxK-v`)hoBz|nb=S}VBHcovC@?;Soz4VDvP;oVSGcm-kCj@uSl)&BwV!`o?7+cw zGGR1>JIBKp5j;_}foM$GYdcT6Y<&+`2C>V@tQ*f0dOQlfC@&HJK&Luhq3PjrIM9<-OsuXjbuvMul|Ts4WS#sbSI`gB6M(gM!Q zaD1nqzvM2rpJPOt#abJif$uV$A@B7L=j!P^W32z~ z@jxeiVclT=JfAi{=d^SGwf{avWaU<15xx=I8-y;qX{Q9DF1TWlojQ4T5ZI6&+6d@B z3OEe*CRCMpOmxR#w9{d@vxDCc_~|4XYC0B5Fez4XykDNWkR-7M7r6HV5On~Ha99}l zlN|m8|1&0VukfYlvmW4=FOhGtnR4X>R*m)VHP(+!xpIJgodFWjlGX6AWSvE;%70F- zorC9`fj)QusHT!j6nohT3<6EtfAJ%B+y^vcczz_1h?Wt1FPzZlhouKx3swXck-VF> zJ_g8zgQ8hghx$WY&G}bgMl#Bh?Gk9ZxvLlMc5qibvOV4ZjGGecL|*?tl|-A})MpCM z9}n!(6D;11&}o#Praizc)=cE@q92Psa5ILh1#;m-(M{n%=PO6R{r_IQ$;`odp`nZ3@@a0#_T@uAbn>ywY^AzaD6Y= zw6VT$ukgCqRwpZt1qOjS63h!NLXmml;eMVXv=Xi{>D-aO3fDv-9pZZkPpWXuAZuOp zLnAgpJepQNM~Yq$i#!#MiRUiyi$?KfLm#wr{V8~jbGLxzGS88?RlLg?ejbqLh`k&G z0~i0_L%gZ~Zfd2QvOmF3&+)A4V?Wne zS@>5dR81`1$X+G$r11AB=#zn0lgw^~XT}1H2TYv7o{C2!9@ZIlEWF@D&)@V4gid04 zgcE}P%P|+gy?A{+Kqg*~`og_H9sj1}*2hNINuf2YGlRXNyg+6ydONZaKU*Za>+DQZq8-J)cLJ&C#2T)ZNbW0e zG=NTw2HM$Ncav+yN{SCDK8)z0lfcrBtPOHyIM<4-C9$qRBDD~iKkVeH!*Iz~;^KW^ zT=dpCBq|VClZhYZa>s*yizJdMp5RR#B$i#gs>^7&5TH&X8c5~)Y<4PIaTFMl36~}) zd5C)il7764oANsY2Xw#b< zatQoYVzX+&WD}I_f{G&Vf{#Q#^6yl3DYG8k?CAtoeGVKQ@Q4rI8_Sbpxh9p5aG%6S zLh%#8TS1mWCL@lpU(uaITrc%Ep-UR~Ohc1P{M7>v>gem?|Is;EjEEmHS59>;y0n-0KXS2CYn)r=q7K7w=)-92#;n!8_5G1(Do=RmcbiYZ^hY4O60H>P=TU)1V&;o*P&F~0;I09PI)UXj z@bLgu=WKEnYsfL?09711#fAR(l(}{Zych321UMe{<5?v3rZ20bBfH?WWklf`_p1cn{ ztmDH}4J%8Q!XW!UpSt80GOk7B^|H8QiXW10IC(FSR3N*;r>Fe#7z`e!`n7HxJR;eF z5I>hnJSh3O^GJ*2gd*6x=+R#S!%|kwPQdictN)TWcke-UgH!X=W;l^TIem>vWUsl!!) znbXLFXwz{1p2Alw^b;K>CqT5Z`g=gUn=8eq=l~y4z?#OsBzIZ}zE_iRoeuwBVgI#U z$=TC<)&iC2$D7%UOt2wPNcB~uMZ7HOA&_%ZN}$a#I7{-B;=#@F?@w}M!XFYR4)E7w zKp8RN6hnUks>B-#UpXY7%)9^v%5kJL~*za_7nn>0qc&8q%TMs_<;A!_+)e8g8WfJ?k~@y2DQPWCkuJ-C)Ec?FflN;2hT)I4RTGJ-11Mrr}k z)VHhY6Dy$Gw9`yL7Te(qnY(tzu9u z4zqyaJoUmT`i@Vlw`eBa>!E5MJbHoM7E^m`q9ZY$p0&$nGLpUm$Tsl&G05O%_*za& zoe1Q4)R3f>{S3UglA6eQ@Nk)`%N97*!D>O&+%_;@TSJ%JRQfk%uBw}k?NGWP$P926 zXq1+%6>@UO!}=Dzn)6aqv_SP59P~Q&Y63a)7kGd28{+Y4Gxp4jmndx>v5vm zLmexR9f#6&x1F;KAE4emm7kkAv$m4H4$h=QhBN2`Txy;&QaRT?oVRiY`bPWi;6%Z4 zBf|QM_mOYZpRir9IeFtyzkPtWS~zTzwG5@zEH_-70{v4?vA@R~>@D_I`MQm7OsVI# zVu#A;6V0N(IZo}OZde8vY@?riJ!jEIb4E#swuGM0+1gHZsWQ_#!v4?mX4V}(#kYl% zSercwynl7H@i$aozPZjySNG_v?O*f0t%n`&agP3a`(5_m@do!s1upj8>mB8Nz#H#% zcF7v&|@&}TXR_TKC-ZiDK$>j@O|$2(%s{Z;p9@E?-fpppJpT&)2%4xXtrsKwFl{^ zZLv*peCa$GFfYJkuhJK2E9u|8sATcx)a&lsU7z0g=g`{WUiYuOo!%r)@8rA~UWE|J z+Y#&Suh_n3=Vf{}?>v0gc0xNsZw$FqWi@@Y*~)q|kaw?s;7c^~txQgdZt~>2f9!b( z2x`qvxNgXN-+G=?cC+js+o$u^q_b*2k*&z&5^?UFRFNVMKtdRsnO`E;|;tS#*jG=30h{| zX8Z{{aE`LoL_bB9S?eqFhWWlVb|Tj^IB`budF`;7t+{=9@+|r{AtK%FSj@ z`5n++V=60BJE)&<>5 z6eG^p=6#40R?pGL`zG&#Sq9xFAiYI!{!INATNdvcdz*K#eL3RWp#O8m+w#;V&RbvP z-S3__ynpD?8%GB}x$(hpmseqiAYPS8+fF4+yHlTK+o})HO~qM^yf5)bj@z6+=1sqE zaBBZXCJ`nnTg^9pBRx+KZy7%9`OHwzBTYzh34JlrLlwolUS8+@hbuhCy$-WPnU3WD zTz}N|SLbUZeh67JYFUUgut%R{E%Oa{-uC>$^X>4xu19Y?H5hOsllOEUQo49qX11Eo zY1>Opr!uHId7DJH+CXRWSbeKwS>U-KE$A`lOgqHng;Jm;I_`HM?+6?vGA<<0Nw zv?gW9_{RGTXY4LQN5r|;4u3VgX!t|VK9i|5``gZM13w7XMm{p~&X9kP_y=#b-ll@c?ym{%};hCO`KAoQEX-YNkMhN!(+p8Gmyti<=wv@MYc8?oGBQ=5Gb?`IyjcNA?rxBFh>ZI*v_#qgGj z*>X0P70#O>KN$X_JAk*jkF}3?^xF+vgKfIwi+~qK%nAN+kjH*Ti8C&HKl4q3CKV$5zoF;yhLkP5aiz~Q8&t*=a6d^5TlI+MGbFunta+QuZ4eyhEwZ{ki+0 z;oFAq9DZBg3+XGgUe+GAd2G6Uzjg||FIV^4e;)X|5l2Vd9r#McQ;qswm} zACVdU@u(LA|6%`{cVk!CQuRLbr|vHXM-0rkafc_#jOH}#KKE;bzwUpw|LVYvp#o2n z@3K*Bg{f6~e8BOLxubi-p1kGW;8~6*v_teU45}-%EaeYIJLjfXoAugPjyL$rCSRbd z@cPgD`}-d4kL1ng{}`~}xX*pk2)Df&@NJ;idD`ybO`x}q_|wRLkNRTdA4ZII{8l@y zZqmB-6nm+Dn`sRl>@#}5xc1_}b=Mrvx9*?1ZohH=4b45y{HyJgfa?Lv9gFqp+H~Gz z{bBHDquw0#8gEPN)c>memit%Q9r_Y;f_H;wiFcbX-H11~c|IR{^?K>Ge_nf}fA!$6 zhrSt}=7`f2d@fp-QRa5U%()K259=M~poL;W`n z-uQm#Pp-RM|GqKd#=L?58F*np-mco>{Z#4F|K@lj;L)IWf>(_ibxTF)Ya^G8xaM5Q zJ5UO=!%Q*h$||O6_w&x~ZCZqq@B71Wz~C?Y(t2CJKmWbw+IRi^13w>*_RUv*r*E}; zogW0f5;F0Ygs|eUl3Uy%ca8X+)8;r}TWLF~uhw#`wcaB`Uk*&Z-q!!u0d4S$!TSgP z+5b-ezpnpd=o@dd>auq^|KgnGtarX0*ctrA$RCHkJ@Q`O!Thk}3Hv+tv5v94wT<)i zm~4nMFMCJ0KOXx2dQ9KXdwZ^q|Gxf*`>)?W_{{JN-Z&%CiqsD1wt&pw;?O5=-FsX6 zt;Q|ds2@hO1cn6c1)6O2SG<>d`dYx_BLYTM-I6)_$D#i-^3$N8fG-?d94GC8yzwyIT4ydNb{I6vy>Y{lgCqN@ zzn^tA^lI4m-9K#Y8#C|_@6@Z~r2SOe8$nIC#NPgkvFQ=v;fc508ayV*74Y|f69IFb zSG6?b`tV&h>^CM3{bOjf>*%1;-~4@TPf5?p-v3;Gz};!i=2ZR!TLZFp-^gjB&xUOp zy=>&KgZ>`yhV%1)Uyt}z(8mE^+qdJxGLH* z*uYibBJ8$vlB<{(ATpXnlC2P8F_4e(_`ZopNHcC+F_SKUi2HK!w|}QNFIS4e3JvY5ygIdyKlHKSE|!tcUU{n)$seq8)dv$jK9b}3%?8Y_G<8JKwk-xvIM6IVU+9I1C5rOtJ?oEzB7-LKVZRYfpsHks|)u`IU0! zWZlZ@ntL`sp|E`@2>sbhKPW<}i)IW0-q(%v0R^r`H+N#qDrK zoef>8qn3Rh^so1)dlOfovy&DlL_Gd`d1JC}XWq^_o4q&pkAhBqbFgjr4O>e6jLo+X zihYq#CviX=;XUk{@7WTQ9J@O<)7{fHmsoBbfW8P)O;to;fB28WV_9!9CT70LspdNo zYQ!c%hpJz!rn#`r<}}Af*IH+7$7K6%N0R$Yj4Q5aY^Ep88L=hVN?ThnC9!=<&*=Ta z=Gi^dr~FJ!ADHDE`KN(o@@8;2G?x>aOH*#=VOFp7bT5eB2}F zK+CTb+=9?_qr4j6iUdpLjm)GoN@h0AX3kA0V zx44ID6a0wzy`!u9i1%=8`MB@#Si-x6YYD$3JoGx;wQLBTj8`^oSC5Lb+5dvJLM^9D z*7J;ASvPV+1^WYXcxve%iY=1GlN;GG#y zB@RfwkYr1oVi`fs!s;3SszLGZ=$=4YLGj!<*`;!R%X?Jtm#=8R6g(YT&bF2$ zy#(HX9%|ui-JIn-)4k2((-T@G-b$E~P$J>DccxQhhT!WoAKx_6$yXxpRBo5-_{_DL zXjbdY=Gpu5Hu`<6UzvyXq&8X}*n+lL=Xm#E@8!7CiCV(2xLB{--Q1pJrOlm59{o+5 zAq)xs;r(pxS3Y=V{-68KrcqdyEpC;Z< z`kpY%`={oyho>(Jl9ye?Qw@lT9WRBZfn(YH5ql znHrr@x+T^Ac0|8K(1A{nV-%A@R9mnjPUEE_IfdV8p2x$JCRPQSd>kw;kiyn^)4dI zj%TMww?%)A&Wk(?7lxmOk4A1rIz%djzXVqMuldS`-f@$)D#SzUNY^7zo4A^Z6;g&4 zJCO1s?z6KlGZXGzuk`N9RrxPz9Ct0;CKM`k5j9WXSX}S+17HOYJ`C|OYfy#l^x;CtbU!V-bIp(ha^ z`-98iD~kJ+%0>lLCt{e+)*Of5yECCe;_mqI-osA9a)SB=J*+O5R`J)vM*`FRy9@FH zzjCjn2YO|r69`Mzv)PfA>>}Zya^Db9k=OuD=!R{W`;<2>?y%>8%|#VKcj)WErHg|0 zd?RETTmh8ffSfA5;vaI=qFX~Pf-ylnRE(`89ndkn8$Hod)&A3+5IZ<_n0tfmGF29> zY@Aoy0m?92B{i>1%E$O6oI}b~|CeTBQ)}`%yCFhz^TlK8Vl0p7K$oC;5*}hAS&m`N zPt4b-(->wt22;r>(*hL3bFpdYdV_}>ZA)p3SP|ZIH~tAXk#8uxktV3X?xR)kTw*26 z7$vPoZPzV2-IAi9G0ubCg+9zlMhg|rE9n7uG`f~sE89$FMnmsqJE1gudaWXQWSNklO~m(5 zJ&4Xo4ZWGNPp+o7GtOd##6+S3aSnTj51}@jOWJB$ebi^&smZNr$d!+li?K1O`(2RY`Ug2S+ zez106z0Xrn+gF8Otqmten=zs`azLxD=Btmu*)<;UHIK0gnqO&)#j)I->iz7RX#0qd zG799c&}-R%Z_Y0iPKxW~dTJ-=gsA~|Ur?JZb!6*APK0Z6XC#w00r?wmM7yoKoHt_n z#Wjk3<$P@^Nlq|EYBOc8Fa^53pK!CG-+LR|OxPuLSAp-;SIMo!P3&g)AD`R^c}t`~ z)A}m&O6IY7nT58sw?8x=B@e?VHAekKJE*geAFu}8ME%rx@^)#C*i2Z)H|B;%KStxY zR^of*AEO$YOk|N$=t4`XV}xskv!d-BV<&o}0d$$^4mci;sn^9xe1quuNRqHchTb>y ztYIjV#1X;+ex#hHE;a3kzR*+HFK{<}OrYjLwjcHl*1Pm9yt?TP%0d1lzXd+uO!YJ1y@`-5HXrLq&$kYC)^aH3J9uB? zwj#+(m9t7!XrKXp2;8Xyz!4t>%S|*cJjtOHYCGoY5p%`8 z&VGj3jeS$cOEFygFcC=)j`Qz~gp^iz73(D1T`Csct=HBhXy3dpTKIVWsCZ1 zP(;3Ev_trD_;Iw7_(*$;Tp@x~Me|Z?jwPKLLhXY|y18~=8Kqb?;I$y9tO&}&w_%sM zTdS;32Nq^n-}r%Icg<5pMiBxI|<0P1+V*0%?*z2Q3zxlYmDYJir`h4ZI;rO@8n?Q zwi=Y~NbMw5ZUcMMB6TdJVgkO`S9Bx)@%ccz7OH!~;sMk%liZGmew)ecl=|)g6uKD(E!z2B1){`G5It;#9erdQFGa zVO%6`;-FTLH?WsObAx(#CEG=CE7uGhf5$v`wM;N4&5b|qDQ=~(W7=D3d$eZcR%Ai= zbhsOvBm8A@Q%kJpZ5t>P`bi$jHi-0(oQ}-rEmAvWu<-zWO^>(n?yBB2_eV=IzF3P0 z&-e{OSLwODSF;(p2;hHYNv0${g{Wpi<#!Q1aNJ)cgs^qR71~(SZam0raW(PIjJfJ6 zX8De$XicOu+*huOz)K`x@;_AQ-ZJIkm+5SC486%zNiM_Bjns*r=YEsB8ne*##8YAc z@s7mlmCSj@OxaLIHH7&*Eml{iLW^(${t=&!55rqvZO}u2U?r>B0<^J5yKvjY?piT4 zg(ykwq((7Et!-^bElmMKTcO{P>quz?c*$$@v3?$=!eb@PYi7jH}%&pT;b1P z+h}E}UbY~ULMCFTfg1@UkbA2RR(Scjh9l|3OQxzV1h4SJF~YnOAEoz_PYUPx*K9F1 zFZu-#i+0L7AE!G3iu%nx`~V8MEqp z*;xOA!Ug&Fa%vR*5jrURG%55&do%kM%U{HN-4IDJkG;pD(5T*6s;VUt6|FO4Rwgb> zDDd{Q?ERQh%AvI25?>pCi||7+6KQOLx!qEQ&O&<`CcOsmk`qjCAydNy=%NLGOl`64a9?yk zvFSvt7B7tElDJLW1{P;;MPEjn@Z05srgZ!wl}OdZ_vy>!ZsHrUtK!i=1E)L|wGnaV z?T%C4Z3%PY5?r&*>DY5slTPx5k)h$Cu#3D1gq{RjLr=^!$hcN;Hj_o z|JFxzsWK3Kg4aMlLuN%I<9GBTafsSOkE1+fBDsW2GJmzDxuPXiuQ)+pR|RQOG0gK1jjm;w@>5?X9a){ENhaai869 zY>lWkhEq}475@M7TjzGnbZ1t|J>EQc;{oICf0>6Zu`x-(KW|yxU8;4j*2#sUW4t>t4r6}cYxTw2|tOR zx@|ve%aLZ}3uc#Xn`5tQi|3B_toN$Bx??!=8U0Ut zBL0Z}8ukP~`v?1K6j%#F1$qz_d3_yRqlKa7fd~G%!T4x5;exDce$X zCS%AbHVoei4SLg&EZ7y#GtHg-yaQt6W6C*}P!o_%YH>-69tu!}yK~RyuFF3gIKe$q z%9skVh4?J&5~=|oJqj4ECcs*5G@7F6)C^n5{a;+Qgc|YvVnllvvl+jt)e>!?&iRM4 zJ7o>ao}RbN{{rq|Q-#fN7Y<|HsAqHox-DSMA?T%iKs;ua+NwHZorfJ6_E)y&)_{dD zPsXR|5n*#U%eSI1w{WrlTCgB|0wl_-1Wlf)N0G63055@e1_Uz?ZWH~f>*lq#B)i)& z)bY~M%hl1n!O_Z6gxC$Qp+}AfrWH2N&&A%zpI}^-Px5u5k3-?WU;Y8UM!so)zwL>L{B3!z zL1C4lXS6Nlq9-x5xsj!$1-G=d%r@)ha@Hr-kJkOxPnOX%0&~+txg0M?<^_ioN_h)% zhvu5{YURHza0O8Qg1(a~X0Pf9+DkgdIJP(sx{G@%x+}tJ4G=NddTcJ7)XS(ZxYKlA zzQ`|QD@Lz`uLpPgOoh>Wxu8=ZK6*_0tna{=(Pzvhty66G>{ch{TIICZi<_5G0x^%= zLyuvGm^t$vdKB?H`qpqO#rTDx0lC$G?)kRlv*lCGuN$+AM2hQs=w`O_j{9CD*(kcS zcy^I2?@-%IGJq9M-RNLn?VOPrMEbaljXB?a+0lFQ zLR6=B+K0tli{F!kB|2jNb&fMPBClb0(8th_`53z67Z8o;(##)B3N^tv$J>MLb0Zmi z#`$#m=jNYeR;|2$f|pc*>gTSXv?gU@%I@Uh37IjgU3DD?ERV^vNH5^|Q1vRDIfL;J z_-bUPT2D%yklH?JiO@~>Bo@dw)B@06oR!ZD%~*T5ML_UP@s;-X4#b30 zxQp^*WImJX8W7t);YC8{guZdjV^%vOmip9v_-mTTuS86~szM_(C{qS$4V9pHo&P;t zGEk#%Z*G(9%*->HmvRG)@y^AvlgD_QS?(COh0)>4p>TL0 z8{}UKqlHg=RjHDasg6)uiUXrx0)u@c3o(D?aD8E#RstVqS?;{;Et=S&NYm6`Q`RJm zcTHkE=)Y=B;3=Q+t9VYBB&NzcVCwwS@Mzt{m7&3fzvni{>X@03aX)K^?&1jA@aWlv=uYgW~qoG4ZpV9qGZQCbG!(4yT0n2aAMDMW1om zl3Q&E`O3wWErQDSj8LHg0YLYCF@ayBiKk{$Bo)k$;JQR}wU;klZ zIPf8NY<9hz$ptaNza!V#GQwx6iF#ar@jw1h+b8RMb8ad(QuJsouz#2l_P^W}Vml>_ zP6{U_C;s7WV1EfWha23Q&<_7)pW;6koExec8Ol54JK9gU>0H#BE6c^9+_T7oaM{ow z(eCnGQxVHCcW&IxxF2fZ_9qsm!cI^3S!u`A*q$K8)9<+w;6L`K2{V+mdM?JjKV z>l{QP*SQIbS(i=E5ongwR;%&SZ~V$gAkZq(TKHg;Wv1FEx&MhfkeFSBDq26KAYqVO zr&2XB;wHB8n zN~En|Hf^Jm97Ws(p5MIBy@z7o#BTMjb1tEWX|E%53byB5%8~P``pO0Z!Q5~ve^Xt6 zvD6atd2=4M0=sXNhm5mnh8Mx1L%td?_B)vr`*>Fg&q$ZuhEmm#=4vzX88W znaOB5DKB`lz@Ixfe~piYbGH^ZP5fK!hpZ$!nhnb~%VWAJzS8u++}Q%1Fnxin*Gq#qJfH=vI z1Ns+Jd9#}4Df7(Mr=p2}>4(c%9k%KIRE#6jWK2CR_785hE0%N8^i*@+=93gBI zdjT_#8Y@6uGE|x;lc4{aEg#_Dg#*E*+jjKNB<)vbI|^$ zbCSJ}`7Kcm8*FN44Avhh7sMZIM|KsvlwTwVwGd#Ob3oZpLj4nR9c`eBp~Z9Tuk1+? z()!34YfpPa2jlo@yJ-97V4TkZk+K;PzH_Kk;B;VpI4}C1UC0jS{)c_vF>S&-Q2&uU zz6luyd5(kigC@wDL>178H3j9FLOZO*Y!j^$nJGkfRwPH~@S6DtXiYG#H>P@uT^`=rhnxYy-t+NfQRyvBR-0#6WtRrMP{Djkf$s z|3M1aP18^4F8@tDFANhuidUt+>P<+etE>N_<_oImmy-a4EXRKIw+JkVo>$hAb8MR( zY0lLyzo$*?gt%xN<8|BCV|^tZ?jEgfKatqb)8N9$9jT3}B)*dvhJ{Q`^yP{n zPttY)KIk`9fQ-n6*a^C=R1DeDp zYJ2&hTth7mNu6GKIlnEuJJ6WxsUew|Yo+JpSu2I_UZfCFMi6G>q`ti4j+OWQ)9rTJ1QPz2;8Sikf%}bh^y&p zKcgsglWWjEJqyAGr(ppm+REJIsB9`FPF3Vp@4(KXxE(NWU!h0H}4 z8{@UTN;z>mdpf)_(uW-(oKZR%BazOgL3(X9Upb>rP@Bo?`Nq*-L#2cFxU)J=qpl0? zDxPGo(;Msk5UY8cd+wSS85_77fu@Be3UR*>+!Ia-E8#|5RXN=lLbRnuQH=>JDr$4J zb^0S{ajy(&sTx>Gq6c-}(#sKbCOc3|9F>dC)W0bfrJMMKTN2q8*~u*xa^+u)%8=|m z6KQDd1C^gwTc!Lhyy9ZQ_kB;p+m(;_dD|PudArj+)3YKr6n{AGeN0PhD&Z35N7(Ux2W__ixkLhJYZoJl=og}c`LO-G@4?n<;z)C==|M4krg zXr$6kipo~)45XsZF;X>E_DQqF+R7aCD~;NHt{G0r5#zY$nC7hN=xA$2f$I-2a&xeM zz!Q8N{2J^L8WpY+smTveikrG&8a{!T47xBD??Kq9M)Wp%IK7N|Om3!T(HV@zypxHe zNH_~>Y4OTrX@k&?TgX0O>u}?_CBkTBl714Dl&N~Ez7H_w`;cLiqn?pbz9pZlwZY3+ zCxN!&hW(hsupa|#?TzDs*@mWy%fe%QcM9A4vivne--C5S3!^8bO~x}KkB%|#G#6#E z$S?RQya!$bzlQC`VzCvlvVJFi&|!01vx{1cLH~{VOl&E117s;L>R_u2UuCoD2$5p0 zXr}4f_(4!)&(zmSr8$3aeL-5mG@qAWgXUX`d*;V1h@F*?o=`jCaa={uTJr@xJz_4r zn4Ol}wcx0q4Sk3P`QMcf=s8BURk!c5tB zxH;TJE{gt5r`WeUcDZ)D_j-7Dapy%#d1Ah5aHqolz@b3t(2~fn+!OJl(n7zFHls3_ zmgb}MIpQV~YwQM|Xg=t!6F{fET2D6(K)>UqsSGNG?nZ^NqHv!(sjgPrtE!x=?$sfa z8ZRWeF{90U%=PJY$SSzYREXa9U(K(Ty&=6ydcFL4d~xIqgIW^p7rd8~`=oA4t`@)C zHOXSaa+HDGiO`;4zfeoIzu*-JF-=Ru4v|gi9XNFR>1ULq(s`H`TJvxCvvMV41yT*W zPLwovvj5_|XG^Dx5I3=OWUgtwc2fQz_XTt;4;xGMqiw`(N|0N!YuMS5zXEu8 zZcq+36TQkXZ8U~6BOTM+%^mr+Pu6(r8%u!vj`u~Y>ARIPawqw@JVx1~tkEHn7|z^% zp!q(fZCAg^6{Sn!E~&WkpSsob0t?^^=?&&=D`Bb0jH1^QNyK4fjJ8jmAXgXrN*S_h zRK<6qORyotT=D_6gs6{1HMevEkixNnK_NDHEp#B#GP*_Xif*t>^Sp{14)b4b4CbC^ z|IR$XpK33;xZuo!NBQe~^+VO!{$e|Iu~C3dA;!_CVe;<=O1AZ;G`&19_?TAP_zR82 zXOlbVW0qw5Gy4hKJo7DlhW1c+9L@?1_v^k*L09w#KR|tfUANSNbCI)eV?N_wki#+; z{UcPra8dTK?8P~j=uPad?XNgz;*=t9i&iQAu_#fbs)r=)Qf5AqG44y9Psq2f>6y8S zemgr-T}jn-ACJ#XI2+s5v&hz;PRBOtez~gHSez&IQhza8;PuTJpj4~tx$QX3{KOvV zU8R-LlRh$gYUYHTd-+s|kf8669%)rvTgLOD&kyeH&Uu@xt!n;wQUZ1#S zd1Jrt{KM-?T3@7e${%stE#I^*VPAgT%t7g0e`J5({PTI{+`MI>WAXs9jN_|khd1Q8 z;2!60?woD^VIE4PBQuR$U}7sF_3=7XPbP=CPBkKvu{6|Ud{+Jynugm1fALrFPY;fZ zmJ>!O&q1lKX?GAmDF0p)0kpQ!S`Bi=q7Q{ms9^z3q+^lQZU5z+t{;s)?vioJd$|mxfXAt1Yq2I?s#SxwVcNps{&>Ri1cQUR||C0WM155_f0zMLGBv?I2_UC}YrT$bF4 zUDDqOLNpLA8hyz+_-EYLaD`B8&<@`yG2Ap%I`lbk+J7#zCt60fkUinn_KSCTY|$i3 z>cG_3DbJGc$M$mcq-q!&IW?HeHrkX*py0$gHGHL>hP-ZkS#HLxX@n2yXSr0&FGj!S*9*Fzan$tAv^q z94Y8rSSt7T{GWN73KF?SXgkNO#BfS#QKDGgQfkSX#qh*TX9c2)JR}&(3jXYyUMVx0 zH92=c{;j}!{uiSaagpjk52UgPg$QByK{ek91tS4jk(x(ew&puqcx5l+UGA=EYXyp? z!CJidHL@mH#J{{?Ou?IilEJ;~S85W!HWaumDqW-2_1a9+))}J_I z{}eMnHr87;rdr&g#0iN{V!qi%VWiw8GN5opE|VS2I+fikcX!?|g{CkqDQH=;4t<0y zfq%vhVnc}q_*3+E!XGF2+gUI=;u({k0!c^P#2 ztK2Q(EpnCpl4o+fl)9x%wF>3Srj{(0(#iYMd`H_B*`E6~yXH3rU>XNsgmVkhjcboEN+|0QtkdK_6Ld{Vvw%P~?JDtA%;mt=4Xy#=hfo$O#?Je^|C#(nl$ zW69V#-kI)6ph-waTB@gk1zzvFkiRvrP44#mP6Y#f&%$;wR-c1>!MjjF=DeA*+AVd= zE$IQE8|{G>MJnlcv>GNex&Tn|JJ>sHJuw$+gr#HS2#oxUfd3Zq?pq)pV}UwTp2u#E zc8+|H)a0&2UI$-%`u3JIuY1;!=_5x!`^O<-+d1 z`M%ddCGtJ&@~qrLzz8HJLU|t$kM>N$hOcjkQq;f$-zVn zv4)VbriiTG18ytAm1K{Emqd^#7tLea3AN+_+9sqZu;6t75$|9=XnAJsV-1`Cp{fxT zu&U@^kX?ElG6c5+($i0!rrm~_p{w?rk|{NipGd>xV@eaHl5$-tC!oS?AxS{__0fjm z9>Ixh0^+kAb9{5$aGXw9RiaFpIi-k{=J6Li2kilj6Ds-1d@Ofw_LGdV-$s1BlesB4 z2(&b-_~r66G>dFX{I4^)DV9#gfhQ)6Z=m9>Rh>;d-#jsPgjS1~9e4m$4@S*S=%;$}TN9r163f02-yJtIS>dz*Y zPF*2xIU2{;p0JbFeT&)EeR{!0cnNYOY*8=72KNV;t6je#XhJL3* z5J~l~_h8(S_{zz@CuVu7JMtKctbj}88)*D*FhXFPf-5? zR`UySjk-m}Qje)@^WXN@_KM(^5h)Q^-1>B8KjwuoNmOSAevN+#Zs4GsDYRM0k zGvF&RfzxY+20jh_usR3ydW60}+YYM4t4ay1oV~C6nERo9jJ3Q+jvWx+-D6ny5bI1G zl`H)B;HkpF`Kfun+#5Lw+23+@2VQZ1D_hj+fT(rFPLXX%k!;CygxRGEy@Or~NHm5qT zB=My$m8nwldkUB2h;QgxiEk7uM-YGMf@hh?w`(8Qey*N1)`ta7`7Z@a3h~BHzy+%s zTaiSn8~v3E5G|;+mcN{Tc~^M(m?xh0UOcY0cap1?^(pm~tOv>sTCB*&i=5~XP6zJf zVR;J*M)^(%2RVL=+3M|XzlK}EFI#{f#GWGG zfb&fThI^HCRhl8KlJ1FBgs<$>2pi4=?dvC{yjH^02%H{wnV;5U&Q9*ho?5QvjssSa zc}9OBYhww>4ehyHR@8Zpd&5r>3F)ACTNoiO6&awyYQeuiQgNyKpSXWL~9Fkx7 zAzl}EV~TTtw`i=-+0I2JH7%+ZeVtIrUEhAu+yU<*R}KXVZ~ERAKF)8IKIlhkW~@Jh zOXPp$bZ(?N0i8~~1s~&Q>;ci1WN;7K2rWyjVrto+*gt_+Vxg_C=Tb~<2Wd^DE0Qew zO^eD^l{9T8XoG7Bt=XE9(V$my3zeg#1F60a!48qr(bAE=TwnQywi5k9|81M$eC}-$ zKOt#P!bVq5OIbkJ7Z82rCE@zPtU&Le;43KX5Ih>m=Wa=$!-ejm6;?UdtU&w&`jgj8yrq`KW&Li;ur;@J0cArQ%!VvA4yz+XJ?aY83O~KZRab3I_xT69@w(Ja(le0ksodIV?s?Mj>uiEidKP`MA}HuM3Lv{I69k{g;qm%qA!Sk)Ddt1 z?IG)!PuQEgtj>ql?dAvOa+b%GfK@gn8-J?v_D`@0D?kfT_u`9YrNyLvb zK6`U#CHFP&V)q#97pfvrKy4xy=`)07z!~il=g6OwTA=8hqqYYo;)wpcx>3F;777Qr z&Jikdi!CngR-bEwO}nw4pyO`r9PYX1oeW6ZXHTZ%ne|_@ojHfQO%cTqD~Tofk8E4^ zJm(Ta;7ofd-B5b#V}Ub)W+8Q?JVhwv3fWQY`)Hl$`pDmrUxhMaveHSvj$edtRhoBD zOhfPY9ITl27qc z(6M$Ixums{HnZyk^#a#Iqr(HkLn1%;>gpri54!1jl-pa7@F@P1t2?N#T6@x5sQI&L zg1m~m7i#0Xk$Wa*Yo4tjw_v~Tci;WMNU<{Z4|#}^$^Oh*dsV0GoNw=Lv)f-=@Ar06rkNrU5xwSn(vBC)^E$YP)aDxpJp4g zR&F#ns2*~sh3ay$pGR?sMdqFP)zIF$AWq)P6MU;r0 zjTd)|s`N;`2^b!90+9$4VQ|Ytvy=XZ7zmzt==C+8OP7SXTupu)Pjf-xPw}%d3iN(u ztiM@CQF|<%Jl2>M_H?oy=qNO#hIXCn6CNIJ4PJxq!2hgVcJyzD7 zO_SIrbQ8`}ZRtXM2sRe?lD|_N8Nme=5Ox$1decsIH`Sk93TKrwUy zgRFkpDpr+x0Y2GHEG~YQFRQV7L)8k}_vU(cP=Qu5Na%dkOgGT7*a_?o{ttDYS;@>| zJ~A^bKJzWcNi`#eqlb(rV4Urw)7-Y`AE2IeMG3BfFi~=9GfhVj4|W{gj1^!#FbqFu zGU=<8hEj^;Wk*D}Ypp>4UJre0N@DJKTg3n2{pmR7+UVgN*Qr?0jV%-h@%@5-<+jYU zWIrhU68;kD5Pr!vRQD52;mL2ML}sC_l&vY#l(>nWgB8%rxTK=WX8Dp<%~Tq%2Ayy$ z_0U|_9H92&F=Q6igX~5uL$&}Gx)pRdtL2aU3$_Z&g?ECN^Ifnpdz8N_zfu0xs-ZFD zZSw{jZGYk@=PG5-Hs{jC$lmyFV~|o-JjzXsj)+u@wvD#p4gmuFQoIiQ!czo+yWJt8 zGtrs+k8lEFTL6CO9%x(0Qn-vYCbDr2uR(UBYcejT5p|3Fl|*nJ2|~m4PZgDEF<$ta z^YUxi6!u-TT_h6S&n1hcz+XR4uZCK{J6wl8MVqN|ux`>3865g9@*h;zYon8izsUQ< zWI*pd_)Of1Jp~4{s(KGThZ)iqZbP&i?@$Q!n=~5K0_i4-=s>X8aBKpRM+L}=L?*Q6 zY=O?p3r0EZhB8^T=}$F3WFvrn-#7yKDSl{VssZd~A~Tz*K~BVf$43#h@m~5EsiQc9 zJHRdv7YW+KX_2*DFY%(3tU^OMGs(qz8oHkVzPLK(Obq9k$XvrPy_lp#ONUwn!oDHC zx4u(>aA;Rl6P>2b_o9EolVT@IWA*XhoHEm$I0A*4ov;(N6k(v4_L)0Pxl z6V0fFXN8;1wgAtM^dAwLN7u`xz@@N!v>!93g~_OE!mf%Ko0rjFh&ZI5VO5_=L0)0Y@n?j+aud~K>Vd^kgUsEmt8B%e0N{fJb?`=kFt8q`V1R@tal5-nUA(7$dG`wP2RQpi-sm|o-iNrZl4 zIpF*^=9qiFV~#V+)y}!!a-8gkeLy;y7AaMPReS^P2X~Pz2fWW_X|MDfI0*U!%R0)G zhCDVkN5_~F!7(&h?F$Zr@vzGdm1$*+JXvjIs*lydH{rv{QGmWwr0wKZau3lE%{ATE z-l&JwS?X#<1w^l}{@AF2tRXs6*;E52Krf>w&~2#}#376^(b{h5hR{S<$(@JujfO;# z>Y`hosjW5|A~Jd!PXVlAKd9oj;is`QWVbe7>LC2eCGi)9y<#QsXZ2HuAaUe*^F*s? z;~nFjO`Xf^$=02)%Z1?t{UrD2OS2i#kL(YYVBY}Bctar}HSDGlf@fI?9}CK`8qh(p z7&!g;(sHqz#ED(xMUZOYF&#n25^Kyg0o^_3?Bl5FxM=Odh}1y*qh3!vEZzgPb6WUx z#KE-_K8q{lzS38zchOF{tyF@9m-oP| zmy@e21;!EVF1`i!_zBc4a78?{XWN3-UDgJcVoZHSGC_DL8t;ah_Zm!tOPk))&4)z47e7^14-8>|9@N0aHKi91H2pyh)u*(P>s}~ z5b_8<1zSPQvG%I*bc4<%KILN$6P+w^Sv{bF0$%Aht>k*gnK4f{a8)z-(B3%K8 z+AP_HbDWFyMUvQa{34+-D7ZhUt4#@*jMpJDh+E_o@(9rbUx4kva5QA3K~HCnCg`;x z1*Zb+@nK>o)x~_k5^vdQ9?Af+1n0`XrrlbqvQBu+E|2^N?BuSD??-fDGeMP7tAC@c0lVy+Y1P%zgZcQtV0gy=ci#}9&Ep3waC8DhjM*qunRfA9y*&-!~qj{B`DwGm?3Kc=aeN|fz2}!5X zmt+OV7piFPKo4P_SW447$@N4@$XjWH05%EjdgmY|v%pA3w!wY79(o(?j4p#jl!nGu zeUrw^$E00S8L_IcSNKPGBX$SAt&FzbSZ~Tjf5&eTo5_jf2$*oHkXPW@j78rf2aPux zul^>_7xr>ZxN_20^*x;H5vi9^6Ou$YDv^9gI^a23n3lv8Q2k%T2cj+XGr+d3hD@PO z`Y`#TR8ETnRp%XTqf%SN^c`9^`JwO-JpYH~W`LD-5$`Fh0guZxAh`(Bh%?kgORDt~ zlWtyaYiviYduffBPUfK@Qz5z<^h6I8zuZ?3jP^FXa7Vf*t(Duzsu1KCiM+BE8d!tcVPHhtqqUKG(7f9o+K4u)W5C-Il9tM^ zq(1U}WhA7B#347aZRB)1!d$hTbR;{**t}+)`V;O7U$DvAdubK8nsy400ZTY3uZ0XR z2E3FQ+7BBc-DqxvqfO=BUtUjDE!LfP*!_Ok!@)6)1$5fVDBz26xYR>6NrXvP#*)Olhum z&Ga4c;cAqH`D)%^xn=2Pj-zKmhSN&ZE5Nl^iI>2K(~7^rP31R;T@=4o1Jb0Pn&Qx> zkR0_9tp~Tc!NB%Mm4$LC`JvoUIR<;J1JYogLW0FWLZnMtPg_gbhFVrJiQ6(+ZFd>Nsf=v01cHNk=R1@3`3^O~toLEI4e0q$P&VGiCP&gMn7Pc#r+#;+A$ zOD6%{7=S(|X2HoB!#t#C(OJ|&g2E0$KFA_11ld0`#A9MjnNcsoyRgBjlTMd4bC#er z$JW?(!(4%RN1R3>n_2Zp7x|~`w&;>*qo^7!ggd!csjf{j_Cqq&HNs7eB{_m60{A1e z>i^c8dRXoxUgSD(;5CuQsBPd$|AGD>_W+(U$nwg%&i2gu!<_g(=Og|fe0C+2_reIi z3_nr0Cu|V50cYUTC}<%1Y{Jo%ST?o;Qnv;`noxC}R&T&w=$7Zp8v!q0tU7e&|M{c! zkVn%PIP^Z|81pB3E8U2?iJsDX7+sNa>MbE(SS0;`w4>Kjn)Vo(hjqr@qP_7iuudMs z%=`f1A(8AS+)kznciF568hsMI%RLdMg4d}yXgm*Ly_){?)eYkll(Y;A9IB)re*|i}gmP>JvbxzC?J)m1XB~7vYB%4@%Y58pdSg z5I%u^!JILVU>Y;;nDul9@eLVcYNP+DG?u;!2ZZawY|#*dFj?G()s;eir|+3ZSPJ32 z5EeUAhfKr1nLcQH<%l?s-@p~&I&%{DP-rMkR?_scrrt19dWhP9m@Ewpp2KkKI zLD?kzq1^*+H5S~( zca(2{G-AeEQwSPbcf(Xz3Aq7DkOCwa7FTwG3txqu^szWpidFim$@(Z$ACw}-k~K&R zQw-RmLcpC}R2AYM6k1@7+v=~PyIMJRriCVI2#lJ8Rnsu0%jJSPIduCB@^b_PWlb4x;9lkuRK>~YRQlg z`w9~3K0rtH4(R9$>yNZcz-%0oQben`3T}m0;LKVs4^pc`OLc2V;2ey6#P<(k@uH zy`&uR|ERhS_!_VG|L*tRn;S`l5T#NhMq`hfrIeytd#hEHQkzmr#VDePQmbaIQi{^3 zy=Sf3)T-2~NFsN<_nrUmb5HMIf8I|b>z;egbDr~@=XuU^zTYAGEc1}L9Xa^3_H5MB zu0*}_W>FVUNz;MWw^L|`^*KY>%})e(;}vc+uvpz-OI*g?b9x|>S&NG6ov7X_hT6f} zV9Grb#!+p~Z*+D+lW~I`oY*~4RhY!>2d8o)+heUY&*`7*GxYnooj1$4Y@9Qffn6Qg z%X~SZqxe|N!>txc+92#gMJo^=u~QTWCO`r_tPy;wa87I?caa+^H*p&Cy&9`dlG{jC zaq4*AI%%8?g@Ww^`TT$Qe)N_1mxd2EAQXU)w8B}jx8v_Pwe2%z8*>Eml+X0l zMl1AY5772v`JqBk+%C0{8!J-~>0JRT*a*~hKj1yw*G?n*iuKeSV!ngB<7>@5<`CSB zcLRH4AsD8!frPOY9Ni7Tn10UcVZCO-{|CZrJLeLRSUd2$gi^@$M&bN>t~4EI!Leer zFa~$Ft^V&JT7CGsAoY7P`x*CRF$` zkOVJ&53IBPYMhz`T*SMA&LucaVTpQ&Isx(EV}B?An}J7xyueyyI3`v$#ZjPnsmnK)jO6 z&q4O}4zMt4nr)4b^x}Goeo=p5>@ln1Uglubjiw6I#6QIAVyx5^xRtw6H+$U~1boWN zWFUK%K-LyXgRRTPszV(4KK}Y*Tf8SD&AC4C{h=XAv z-%`%wcGW?-9&$e;_HBdmUSCR>Yezq_{84Jft=xR)@(DFcjwB-Fu6Vr5j!7Lm#U{Wbvzk``{~ z>fkIb3M6KWYY2HvJ)3ZNrc&Sd)`&M_~T`Hd3DMr4QDg!+a$ArIZe zm~1|??jw3h0Iu&iSl5Qq8tJ;2A$kzOH5cd(@_C#AK6I`)RS{38g7LeO@Cd+8nn(3Tx>qk4dWu;8x%PKxfj-eFZ6(-!oYBBw&fu2`j>r!J7%=Wv6Z!%jzD z6eM8?@~@@Da`5(2gyup|aio|E#I{SgDLqtp1AXcOF}wAG#BR z-FuLkr8mI}*rsQ|xBdbViw}2Ua47=`LLVy(uiF?EXk|doM5{2sG2(htH z4j9s{uz&5s?aCLB-&pCc*hlH1{lUS_?>%#5+PGz7=Bi4B$*}u!jJFyaGQCHY!fKk0^Jh z(hHfXNpgSb0qVPa_SdMqPY+Ge-VbgFR6^b)4mWd0g);On%+fZPaQMx9F|mczTCOd> zgbrT;*2GxkeWM{maPf;P932OvMl zBU7_i`B_<_Nb-6}cQFu|?}s%m236cBs0(cX3hK6CIc=P%uyk35Immd!WuCs?uIYFOgVrG zgn2H@^_J@^wLJ0*pJ9)V=g&EH?a$4YhNvF}j_IGlrNK5@S#6Fs5g1FQjXVRlORXf_ zD;f&lWHXpm?n8HLa!t{8i2ncxy|;i=dR%@>sjtp=y$K)sJ9QuK>VAZZ$oW1Et=3bKo$6uXFThJZ&~boaegGJ&#BN*+w-i@! z$N0@crm#+GsJy23N2S?Y?!KPJ9>ZP7T?o~bwSn9mM7Fw?lVvI9Bjk`4Y42eSM}ofx zAK}cg6mk*6^gH@IqpkVStcSZ|ZLM{%Z~g5d;Cmk7Z07p$<%J4jE96M3sUP9Y^f>aO zuBbmfuY1~KEQNqdH9-81Pj_;xi$+ELp>_^ca#oG zKIPx&|A62ER9(FE%Yn~fRsIV8ru`NYj2JW9j zMoZP@7xFH}um0(}>1u~e;~VZjUDMT(N*Q?|&;Y*_NQ2JChi|*N}F+l_d;n3ywRW3 zVd`x~Qg%rjaXWf6cioP$Mj38>mG*7$&%j54_JOYOZRQ4>Y2`zm^}fL1sBPs~XYJ*P zFh(O^*qqNpE^9HgUl)f#KAC8_vARwz<(iIc{z8}R8maEauHO}Y@_0n!NA1hzc%!af zEA)wWCfEe^a6^#mx{dtD8Esyu0nQJ;!MRkjC4v`Vj*|{=xQ@^k2-Hz%x071iRmI)d z{g3+tPe+gDo{1CEt!hi89o zTWA$5VmEU#s!hP+!c7J~TDrJPnkmm$KEgeds_uR6DxOa~KYNCIVm+nZ4n?DZuAb0Rd@S>IxI)gLSr)Dg8(_=BC8T=DrowyY( zS6Aw&H;^;-xVyUNxi0{L?WL={t2VNl)1}8kF}}NV*2*(_>-)7M!S4dDz$*WD{!=)^ z_yb5Kv$WNrqq<|{0Smn~=ClOw<734O;slA8XUkKRQtCMM0+6H@!5Xx1UBY_(Q@Jf~ zl-?6Hz9pBA>hznCvlvHcKiqZe}p8s1zhL90y6{c0;2-ILAUP*f6=}PaYlRiO>>-5{4rpF{ROmw z#;$1hJ$FS)~+5B3Tc4i*Sj z3Vs?q7#ya(8ET}D!X9Z_V{msa6G-PhJ3+WcTKFl@7_BL}B&(TK$wa((!X0w=vC_s1UKs9yr1 z_lfH?G~tFCg}L$}1Ct7rFc0eMm*eKoQRJK6(bpsAybXSPduV7v=SquFKaj{@0_w~nYqZ(Z7_0w` zoa`vIyK9AFya$*vq zna9Z2uC*qcUmEMdxllaxgVsrF1b^on%+oK>rQxtx3#>!7?i9ngKL8#+FRw$){}Zwg z2JVX7MU{&Tq`KphM=BsHsC|0wT!CM+1@Yxmy{bM3e$6ACmiuvnc`-CzPr=+M@N9~J zm#7qc^IO77#5R|t+VW!gAnLvk!f&mN)42{ftGtfvVr{+)Hx_3*$53n9!~E4~Vz`a# z`b|9(H3OZEV@3zlG1poh;6rZ4$(70<0lUQ=_}s^EV$oFUgS!8LQbnL7b%00KSXjr4 z@SB%`d1at|6A^!+b;sOk{($VjuZSipS<|e`R*F3zRptGW8>$9Qf?TdXB9>FQnVl?@ zNA{v9qOV)Po*T>8=3gNCAB@w+9QZP6;Anpz`GaUj!6SgnBFlb?eOv;sMN#n2_dtA- zhCbdvRK4;bt}%<(q>Y%7Ra@ z!WoK_+C=BNy$hI$BkX>54Y0QK1arn}FxqfV1Kc*gh#G~?$R}LKS!ivrpo|6Y$pU!! zJNd=@G~^yrc%I*g%55)q01Qc$oQL)rdlt^}--rK%>O6d%fxf3823i3o69tSzJup(@ zeJIJ32j3cPHUKwG0Ti@(b=H zxME zL=K+bAq_D!u}Q9j%r~K*TadpzfEgfGQ5D=jjWE_!ZWZz{XE1+vxNF>5FcK{W?nW!H z^H_*3wxW%p=wD0dXcK(y9PZbA{QLxZ7J?=bOJGAV`4GoqEAUXY!qWi{F`E$++Su?j zg8Kv-)ilg4mJ{Y}LiHM%$9*XuBTtFc}d`QGAqv)EYP)G23%6tHgMf z2zm7bTh}JEdxv|547Sb%kU6`K@3&*MkHttDVa~mn*DPqjIrMusB(WSaCtj+F(6>=| zh9k2?x-%6szXCl!jMbP0nG{E#nxc)t;O&|STa<>6iSV%pgylhemc`Jv3cfE3bD)PM zNnhoKpIo%Tc&o3#{zM+eqD%auBzZk7doOC<5@7X+N1V90i9NU(Bu@I(9K2nPVCib% zH^j6`41vVV%b3ZDNuF#bV+y>FIVDC*#ty#|Qrd#iZiOZgQ#^5zoq^nl-|;Elo0wUN ztF|=SCB|^#=&TP7AokK^ya#a*66bg{{!YPz-5XUT#LjpXJHZ~vX&F{)8tmnGu-c7> zG*j{2BFrA~W8Q*xiWpTgT5JNwt!|j(ftW4Q>Mj^r14xfC$@Ad!%YyDw?=OXWPn^>` z(dVt;l%o~A8T?|upjBcnKLbf#hXy_dzb`RZ3+Q12MnNp&#A;awV`usj82z{7?Eax2jKMhuPG*(Mb{EnCt%RzS%(7K5=@DTkyf!>q6=0Zkev2N%Y3yIAE zyB;wf(n?9eT=&NP#Wd_5Yhjf)VRfv5wM)ZZHx%+Cp1blG3o%F%yBl%-lEg0I_2)1n zC((c6=_S77jDH_uxg-W$vReX1PAt5Y!#YN?s)qK6-!VVtI0hdi$wWwu_?Af{h~2k# zSQ{8S?R`j}IM-%lhZq#L;vHbYyMV!I09NHBj0RXB&VIZjV|#Ri3zAq}i7~S!*ec1g zjewlUK90ti`om^6!tV=k2F7w2HaiXLuuphbr~!_<8qV9Wksa}qv1oe@*6v9#{1GEM z<3T2C*#)ikLtCT5tx~(h`Pl{YKzyu>C0l{s{)0YUfrN-zeKpp98g?Yo+ew&_X=r^h zX8ITW{xWodIHil?wZtmf0qqY!ug9VN37GR#d=O*f7|b>>k@0)tIVCRDM9eoa)ziD| z2+#FojAjrdGdyfvW^VI>;miG5OWma zOU}dVh)J07a4yC^H#uyO)y-_!Ldic3bG>DD-;+ zIAZT(PK!X6??bL5AdMN}RXYRHo`~=JK_+c6i)`KI58G3+3HPy^?uQ-PfU(bqyl0^A zQ=$FoShZWx*DLrN6AY6{cx5yAm;EvR5qN0y1G$gGk|w51Vht@0dBs3Oh?9}6UR0}K zE_b2t#85aD&t%A%v}7gT^LTh3C9ELw3drINf?kXdYsY9XIS$6V5yvQLc?HZw5$G)K z0;D5n(C&6fbJ_pT;Y8^9biB(_=O?T)(hxU#R2%IMMw_$Ytt|s1;4)aVY0&h+7)uk( z0kM~pjEN_icFv8^3feiRqMuVCi`kIMBK$N1625|WCU)AAu)wq`X-zUei0LxTS_S9< z@pxy#VjafF*ZsQ_r(y1Az&6gq*cU@XHo?je+c-r9LFjTkY*Hn>z9lr5{H!kczZLY3 zw6}P8+|825P+_SGPIN}Sod(Z*urPUoZ5g=ljfTHFhXoP%Y*56eOx6yts;*2xHOGjWbG z4%QKvJKFb%o0(X33qT6QVt+0?Pa81eWoUm{m}zwfMsX7FO8l@E#vY5AC5v1Yv(*r< zZwVbH=HD)OI^*dGf2}Egmx8}@L$@BIPsgz$D967V^F_3D~F}q1S zaec_K8QSQGmb&9Jv7ffY_l@vw#D84?tp+i>*D==pu$H7*)X!CrH1P|c5AU}Q^obZn z>xIYN2CZ}m+pd;jPo)lilMFjROvxg2Kj1va{N91=h%fmhY9fzgw1@C<673O>Ivclz zJSok7CG@fx`bB;03~7=lUmrg$h5eAmoP|EzLTkj$eHJa!a~_&|1mJK{U&T^4#~hTDoo zABtc;i=vP0Ay1OHrkOY7ffTv0b$W(2ucOUlXmhXgEBeR0N775`9kFtwf(^YZf__k2 zMbS!3*b@~IsaRMMKFr25$mS9Ha3Ag6$1CZfuOdIm#8(f)(#yd(D3;;ik43}gC8FID znBCISPqF`b zVjvG{iM&i;WTQp$ph-3X^d$=(cqU}QWI~_shvh=L^c?NdxTvo(UKfjY$YW(QRRG_6 zFit)kbC4{2_z3*l6KWq!CE=d?&`uWGqrTHDJi^ym7!9>et!>{DSpGnT_q1VvtQ7lsovLqg0;zuMeygVeEfOk^D`uYMI z^w7B(UO%*gC>A1VQqSl;sdpw;lL>vW@IR7yZWT@3470mzpk1$v0XnY5X>gh|5B!@VONB}>m_ zAmVi-35xfE;lHBRsmBr|>BZ~WYAX_6b2MvA186i3+MxGU@D35pW$_jLjK;)T%?V42 zR?aPG-gQ_olG+1|kXf7%WJK-I2$=4Z9b~a6jgNXmznA{~eWV8z@sM8A`lRRKe{_S% zhB%aIE-5A;9j53Y8WK^$@+N6hwt=*kGyi-%;+)@@|=$U}S){ylxBNEbMY_#5hPH1;PJnN#dfHj!y*E=EduA$qbgezFPF z57LqUu2zx`NtQm-|LiMTbEK7gc*aPlXr;4BYWHo5M*-x3}q47mV zN74>q6$@cW$Dr@D0~LpbAbU&CtMx|ffvgYB9+MB*Ez;0O;mCUJy_%$z2YIp)y})1Q z;BQ}9U1mq9H|%jRQd(~w%u__0NN;dFg;z0)%vK$ZimZKBSZ8P!s4beY$iw?xBL%^B?(G>bHHvKCB7Sk{Mh zgZ9tJ9!WFFd;^j^$%obeyM!!(p6Lvng0W0ENK1sAQ+L#7Rs zsU{7lRr}vI$&N=J>K)A<`~H=dvv*~m|NHmMiqiUsSTVLk(3~*6XMREqw3h4w+iA$A zGcSkj8RTEmDHGGRh{wll7@H;9ZP>e$^w?@9J)#| z+0uHa6;85X{@z{WPi|x9q|xCS|^KN9C9y)pVHj0-7EC34Pwy(Sr5_~(s$BLvQV_* zX%C_IqCS(R(H>0}wg7Ap%_jR`a~X+5s3$Z6wg-~z*iYDJ`YC&Fwx>kw0Moa~JJ23W z@}hT(=n%8(Z2i%CVlzYULvs^poh0(#_a^;(^)I69G|QyTeI_ zSGGT*TeRAlC&Km}+TGYb`69fQNi&(x_P_o&(@L73SMN{bD;BmhiD8{5f0uS1iU3*u z{(5-*UjA1~_wiGb679XDFXV%>kuXV7TmLI7+Rd0($}A%7RiuuXTMWWi<;b?{ITtp8k zLZq0JV&jCcZJ_w52)-tJz;kbgn39NVKJ@fPi7d6VXl4PwuKV?oOHkmpX>Bj&jm{m;Bnk7z%k{Xb&K zSY$+VMplIU8nS2(;$zZ2vfGq3Du}O`zs|h%h#r!~q1c2)>ZH45>&Qma&)E3L^3X^k zBcuPBKfy-N{KZ#33whUU@1lGy?Rv~-p!GmA6NAqY&8D$LybKmgvgnE;5L#8#mxz4u zr+8mxfnM2o`kwua`V@ITHX~#$nbjw0QTuGiX0ZjWK06%i(fm@x%xwREPhul0mi7zM zNA{3jvj~)V$u!!Ce?`(^TJvfrqg{mB zMWAGj$o7-AQ+sUhC7+d~%c3{tRlL9qlAOu%zw&bFH4#sfTB6=XW|Z~s)jFY9(rcMi zC?7=oAe(O*2lI`YtjNQn->_(!waE5bHZmF=^@X)b*$x(mN2EgI5zrsf1ez;a`LAY+ z8ktD4@O{=Mn}(^Jv{S}|myn7tz1jp#9XgcLo| zILQBI?;iOaZV-~9Hdxk!{3j+W@^Q#&vS^HK0%-$3jh?-l z{^GxSO;Y&pT7G2_A{rUd9@<0L$pOoBkW3<$ob{5eT^c{rA!eVLkMh4e3q?f~7m>v! zeSbA)ky)b_X-$)t8+mB`MIL5FnU+%9k-4T`GmAy*nORU~Wk@?%^!!RzG@H!3VzC89 ztdWQ2fpYxJnv!PG4#8F-(+H*yOyU&PMf~1Zww>mab`Iv{vHh7OY?8u5m&j_cbxz(W zMXAhIGyjwNNxh5MdCCDZ8&8ogTOA}PW~n1y7N4!^-&4~DWq_=Djqp`96kTs;4B}vi$%$B{{QC`hBvm$I3$i9+& zBwNNL7unC4{9nn3ts`pn)jD{!CL$gS%{jeiWaO_bNW=;-ACT=G>}O0WOs4d%^h7)| z+Sw!HVeQgilij2bYK!&;wuZ=8C0YFMLw1P01AS)ell1q$>+ru`A-y{C_iT@2c8k55 zULT35BmYN!Lhr;p(TE1H_oJ~!;t85T(kSNJur(E#LDB;@i=_7~mLV%fo)_)Aq@9uV z!=kMM;d!H&f@Uz{$whR6+M@YmKK9LUL`r8*l%b@!f--ACw8-oedD={#q*;_>pf(F2 znq)BwtpFD9Ml^#oE%MMxVsTeQ|JaHkO<=N)_+cz7MQc8?vY6jN@@08m<|9zF$)5k} z6v?0Y70iZ4W{UmKW{T|w^pnUw$~1ymZDxzea+3XEGr)3X^fl=e%{t8-oyXBDN$+T9 zVVcdpi|81eVV1QbNk(*^>0CsjESEyE+Iw|= zUJUUISqie%4qoF6>nWY<-VaBsOkYW}m<1wvkOx4sM*rB#jo2RM6O&BHCek{l-G*j? zWfs}|k=8TWkVexEAIYe*NQSL{YJtv0X}lyOidboEEN-G6&?z0g1N$J~f$eR~deC@C zTYY#psvRJy(pag=G6DH|4{CVspf(`GS%TWI4ye*?iE8F#)a`XZ4aXH|e+p_T)}h|_ z1s97dbKK-XRqjdD`i@2YLJCG6#9!@270qE(Dr`rc!CKUPOhc_)E!?}=W4Eyb);!#r zA7x#%8sXMJ8t%h4;5GiPFbU{PWuz?Jhb;!wiYuszpN75;MP2|Hv9*1E2`V)!#-9@r%wY11?l$mnYc zJETiwmm#s)}y zJkUfwH7(o^dK+@=2%V|G-G*LoL5=uH+)fw(+=0z@acFrz+>;m!CXeRgVcg2%rGId{ zy@*;yV{Ft@~ODh;l_Q5>vl4#1rvcP*b>^?)VXE%0FuE9;ER>8=9w;YmRZ`W zi8~b=>}j}l{4Kb_P6;j1S~YPnKCc%S;$Cw!ZZKaLS_ucj_dou|4dk1cofNAxa146m zip4HY)L{(l2Dt!-g6L6O%9#VKL-V!SSC84(T5j66w z*dI52lZ7mMTNGR)-~z_mjd5q}rZw6wirTQP;aaY|8(Lj_Wx-jgQ9NqGa3&N&rU4RNS_43w!u}xP`I?72kX9Cbq}UvP7Vs)H0VF_knyE zz^&*nfP7d5_Z8P#=j{wY#}BJ^?~yzS}l!yxP3l66r-QkKQ~O1;|>DK*5b5$Lp;xkko0J(O|>7J z+sr+VF3goqN!`Vckn(o^v7_4Ej837?w7lS(!9V@pKqIXI@G};{QXY2Vh3ddR>nZn? z7UGWQGv&HWcuFen=N58Tc6|rLmTA&YQb#e!ZL;3bkKoSuRNx@|;D6iyW#CkB9B^I} zLnn+_U_89-ECQQq0#?*++@=o-b49R<$v&XZ_>}f;TlImj=rtfaCJ3>-X)iWhW*cyx zC!1}}8Q=)}+#F#YL&aT5+@oZd0FGy7)fPuwK@R=Wt+(5ArGou@4b zPS?EPMtK?h)xQmlMVHMod=g(x%#!zdE_iVp-P0R)fOol`C>5k~T&A@GESV$t+TtYK zx-Kam#!88`cUoI<4;EOD{waCS^X3Gbgl?J-a94jX5b{RL-@B@-J8%nJ0{(di{fD3( z=&F68y%v~`jdliiT+D^e^6Gr`ZCJ`vKnHB=9J9RkAymtcM&+w5ELLlJ{)mdhP1&=m zrF)!{sr0=qow(UnPSzmvz&Oo9{06f5-2f`z&DY=fiDm^ z6%~|^-1)pd&l6yp6;cKZmvEC%w(c3jLT?ANLRnxW7%se_^mhH}?h0n~h4L+7vU5hi z>E8hc^gBRbY3yX@fUA%V{J`441xdGiz+SerDmeqViTqvM?B~G&qsvo)Jew;2 zA&j<`2Tp+>C!LD}V&@>^mj01k$onYfV7_7QHLfwrb@7Z{PXFA0Ht!SP5#Q~XtFv;m z3i!6#siMwfr?7zM2rk7~X@=9?J_=6O>%j21X-(p1%I(2rxL)alyRd(|OL<>8=@EsyY+*RUcsgK7epxh?QWqas~iXX@ofdtW2q}0dGhe?rL`i z`bRRq+AIjpiyy!ZR4dRa=mM_GIcuNQ5FefUp}#m1~r_!1UjxM5}S~ z&+;5$sy)XH1dF_svgc=i8<-WUu6-AnrF9g4bPLh*qQCHd9o;e}pZ5nKG_J+1;L^sH z(BxpXJo{x_ppjXP1GkcSz#Pa`7Ir%$tb3tF;9uxq4z;@Kf9gZIN%BEY*C@&Tn#c53 z%~vUUihH3F6ix!iB^3x+d(Adhz*+~ZzAf~Nk?BkllljlBC^H)@3YCCdauIueIdFHb zwp1X?Oaua2XFW=3R@+!Ps_p*$ClOC{!0^KNs|3G|!oo$*pMLHl%0y^nT*BV8I{l4Lu7xkI@ zGuKy2W#uXud3JzPXAn@)WW8Z1>D?6M^mjrva0|YM-H00r|LTgs%PYmr{3hp_ zm4>y}(rRvgVs_AOV&*PeE+E@40cvei^~b0`qCbmzPpuE^g+l5$E)cpC{5wj89TT$+tRt;myA+=|nsZwjKn^Y& z7#{e>Uk98CNqI$p3heXe1phFaA(mM!G?a>{U%6Mh8iEVrJ9Vpc1`HUN9WUs3V?l z!MM>%>;XKiO+bVFOmCs}4}Go`1U_16+>}qX`U5xMnX?7_Z5BMYl6+%$X7T)U?f`cD z)iSUAr22slQP}eYZPs^>bj^@Qa6zky{#&p^FzCPG`y;Qq@2x;Lppkg&XudU2tqK6! zEP#7K7lGJ!S>G0%8f@?9gPVd~j37Trc6sV}c3`g^8~?b#leo$G+IX{FmT(jN;Y<9V z_@Z*>W|w=u_xa2h>vNa;UJsVgHyA~nwR})KsE$$lyW0W9eM{67&+AdW@cDAoN1igU zGzmb|+KY9$&1_`c(H;RA)*E;)*usB0Fe_LIoLq0{gUpI%EvpG?{*W{IMddn}OVSU8*M)0%AGhRdE?#6Ij5L%ye*%%(3IGT1Gwil5N4CvnOz0+W;Jd z%jRaYt+ku`SS;ZB)bp9AE_Cow)D-VZ*FyP-*a}`zIdhXfI+Ue_fRTI?hzyTHwT$QH zM0>bh+nRwDb<5uBw6z{vC57hlAJPZ%UGa&$5gZ1y-3{G$Q@6XhweMA<>$(7&w}WQvE>rFCk!oY6+Tela{dxA zFQfia;`y;wGp(7gK(3fo=%2*r4W6~kdM&$p_CGJ*@GsR%+B>;j;IXKzsOny=+&{W%%4fxc!fkGa-7R!F5asXx@^Mbii=3<%FTTjW znsel3>%4!0iQsR$D|{uzDTQ6vfOa1TjNjcr?O3TEmg2I!6>a6zF%MVc`-Jt=0Ds2+4#I))|%Xk+Bs(?SXFa9edCsaC$V3_&IJ<- zrp2|0{@MLR8Y9$j763tGI#6qV17^JpjE;0&(**-b7ZK=1ct-tzAvGHJ zFf#dA;A4LboWJSnRjG?o1(72kp-=>)js24^Q`&CG2PybLt7 zx9v;f(&%RK9~Nj;FePDi;YSH&<5bu`kI>4@ujS@e%gK7a`1!IIqjUfCSM(RuMp%hl zb!m*WQv4G5s@uh@LQgIQ8H}BFBlsaBvA=efR=MVRevc~ceKY!kcYX8{&snvf@&L%x z+svM!=e`f}w&vE%t^Cr+E}K&&r_;+{{4;`mfKvOuSG*#29{zP)KUTEw!rZ>H1iGp62qM%BlGBgWQjE-gwy| zSXKYfC}2$Rq*+%Gd2O_9u83G&N)|toj>s$IVL->)0hYsKLYiaQ z>k+*KG{^s2AkP0o-j6S<2fS#tkn@Z$rKUyqj{d-XFzSMLTU4fd86O0%-qO%7pXPRO49V&p&R|1{0M@N!n*YT(0A7dxKchQA| zEA|o_OWmbZ;VxKEeo*#`C56U7_|6nobLSkvs%v+)a95b0W(VwOCld%D{rDBgV9gPF ziLJO}K#J*WcC+Nr`&vNzJNOiMp9yvsAn7LZ=Y%SX>MAew0!~hS*JXDukP$BlBY?Oz zg2!&*G_x!7BZUq?L-aZg#czQgI}{kSd-%q{N8_AmyCt$(C-FQq*8(3q#cX7CSo zfz`A%^c-kmUukmaLnAvRLl>I^P4ac)7a*g#bw_&-Yy@sS+Iazdj&{Onmp@;RxVPe_ z=3iW}e!)-Tjzo=8=SvCP9et!<@_m_|@O&tk>ra8h=C43E{RR-PFA3X$?XyOXg0~ij zo&Acl)jVn+2i9XT=LEM|*azN+7T}%y%T>hVxJ$X7$C0VtzrXxBcs2B4sJOA&J`Y|APX1OZ4;yhx9SARJ1fRl%fb3D8Yj1slNIc%oL6lvO zn~SVqiZl^;GO{vF&JZ>W$6(_Z7>)GKK=-?3_SHWR95Nd?Tl4{l4)TC-o`yT8naHyu z*XZQfU||3Y_7`BaC~kcM$z+&AocdBjAoG<{_Pg7A>*pJl?_l(-=x#Ap^G)}*aBUPX zg1w`xZu>kh-_7lqyCdhL9DL-R(>58C?KJ+rcpMy#yjsxp4LFD11FK4NWOec*bJpCM zY7MsAT0@NC_9A4lb~*__>b|FRmurKK{1ouH1>*?tt(W@W4yZ4E*}mL1dADEI_mvKw zfzNrIA0y`TY>O@pUicZY@5fz^mGk`&HQUorX(3hRi*gHasIVe*E;uvQ3V7S(+mY{t`zzON zcSF|{uu@;=2lMYDYc<|%0Q}ABz*_bID=XRTVPt^~WP|k`?7w5h1F15<0hVMZtaLiC*nZVc2fu)4 z^oiyGEBB}1U)q;i{*YpTZw1(9C6U|S1f<6lp!F!$P1EZfK&&E4$>42S;#mSN+rPZ4 zyuW#N0EOtd)X%vH#M9G(0)hH@WpcmsbqYKOI@ln+CBK#1%r6GQ^l8tRu1m^w<(_f_ z*h5|TG@u?2wHMeWoE7{s{x<)C6ssJAHJq;$bIo_Rk2* z|lh#}w#uW(ZM4L~zk?3^?20fX#a?f2jXZA!4buOl)$qxIjdW}z?4 zt5RPe>#0ihsIT*Jado2$flYe8azLtOtqQFPUIdf8@8uu9QQADPl-9K8AlG;RCjjHz z&%qt&krU(!(nY=op8|B!-N0)uXiT&ZAw%2+S=q*ts(uPwtLmOM-eR6huHQX9T#cox zQV=5?ZEpf1XEW{nz7iR=RfUL8~Uae0Aispw}nLsJzV`c_I zz9;^N#w~lNeIGla?7f!noqU0OcVau_uaz(48trN=cjqsfcA$WNmhXeycXPVr9?$zN zSR?2TMLYH2BQ2IofgxrbeDuM}ZK)Ixyi|S=GA9a9i|W}uooW0HWD)YoOF$j6TWRdd zRo4SWtD`bQ$PzjVUxU-5hk4RkZeG;u8bB2`{?xkxS2+OfyJmC)+T%9Fa#usY0NJos zFgrLoSX?`*bqX#3GTe{G3i~j3ijNa}0jcsISF`Au-X&2NqE3*1Bp2s{$oQF|@xiXp zlGptif#+beYleK!7W)!E4NM{Zr3&x^$4G^Q*+Nxe8E`4~0--G#IFEaTKZK8A1)`A& z=<9Cd`a%8J)m+V%52_QC9OOgq3f+M#+}xQ4_LxNT4}A`>DbIw?g_i2G5QBfBKLI-a z%g}44+sxLUYMp^Nb>5n2CcuZfWe>1qW2>2hxTgycQ73_GVk5ZS#{pBaf;vGhqYMVl zHSm|5O;%qZw9V2F0adJr))wq7%YpvhkGn4Hl0Exr z5`h$x4fOEufwff`{lBM_cX#zf0qy^R+Fbp^bzC_B>Tn2jnPT-}z9Vihj4s5Rm#$t1$T|iD!I?5GY ze|y@%-!?pdx^JjYfMK@+dRWu0ru(&q!LPLdm>G_0r}b6l0Gxk4hJSioxFl8(28f?3 zAIbfsBzdv)ojgt146NC=)`HFWe@Pk=He*t49;!VaNk&~ zj0EE|oDF@Z^$70MjGz~&aKphav;pkdRe@nX0Qlb-@C@&p^RSXrj0MJ3VE(z`Esixa zjO|uQ@p~W@Z_wl~2c^`sBdj}(vunR1&8nQX%rTxeg4t8c?mTNlKtd7o4d}W|v{wy_DZRIpj z+`Fi+sm0`HQjXLdh`~cSucaZ6^-}8x6v-(-P5jkA3cS)kYKKCbLU)WUc4y}jJcY&l zU}T}@^0EAWyQ-0+CxR2>PcVa)@_Ug7P62b6n;R%@bdQVL=U(OY#yt1h9=~gxD^p71 z-?Zu?PHz+3o%d7jH@W}#XKAkinXR{T2~1{(T|aq#^yZ8HI$DeRIBK!$xEz$qh>yAA zP6B+V6;=$8yOV$hc~SUEDFUCyb{zypUjg?#rL`D~Q=wGnnVF-%t9_^~(4GKoxU0Xt zubsbO@atgyP&wrBx7l@_4M3yX%-KNWeZUO>vzx9@h4117%Y%nud+=ASZKx#tziPtk z;Oxp&s(AK!21nhC{?_aDGy6{*yZe zoN;kDK}azZtl@TLAaZ{U&dCg%3vCpN^JBSKursA#-;L2q1HpHyeorrGF0g|@u=-7o zQYU~j>v#7soZGxqTO*5HNggXq z62Gl|fhi2Byk-;;~oNfCqmQ2w#~+`NWN zN?pWWHT2KG-V@6uiGzUKT}QRmca>hQ3Z8%9lRuGd<%Yal*u#}T4)+qyV~c`iZ?L@; zdC^QnInRVr@)O`yb_eR@bU8~}DD4)eIb*R-uLE5+S?{g=4o11@zR7`mfzNzL1I6`P zCI|kEHs&#|kXVz80mjf~t``4+bKYo)laJqwg8FG-JiZAe#cN<4nWIlc?s2C*P3$Gr zSKfeL>{8pfcd4^+g0N1!EAJ4K5FJ|J8R-MggR=Hnr#~+O1!fu;zS_%em6hTi;REm% z9R`-&1F~4A=)6T|dHhJx06SS3?0Yw)Vai;oKM?@uD7X6D=07uEpaiKO6c zJszwdbGZJt8+9V3vG(3E^26KRZ|paohb9{BtUh3e+G;;V@z+Bel_}tfSqsFOXIwKN z{diH`F#+fHJDo)S5%A`l${XeK@(4+nCIQ#Bi##2E`euz%W#Ihz}RH%w})DP8(-^-;oZ%#kD1Nw=G+LN zC?_DYi4`gdN#f7kHE_0cMm)F0xEYx3-wfPMw=vE3T5Fx_Vj5RZ9H$nM(}efrhLR-z zBObvjD8!c(_5kH?lC?;9AU=dY;sY}BTH$-?6tL*yg?>Qc&jkiF&adq|U|Fe+x|wHo zELhFk3CD#xaz~8ds`8am4Ayay$m0}bwr~eLB+J3cmTqMjCU8?<*H`PGnw^ckW>fgw z)xay4h1*1yJp(wNd(AYX4NkJB8UxHq_9$zRU4ri=g6;;`|Ihf_+%oa3-@s?}O9yqt+N$i!(s z;SueIr_xj&ru63%aVm95&Ja_$InHC?0F^@p#c->q-N@Qw_rcFZK?iF7&&XHYR*vAr zY7DYJA1gubF={pxoZA%DZ-T@6H1PQ=hRTMX>dVbX)++0zISI(D4X}zUIfJnVy!J)2 zfmP00Y*s|fb_jeCwQ>6Y%$f{)muU_#DjI`O-7&x#1=gwo*q=Aza|p5nprk#)>0y-B zUN=%uk3w0H&dvaFFId5D0M)y(deif>auS@#gQ1z5r8PnxYC|lbHlBedYygMCLtEqq zh#PUfHjQg8HkUSX9kCMnh?z>V)Ea2_2LwdL$}}LkHWfGU12_v=odt*{hTCm%M&yH^ zSPvfmHY>rYXWugW7*oysR&gsEl@HI&rTT076P!!6g~w6^DE%qUT04<@0M_&!d?wjB8AJI4Mc81J?kb*&7rMi;e8a1G^UauVKox4cq*EPXF_5N4oCZ97+! zpTKoTB}+Q$IpT$}!WsSnICfK!{p=~8M26vobOoF|L&dpZ#K{wqaO!fLzak7qrlF~@ zRZIqA>`CCE-^HqWhWecuh=K2;J|_{31q-ch$l&NkCMu;WqOPE{z09r$du##O-b6)C zAA2kizIE^})j$Q+FJLF_j6BmG>=W-;&7Iyrohk=!ur4@CdRv#lR+Nx}mjOnnc}WwfJ#KKDMzH+ya$XCmr+qv&-&3rRk{ zm{i_1T{%n{U@&L*;V%jB<-mT`jt{_FDu&ts4)(GPGGv96`q(8ZfC24OsUF`D8HG#E z`{2^rYy)o#94#JLQ4gYr2l4>JtSXc@oZ{rxl5oMl1 z&B94L#omC7*jBTdIT=XGZO!r4BV@}*S+|f!JH|(I%@bo9cqr+gYLo~uo_MIQ^F2Jto6XSlmblrtx~l3 z0Whq03QhPB@&%hLzhMF~{3vQ0CmX}{ZpH?jf@BzloIKzX_d%6rJn!MX+(4j?cLH1V z@KAQ}M6h6Jlex@r=~>2h?l&n5>%4(<2|3oPg2Xq&ZZv?qXjV7A)MB&=!7l^p!9k(R zh@YnDHEnQ4@N@Z&!W?-kvYXe14N@v>Oab{i&T7j@2Z2a`9*i9Igi3sV)N*pjFkgYa zZOKhX1}PJqA6eKLN=aTJ!=C6Yz^QplR734S*1oU3AO774ClTkF#lUit4^~N+x?*)_ zisQhHQ<+Z?S~-^yJM@N+@h+<0Zt8u&e2`}Xq22h~7;AS2r}k*r&=4%l9h}>_tPim> z^stvAG6R0HP@bXiq8NZ&mSLx@=Xj06V6yl`|4{qe-_^e_7y~WXfGWMS+!%Sj zyhbVGy5Mf_zNvk#xW>S{&>t8n2)(t+IN=<$#xC9Wce~s0*>{ zRR#`jPdmXLYnC&f8yQwl;Cl_^FNieWHnY570;p>*0uJ}LW{{ZgU{k2#mOP2JUt^l~MnZ8_GA-gKit6 zNtJ(-p{vN6RRbDzek-BS?9DONjXNRCh zb)h-Kt^^NL=Z5mf(Pm9V0DGMdW&m}=Ma|Wr_0W>_SoQhMG_$sO%pQqS`Fi3?o4fPA9xm;Vk&m|%jF2qf=enF+12m4naRM?dOV*YNc zqIJ@|Za;RqgK4jiZ8;rKEjk&jLq2Yq6+%^9Kkg1}N(eLkt2N2$ZeK)2ZIWFZRoIV! zOyAVbL^W7wN98%>3q(q!DWDFg6ChC%S-i~ey9vthnk1Ue2kL< zjiUPzFHo)2h^rtj!ydK zSeh>sL(Q26hNH2Lj=D<1*i5&sTR@hB_x&CJ2)jj1VWV_R>M!uv({2L8S;dK52YWJ@ zs%l_Gs5qHy4tA?P+*tcv>lg6VjfRKwwQGZOeytGWc$e=?g-0P^x*EGzQG245 z#3l0saGEp_43F>0%@s?0AaoF`DD&jed^zNeZ`#w$*AP|h!3ozyYa&;VKMW06CfV{0 z`3mZ04xqO3fY?E>*#f!p9;>>%VJ-kp!C*i3kMsbJ>Pg%#3B zF#)3;hdrhhzZQHUHH8Pz;~-G!b-uDNh~I%dqOA}Q#_y>ZQ5x8~T3OqzT%4HeKyfSw zyFVWF)ytu`eGo5}1T#sV*%npR7cDou*;`m=qw&)-c3G~UUB%pBHiO5MY?jc!G}58% zk8lp!$f^o1!k*aSr{VPIW32yk@aMKTX~5t=0}GgLHaF{<9f0(|&021)vBq<)!3TFm zdVy-AC+;yW32a6w>J!u;0ddnhXPncM!C$+_xP`j-bh{>3K(wS8N*mWe*A%rPEcOni zx?GLl4z$>0v$sCp*biTC0PJtNmBy8lCV;;sT5hdmDGBmH#5}EeM97Hwu9=(}1tzq9 zh{St?O>r?QyobX2K0>wTmH#X0Y@n*Tt~`Ff9#n?Jrx*<`92jZ*DwYHq8X5>z7Ys%k z8V!ytY{jKl`tt zWV*-fqQ~|FaZr{kr$Bc*9bXaY_M_UEpkWc_4)jKz|NcLGznYr!{r}vbZN`Zht4e*( z@sl5XANajvY2Z&$15ZQ=xnED#rcm|kX3D{5-X;>B1E(FAlU6!ea;CA+vERrMtWts*kAAehuOnq!f)y4e2!SV zPg$yE!i8pY5?~=drcGWTGJfknA?})${%m^P+O0&+?+sSkv~qnFs+b4hA;+{X_FJ%h zQmyPkOEgLBlSLryi^_VvicW=X)Fk?h51EcA1tnKnZ_%lD3w9@7$%o&M)Kplu4##=N zM~-W#XVif%k5H>Bp#Ny?bT;aZx#(aX6c2*GQ_w&27;id?9VzrcG;1m9S*96U>5>rS z9%U$E5>3csV$eTqrEk2G3Sgfar@YOcO|qV0zN5>mMtjXkC*Fwp9Qj=>U7>@ripi8n zXZ?I3AnUz^W-|1;(0Dfi? z90xOC>pICZRu>*77FKGlI?b+}≠UOf5`_X){J{;O(Z$9kLKa{7*(bXzD$xp=IbK zhN1G>0WP~P_kfDRnbwJbw>d-4;sGLO6j@)H?$$=t4s8|F1}liCqg;2n9219OY!vG- zoJattFdnoFp6Ln-SwZSnv@Q;*_vk+N!0fnr7lq{IE-G13D866iO*|o5%vb3Yoxtl~ zrB3okI@xxSTiALW#hhr5_JryquHR-pz&@9=o++SI%g&WEm~+@e)!OT?Hk;UAC1RO; z8-x-78#fKd^)5JKn#`{Q8>zOI*m)CPXb}Cm&t$UYQ8V=2Jog4i5LJ^jD&3XveMQz> zD?tqVy>QAC{xX=Qwdx2N?tZZ5=jb>dF}`5!-=ogljUHGu`F;#GIgL+$57m$wbmq>H z`wyZDa#b|p9XN8i2O52lb6hJbkhJu`H8&@hD#A3pUw0hs+t(p`-g4 zx~u(aDRx`I)Ck(_)~E7>SS$OeenE7W~>!ewZh6jKM^&TPyC z{x6QMpIcbKYEeL(bBlbc7KLQut=2J46253H$K#C=cZWdpS7oYHHiK56It%esPU`kwZjWLoU;= z4e`%1xS9#-UQMh-KNBhCOwX;v~e?0?Q2ru(Fb`~6B;tu?XRB2el)p#K$|;ABQ75r%k> zs)o=14E#nCerZHGi|Xf1Es$>5AuWlxZ~?4YXuW|B&lFx^IeCYRnyZkfxGw$S{P|`6 zYYe|~--^WpoKSwD)~m6qcHRE1a$L!2;N*cDD5wnZex56V!zY*@GqUpFX*T3CSneJ&&{7%H(0J zwi?FwG&#~|%vf#!uQ{n-M1$oct^3UPMTrTzM2_S6HR~pwC`ItT`>1Ec(M3^17tjVh z0qxge^5Q&fdoweN(Wpi0){~sBv!1D~F*S=>o;CX0DB*sL#!moDYP^*~chYHQ`i96E zGuSI$_{4jLp(<1bCT2bzp+-r-lxl4xWHWI$~I&7`J9k{7***4BHlRKQny4Y z%xE_pZLP9Y{S&w|iTIX+zW6LAW~$g}8T0_IH%G}K;G6hlF!OR@+J3bK9jCpl(Jp%7 za?BHOAWu;_b^DKj=pO-vmBC3bFmCGfiW4&wtrOeYV;zM%$tJ3wg#~)mo~!{6U0{B+ z3jCdbUpNNu(hZtkqyGy#EK}dB-6l?P9=nW}_sFO{q6e+pw@_Q|L#gkk{(>XT@tyIe z@s8fDUS>*jix@BuQyciue-s>)XEDEruO8Mflly**=4m=Ox=pcUIC-E44x>1zzeCS=gP&W1x zg@?7Ldu`Q~0Of1`9B=jW{zk+y*$%?K1b4klNyB@95cRLPuVB8bRVlUV;WHD&I+4xn z;|}qO48sd`u>O5&3jKs-+6I_YCviWPot;LFAqw2r2NGoZ%}QbSZc^R?0hnlR*`6-X z+CbE)QtzQYR*kK>;SA!`CCZd+6i3a~^q_@`Opt4d9I}##jWK$J(Tx{Bq`$-W6r*U5 zc9;Ae)3!c;oH>Ase4CuMs?hn#Mp?8O&Eg&Eb@3I`H9X z%o!f$eNBATr57P3qMB;ss)~@wv z8?|7xPWO^y=94c)vKOz5J?O?Chnoro`8$coU+FFQhjL;q`I1=#HW>65n;|n3%V*iK zLt35Q!&Ju&Ea)VYRRhWZbI-N#Ew>oKPvyODWM|mwIFDoH_IU+yGiOvza<6OfIq~Q` zJfPl0b*Y|Hq)ZfM*KpL(hVYtrM?r1NBEj&Jk2!UU2<8M|G)k7 z&2;l$S%)-g33*t>N8F7Iq@rt|f>y&>S?W^LJEj}pTmBP`ylqwu=yEwdc^`q+4;dSn zL(L&i-llk1+al_w8fxlU(=}8Vb*wH)BukXNv>H2#7_U1H1*{0zhcchX5bT*zY<;Z^ zHQns-UDMCP18M%nRM+7LwH)JhrXU{u!Fwn}en`!xOWUMaDDItLI=Y)0vF?A^EEd7m zFu7u@K7szDJxe-d`018y*0zzk$G}HK3x`NEC&-+8i8-^yF5!}?MAhr4o<`tlBD5Ur zc%QbDV-c31C!T}f8KnzviWs8!e?9$k|KH4Fd7qhqd1TJV^ho0*yvj~(P<=sZlzCJe zKk@$+)$FU&fADvht>T>3p>EWdgFJuj@H#w3tiF#i|9I;~@pqn&&PJ35^F@HQjmh_a z(D(FIz?T900$PpFwGgcg-Y@|r_;;wge8)6rm~hj-F{Zu=Km0n_Esp#*4yI;|Q!AE( zqPBpNgR%2QWh45z7r{vpV1@xywTkffJ@ic!qdZ+ zG6B?(6J!>X)fb5LmsufB@KGw%MC#nqsA4ALkdb4Y*8{bc$|~3vujnN6@Y2aPWP3;= z$7cFaztnc?_4?0rkM;%Ey$dsw2(xxg41&Q^B3i=ER`7eV z_+1xyS4<1GRg^&nkR$Egai=D<1yqHa?_QJk0yuY9&&;|Cnwv9dC1k zS-T)T806Pr9U!I+!I0|YEtZ)~hB#s+k_`^fRc22%KW!Y-pVtD_30AEQZOqgDI`a3& z;G@=w9G-MHIc|tn&J6ojJxdqbn_8vXj&DBCo!7#C3^MKh6n?D~A6tOdg^yhFS=Q6V zo1DN~hEa!ZK*?kk&vuNrIZp5UHmuTxrgSxLX%l&ZOGyUL&7rQBNPO%7uS9?^1K7D9 z-ux$G5m9{yi04HrGUt?Eq3oE+%B6$n!^kcVf{ky;VXk&XX5pb-C;+zbw>`vz?d377zDLwyeE5B^;{vQH zK-)@GT1lfo|6ZQStPt_`{AcxORFcJT)|7kusB>o1I)Pn& z*)Ja=kEQ;^n>d4Y=U89EyhO`h`mEdONdk3R1>|W zD96*!73Iu;&L>0f5#!Pe*E~cHZ_rQk6<4kz``Qaq=z__0^48Mfep<+3qsV11;-5pQ zm}bk(a6DzGj)s7&n$U?^HKTjgrM!<9o1Qt%CEuEYTa4l)mS_-DF>z)A-m^}brC#QJ z6oWwO@L_ReIF-zrgmGtu^hfl9Uw6m``g1FI+E;kmRxC6Y9rg}>c{RFS*^T3%nsn-sV~z((*QG93x3W3shEwQE$aO!{ z^7MA{`Z4{K{=ZS}RVzF{%Vt|jVUGP~v?zE06UYIjG(v)>)UiK4R)UhN6Etk4D_CwGmA;pFyFP0tHuZb#Cx&&fPq2w6wt4XMm2_*{y0TH!`5MVsQf4^C zQIv**I74KVj4TNcUJHs}hQCKk8QYpYqw`h^I~fXQhS=m~+W0Qlsj<03_Q^}^s7qk9aaKGZ zKNU$&#Z9?`ISmir<)tRG5med((uh@0asC-f#qwu#84Z(bw2J7ND7ulTUaBlme}V4t zDX>)%`P?`@Fax&g3cDhk_aB4DIf*S-Hb>co&C-N##o^R}k=Y#85@$un&RkzOSS z3kRca;K_^e*iC$2Gi%s{UyK2p^{{r$++7JhDGi(gX>rzwoBYmJj?HJyXAzlQSc4Nk zya<1jhu@usOW4L|=G$Bd@4pT^=*2e&vvcC{8d2ne!^F2saI@p|V%ofZJzY&%s-kWp zms@6aQN@m@yD0)oErG!*;CVwp4P)d!G3q1mhmEX^jiEDPIO5@Yg85y*9S-yDY20B0 zf4`kB(_HZD0qoI9wYLTPzR2%>&Re|7YS?Fa`M`;pT)AG^&8N?D*QfZ|4x&y4*0360 z{C%FU68l*PJM%2>d^s2su3=qrh}~V%O%GNLSSQrBJ8L5h zt&Sx=Pd>XF3mM_NeDJX0u%Gt1Nu}~U@56*qswBIO;J)45b1|`CJ09%`&J&x$u8UZ$ zN)W-x$-5jLnHi3E54>z27#+<@xx=_G25rF zh2?c~UQ!p|mZF|#5BiAAL-?sszN>>cxk-L5*Rf9Z$}06TUiK$cNfzNPN{E)5h?Tim z-3^|h2tMmHIAlI{U2GjC6Q6^kTrw6=%{R5P24BFKRI$=6yjv$0X=@!HAkMDFcIFeI zbI}3p#3R_JFAZS@byz_g_K-=g9|}WL##2<|L;lEjWPvhP@wW@H+i{|#m$i+?eoI)Z za`^RXY&TjiAkSFGQ!is*jFVm2K6Nb|-a2AqCwEoFzAVQRF*jvRQxDpX1-EkUiLHw1 SB4Y`p*Y`U2Q3fK>mHz`_Gl5e8 literal 0 HcmV?d00001 diff --git a/bgt/help/[castilian]bgtreadme.htm b/bgt/help/[castilian]bgtreadme.htm index ec89387..ee0013d 100644 --- a/bgt/help/[castilian]bgtreadme.htm +++ b/bgt/help/[castilian]bgtreadme.htm @@ -1,9 +1,9 @@ - + -Baldur’s Gate Trilogy - WeiDU Readme +Baldur’s Gate Trilogy - WeiDU Readme