From 6adac90884ebdc828a5d7f5a7b02b48c2b017a4e Mon Sep 17 00:00:00 2001 From: "Christian, Glenn (DLSLtd,RAL,LSCI)" Date: Wed, 22 May 2024 18:30:31 +0100 Subject: [PATCH] Add xu5_st1 MGT pin constraints + change name to *_pins.xdc --- common/python/configs.py | 2 +- .../const/{FMC_MGT1_MGT_pins.xdc => FMC_MGT1_pins.xdc} | 0 .../PandABox/const/{SFP1_MGT_pins.xdc => SFP1_pins.xdc} | 0 .../PandABox/const/{SFP2_MGT_pins.xdc => SFP2_pins.xdc} | 0 .../PandABox/const/{SFP3_MGT_pins.xdc => SFP3_pins.xdc} | 0 targets/xu5_st1/const/FMC_MGT1_pins.xdc | 9 +++++++++ targets/xu5_st1/const/FMC_MGT2_pins.xdc | 9 +++++++++ targets/xu5_st1/const/FMC_MGT3_pins.xdc | 9 +++++++++ targets/xu5_st1/const/FMC_MGT4_pins.xdc | 9 +++++++++ 9 files changed, 37 insertions(+), 1 deletion(-) rename targets/PandABox/const/{FMC_MGT1_MGT_pins.xdc => FMC_MGT1_pins.xdc} (100%) rename targets/PandABox/const/{SFP1_MGT_pins.xdc => SFP1_pins.xdc} (100%) rename targets/PandABox/const/{SFP2_MGT_pins.xdc => SFP2_pins.xdc} (100%) rename targets/PandABox/const/{SFP3_MGT_pins.xdc => SFP3_pins.xdc} (100%) create mode 100644 targets/xu5_st1/const/FMC_MGT1_pins.xdc create mode 100644 targets/xu5_st1/const/FMC_MGT2_pins.xdc create mode 100644 targets/xu5_st1/const/FMC_MGT3_pins.xdc create mode 100644 targets/xu5_st1/const/FMC_MGT4_pins.xdc diff --git a/common/python/configs.py b/common/python/configs.py index 79fbe2cad..a4e8c7811 100644 --- a/common/python/configs.py +++ b/common/python/configs.py @@ -185,7 +185,7 @@ def combineSiteInterfaces(self, interfaces): def generateInterfaceConstraints(self): """Generate MGT Pints constraints""" self.interfaceConstraints = [] - constraint = self.site_LOC + "_MGT_pins.xdc" + constraint = self.site_LOC + "_pins.xdc" if constraint not in self.interfaceConstraints: self.interfaceConstraints.append(constraint) diff --git a/targets/PandABox/const/FMC_MGT1_MGT_pins.xdc b/targets/PandABox/const/FMC_MGT1_pins.xdc similarity index 100% rename from targets/PandABox/const/FMC_MGT1_MGT_pins.xdc rename to targets/PandABox/const/FMC_MGT1_pins.xdc diff --git a/targets/PandABox/const/SFP1_MGT_pins.xdc b/targets/PandABox/const/SFP1_pins.xdc similarity index 100% rename from targets/PandABox/const/SFP1_MGT_pins.xdc rename to targets/PandABox/const/SFP1_pins.xdc diff --git a/targets/PandABox/const/SFP2_MGT_pins.xdc b/targets/PandABox/const/SFP2_pins.xdc similarity index 100% rename from targets/PandABox/const/SFP2_MGT_pins.xdc rename to targets/PandABox/const/SFP2_pins.xdc diff --git a/targets/PandABox/const/SFP3_MGT_pins.xdc b/targets/PandABox/const/SFP3_pins.xdc similarity index 100% rename from targets/PandABox/const/SFP3_MGT_pins.xdc rename to targets/PandABox/const/SFP3_pins.xdc diff --git a/targets/xu5_st1/const/FMC_MGT1_pins.xdc b/targets/xu5_st1/const/FMC_MGT1_pins.xdc new file mode 100644 index 000000000..76e3c061c --- /dev/null +++ b/targets/xu5_st1/const/FMC_MGT1_pins.xdc @@ -0,0 +1,9 @@ +# ------------------------------------------------------------------- +# FMC MGT1 pins - Bank 224 +# ------------------------------------------------------------------- + +set_property PACKAGE_PIN Y2 [get_ports {FMC_DP_M2C_P[0]}]; +set_property PACKAGE_PIN Y1 [get_ports {FMC_DP_M2C_N[0]}]; +set_property PACKAGE_PIN W4 [get_ports {FMC_DP_C2M_P[0]}]; +set_property PACKAGE_PIN W3 [get_ports {FMC_DP_C2M_N[0]}]; + diff --git a/targets/xu5_st1/const/FMC_MGT2_pins.xdc b/targets/xu5_st1/const/FMC_MGT2_pins.xdc new file mode 100644 index 000000000..10443dd10 --- /dev/null +++ b/targets/xu5_st1/const/FMC_MGT2_pins.xdc @@ -0,0 +1,9 @@ +# ------------------------------------------------------------------- +# FMC MGT2 pins - Bank 224 +# ------------------------------------------------------------------- + +set_property PACKAGE_PIN V2 [get_ports {FMC_DP_M2C_P[1]}]; +set_property PACKAGE_PIN V1 [get_ports {FMC_DP_M2C_N[1]}]; +set_property PACKAGE_PIN U4 [get_ports {FMC_DP_C2M_P[1]}]; +set_property PACKAGE_PIN U3 [get_ports {FMC_DP_C2M_N[1]}]; + diff --git a/targets/xu5_st1/const/FMC_MGT3_pins.xdc b/targets/xu5_st1/const/FMC_MGT3_pins.xdc new file mode 100644 index 000000000..e6454c42e --- /dev/null +++ b/targets/xu5_st1/const/FMC_MGT3_pins.xdc @@ -0,0 +1,9 @@ +# ------------------------------------------------------------------- +# FMC MGT3 pins - Bank 224 +# ------------------------------------------------------------------- + +set_property PACKAGE_PIN T2 [get_ports {FMC_DP_M2C_P[2]}]; +set_property PACKAGE_PIN T1 [get_ports {FMC_DP_M2C_N[2]}]; +set_property PACKAGE_PIN R4 [get_ports {FMC_DP_C2M_P[2]}]; +set_property PACKAGE_PIN R3 [get_ports {FMC_DP_C2M_N[2]}]; + diff --git a/targets/xu5_st1/const/FMC_MGT4_pins.xdc b/targets/xu5_st1/const/FMC_MGT4_pins.xdc new file mode 100644 index 000000000..741d51bab --- /dev/null +++ b/targets/xu5_st1/const/FMC_MGT4_pins.xdc @@ -0,0 +1,9 @@ +# ------------------------------------------------------------------- +# FMC MGT4 pins - Bank 224 +# ------------------------------------------------------------------- + +set_property PACKAGE_PIN P2 [get_ports {FMC_DP_M2C_P[3]}]; +set_property PACKAGE_PIN P1 [get_ports {FMC_DP_M2C_N[3]}]; +set_property PACKAGE_PIN N4 [get_ports {FMC_DP_C2M_P[3]}]; +set_property PACKAGE_PIN N3 [get_ports {FMC_DP_C2M_N[3]}]; +