diff --git a/targets/PandABrick/hdl/PandABrick_encoders.vhd b/targets/PandABrick/hdl/PandABrick_encoders.vhd index 16f209387..2d584c2f2 100644 --- a/targets/PandABrick/hdl/PandABrick_encoders.vhd +++ b/targets/PandABrick/hdl/PandABrick_encoders.vhd @@ -84,6 +84,8 @@ port ( ABSENC_HEALTH_o : out std_logic_vector(31 downto 0); ABSENC_HOMED_o : out std_logic_vector(31 downto 0); + UVWT_o : out std_logic; + -- Block Outputs abs_posn_o : out std_logic_vector(31 downto 0); inc_posn_o : out std_logic_vector(31 downto 0) diff --git a/targets/PandABrick/hdl/PandABrick_encoders_block.vhd b/targets/PandABrick/hdl/PandABrick_encoders_block.vhd index 352e50b03..a8cd235ce 100644 --- a/targets/PandABrick/hdl/PandABrick_encoders_block.vhd +++ b/targets/PandABrick/hdl/PandABrick_encoders_block.vhd @@ -58,6 +58,8 @@ port ( INCENC_CONN_OUT_o : out std_logic; ABSENC_CONN_OUT_o : out std_logic; + UVWT_o : out std_logic; + clk_int_o : out std_logic; @@ -362,6 +364,8 @@ port map( ABSENC_STATUS_o => ABSENC_STATUS, ABSENC_HEALTH_o => ABSENC_HEALTH, ABSENC_HOMED_o => ABSENC_HOMED, + + UVWT_o => UVWT_o, -- -- Block Outputs abs_posn_o => abs_posn_o, diff --git a/targets/PandABrick/hdl/PandABrick_encoders_top.vhd b/targets/PandABrick/hdl/PandABrick_encoders_top.vhd index e5c0d0f0d..643b45755 100644 --- a/targets/PandABrick/hdl/PandABrick_encoders_top.vhd +++ b/targets/PandABrick/hdl/PandABrick_encoders_top.vhd @@ -80,6 +80,7 @@ port ( posn_o : out std32_array(ENC_NUM-1 downto 0); abs_posn_o : out std32_array(ENC_NUM-1 downto 0); + UVWT_o : out std_logic_vector(ENC_NUM-1 downto 0); PMACENC_PROTOCOL_o : out std32_array(ENC_NUM-1 downto 0); PMACENC_PROTOCOL_WSTB_o : out std_logic_vector(ENC_NUM-1 downto 0); @@ -202,6 +203,8 @@ port map ( ABSENC_PROTOCOL_o => ABSENC_PROTOCOL_o(I), ABSENC_PROTOCOL_WSTB_o => ABSENC_PROTOCOL_WSTB_o(I), + UVWT_o => UVWT_o(I), + pin_ENC_A_in => pins_ENC_A_in(I), pin_ENC_B_in => pins_ENC_B_in(I), pin_ENC_Z_in => pins_ENC_Z_in(I), diff --git a/targets/PandABrick/hdl/PandABrick_top.vhd b/targets/PandABrick/hdl/PandABrick_top.vhd index 1952b93a9..8aa6faf7f 100644 --- a/targets/PandABrick/hdl/PandABrick_top.vhd +++ b/targets/PandABrick/hdl/PandABrick_top.vhd @@ -1286,6 +1286,8 @@ port map ( posn_o => incenc_val, abs_posn_o => absenc_val, + UVWT_o => uvwt, + PMACENC_PROTOCOL_o => PMACENC_PROTOCOL, PMACENC_PROTOCOL_WSTB_o => PMACENC_PROTOCOL_WSTB, INCENC_PROTOCOL_o => INCENC_PROTOCOL, @@ -1332,10 +1334,10 @@ port map ( -- Data to be passed to PIC... pass_thru_gen: for chan in 0 to ENC_NUM-1 generate - serial_pass(chan) <= '1' when (PMACENC_PROTOCOL(chan)(2 downto 0) = "000") else '0'; + serial_pass(chan) <= '1' when (PMACENC_PROTOCOL(chan)(2 downto 1) = "00") else '0'; end generate; -uvwt <= "00000000"; +-- uvwt <= "00000000"; pic_data_out <= ( uvwt & serial_pass );