From a8145f49cfb13c307a6f4391b3f64273510ff436 Mon Sep 17 00:00:00 2001 From: Padraig Gleeson Date: Mon, 3 Apr 2023 15:21:44 +0100 Subject: [PATCH 1/5] Test again eden --- .github/workflows/omv-ci.yml | 2 +- .gitignore | 1 + neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt | 2 +- neuroConstruct/generatedNeuroML2/.test.supaxax.jnmleden.omt | 2 +- 4 files changed, 4 insertions(+), 3 deletions(-) diff --git a/.github/workflows/omv-ci.yml b/.github/workflows/omv-ci.yml index 9ce86bf..1215e96 100644 --- a/.github/workflows/omv-ci.yml +++ b/.github/workflows/omv-ci.yml @@ -16,7 +16,7 @@ jobs: matrix: python-version: [ 3.9 ] runs-on: [ ubuntu-latest ] - engine: [ jNeuroML, jNeuroML_NEURON, jNeuroML_NetPyNE, jNeuroML_validate, jNeuroML_validatev1, Py_neuroConstruct ] + engine: [ jNeuroML, jNeuroML_NEURON, jNeuroML_NetPyNE, jNeuroML_EDEN, jNeuroML_validate, jNeuroML_validatev1, Py_neuroConstruct ] steps: - uses: actions/checkout@v2 diff --git a/.gitignore b/.gitignore index 500a5c2..68fcd4b 100644 --- a/.gitignore +++ b/.gitignore @@ -79,3 +79,4 @@ neuroConstruct/generatedNeuroML2/html *code.gen.c *code.gen.so *_eden.py +arm64 diff --git a/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt b/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt index 5b4e35e..6051b35 100644 --- a/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt +++ b/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt @@ -14,4 +14,4 @@ experiments: spike detection: method: threshold threshold: 0 - tolerance: 0.008459154078549956 + tolerance: 0.007250743202417091 diff --git a/neuroConstruct/generatedNeuroML2/.test.supaxax.jnmleden.omt b/neuroConstruct/generatedNeuroML2/.test.supaxax.jnmleden.omt index 79494f2..6de12b2 100644 --- a/neuroConstruct/generatedNeuroML2/.test.supaxax.jnmleden.omt +++ b/neuroConstruct/generatedNeuroML2/.test.supaxax.jnmleden.omt @@ -14,4 +14,4 @@ experiments: spike detection: method: threshold threshold: 0 - tolerance: 0.01234941277282111 + tolerance: 0.012777811381621991 From 68847e14d0cb216b76ab235125a4c01da7a24044 Mon Sep 17 00:00:00 2001 From: Padraig Gleeson Date: Mon, 3 Apr 2023 16:10:34 +0100 Subject: [PATCH 2/5] Test mac too --- .github/workflows/omv-ci.yml | 2 +- neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/.github/workflows/omv-ci.yml b/.github/workflows/omv-ci.yml index 1215e96..b7c4159 100644 --- a/.github/workflows/omv-ci.yml +++ b/.github/workflows/omv-ci.yml @@ -15,7 +15,7 @@ jobs: fail-fast: false matrix: python-version: [ 3.9 ] - runs-on: [ ubuntu-latest ] + runs-on: [ ubuntu-latest, macos-latest ] engine: [ jNeuroML, jNeuroML_NEURON, jNeuroML_NetPyNE, jNeuroML_EDEN, jNeuroML_validate, jNeuroML_validatev1, Py_neuroConstruct ] steps: diff --git a/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt b/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt index 6051b35..5b4e35e 100644 --- a/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt +++ b/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmleden.omt @@ -14,4 +14,4 @@ experiments: spike detection: method: threshold threshold: 0 - tolerance: 0.007250743202417091 + tolerance: 0.008459154078549956 From e66608084a90a865b965b17f085ec2bdc00f59bb Mon Sep 17 00:00:00 2001 From: pgleeson Date: Thu, 2 May 2024 14:02:12 +0100 Subject: [PATCH 3/5] Install HDF5 for pytables on macos-latest --- .github/workflows/omv-ci.yml | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/.github/workflows/omv-ci.yml b/.github/workflows/omv-ci.yml index 69a3a24..10261e7 100644 --- a/.github/workflows/omv-ci.yml +++ b/.github/workflows/omv-ci.yml @@ -26,6 +26,12 @@ jobs: with: python-version: ${{ matrix.python-version }} + + - name: Install HDF5 for pytables on macos-latest + if: ${{ matrix.runs-on == 'macos-latest' }} + run: | + brew install hdf5 + - name: Install OMV run: | pip install git+https://github.com/OpenSourceBrain/osb-model-validation From dfbf08f27d003e76fd50b1acd8ff57e4157cd1d8 Mon Sep 17 00:00:00 2001 From: Padraig Gleeson Date: Fri, 3 May 2024 11:02:12 +0100 Subject: [PATCH 4/5] Update omv-ci.yml --- .github/workflows/omv-ci.yml | 3 +++ 1 file changed, 3 insertions(+) diff --git a/.github/workflows/omv-ci.yml b/.github/workflows/omv-ci.yml index 10261e7..951c0fd 100644 --- a/.github/workflows/omv-ci.yml +++ b/.github/workflows/omv-ci.yml @@ -17,6 +17,9 @@ jobs: python-version: [ 3.9 ] runs-on: [ ubuntu-latest, macos-latest ] engine: [ jNeuroML, jNeuroML_NEURON, jNeuroML_NetPyNE, jNeuroML_EDEN, jNeuroML_validate, jNeuroML_validatev1, Py_neuroConstruct ] + exclude: + - runs-on: macos-latest + engine: "Py_neuroConstruct" steps: - uses: actions/checkout@v4 From eb9b8f7679ff70d721a6dc82d30b48fb658faf16 Mon Sep 17 00:00:00 2001 From: pgleeson Date: Wed, 31 Jul 2024 10:46:11 +0100 Subject: [PATCH 5/5] More netpyne tests --- .../generatedNeuroML2/.test.jnmlnetpyne.omt | 17 +++++++++++++++++ .../generatedNeuroML2/.test.jnmlnrn.omt | 2 +- .../.test.l23frb.jnmlnetpyne.omt | 17 +++++++++++++++++ .../generatedNeuroML2/.test.l23frb.jnmlnrn.omt | 2 +- 4 files changed, 36 insertions(+), 2 deletions(-) create mode 100644 neuroConstruct/generatedNeuroML2/.test.jnmlnetpyne.omt create mode 100644 neuroConstruct/generatedNeuroML2/.test.l23frb.jnmlnetpyne.omt diff --git a/neuroConstruct/generatedNeuroML2/.test.jnmlnetpyne.omt b/neuroConstruct/generatedNeuroML2/.test.jnmlnetpyne.omt new file mode 100644 index 0000000..b242bfd --- /dev/null +++ b/neuroConstruct/generatedNeuroML2/.test.jnmlnetpyne.omt @@ -0,0 +1,17 @@ +# Script for running automated tests on OSB using Travis-CI, see https://github.com/OpenSourceBrain/osb-model-validation + +target: LEMS_Thalamocortical.xml +engine: jNeuroML_NetPyNE +mep: .test.mep +experiments: + Current clamp: + observables: + spike times: + file: + path: CG_CML_0.0.dat + columns: [0,1] + scaling: [1, 1] + spike detection: + method: threshold + threshold: 0 + tolerance: 0.0006224451876625568 diff --git a/neuroConstruct/generatedNeuroML2/.test.jnmlnrn.omt b/neuroConstruct/generatedNeuroML2/.test.jnmlnrn.omt index 5c6a5af..859232f 100644 --- a/neuroConstruct/generatedNeuroML2/.test.jnmlnrn.omt +++ b/neuroConstruct/generatedNeuroML2/.test.jnmlnrn.omt @@ -14,4 +14,4 @@ experiments: spike detection: method: threshold threshold: 0 - tolerance: 0.000623 + tolerance: 0.0006224451876625568 diff --git a/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmlnetpyne.omt b/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmlnetpyne.omt new file mode 100644 index 0000000..4216760 --- /dev/null +++ b/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmlnetpyne.omt @@ -0,0 +1,17 @@ +# Script for running automated tests on OSB using Travis-CI, see https://github.com/OpenSourceBrain/osb-model-validation + +target: LEMS_L23PyrFRB.xml +engine: jNeuroML_NetPyNE +mep: ../../NeuroML2/test/.test.l23frb.mep +experiments: + L23FRB: + observables: + spike times: + file: + path: CGsuppyrFRB_0.0.dat + columns: [0,1] + scaling: [1, 1] + spike detection: + method: threshold + threshold: 0 + tolerance: 0.0060422960725075164 diff --git a/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmlnrn.omt b/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmlnrn.omt index 11dcf26..0fe8eb9 100644 --- a/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmlnrn.omt +++ b/neuroConstruct/generatedNeuroML2/.test.l23frb.jnmlnrn.omt @@ -14,4 +14,4 @@ experiments: spike detection: method: threshold threshold: 0 - tolerance: 0.006043 + tolerance: 0.006042296072507726