diff --git a/Radiant_037b/ClickPs.pas b/Radiant_037b/ClickPs.pas new file mode 100644 index 0000000..83fc3f3 --- /dev/null +++ b/Radiant_037b/ClickPs.pas @@ -0,0 +1,757 @@ +unit ClickPs; + +(***************************************) +(* TFK Radiant mainform version 1.0.1 *) +(***************************************) +(* Created by Neoff *) +(* mail : neoff@fryazino.net *) +(* site : http://tfk.mirgames.ru *) +(***************************************) + +{пояснения: в этом модуле основной код выделения, перемещения и ресайза объектов... +только вместо объектов используются ТОЧКИ созданные объектами при create и забытые +ими на всю оставшуюся жизнь до удаления обеъекта :) один объект имеет одну точку за которую +можно потянуть его вместе с другими объектами +и несколько точек которые не выдерживают использования с другими объектами. } + +interface + +uses Classes, LightMap_Lib, Graphics; + + {Эксперимент закончился провалом: вместе сделать psSelective и psAlways сложновато, + а вот если подавать вместо lastx и lasty их изменения... а это идея!!!!!!} + {ptPixel - точка - пиксель. иначе она меняется по брикам} + {ВСЕ РАБОТАЕТ, МОДУЛЬ ПРОСТО СУПЕР!!!!!} +type + TPointType = ( ptSelective, ptDefault, ptNoCopy, + ptAction1, ptAction2, ptAction3, ptAlways, ptPixel, + ptInvisible, ptLink, + ptMove, ptLeft, ptTop, ptRight, ptBottom, //различие по действию + ptLeftAlign, ptTopAlign, ptRightAlign, ptBottomAlign + ); + TPointTypeSet = set of TPointType; + + TLightPointType = (plCenter, plLeft, plTop, plRight, plBottom); + + TLightPointTypeSet = set of TLightPointType; +const + xtypes :TPointTypeSet= [ptMove, ptLeft, ptRight]; + ytypes :TPointTypeSet= [ptMove, ptTop, ptBottom]; + ActionTypes :TPointTypeSet= [ptAction1, ptAction2, ptAction3]; + + LeftPoint: TPointTypeSet= [ptLeft, ptLeftAlign, ptTopAlign, ptBottomAlign]; + RightPoint: TPointTypeSet= [ptRight, ptRightAlign, ptTopAlign, ptBottomAlign]; + TopPoint: TPointTypeSet= [ptTop, ptLeftAlign, ptRightAlign, ptTopAlign]; + BottomPoint: TPointTypeSet= [ptBottom, ptLeftAlign, ptRightAlign, ptBottomAlign]; + + LeftTopPoint: TPointTypeSet= [ptLeft, ptTop, ptLeftAlign, ptTopAlign]; + RightTopPoint: TPointTypeSet= [ptRight, ptTop, ptRightAlign, ptTopAlign]; + LeftBottomPoint: TPointTypeSet= [ptLeft, ptBottom, ptLeftAlign, ptBottomAlign]; + RightBottomPoint: TPointTypeSet= [ptRight, ptBottom, ptRightAlign, ptBottomAlign]; + + CenterPoint: TPointTypeSet= [ptSelective, ptDefault, ptMove, ptLeftAlign, ptTopAlign, ptRightAlign, ptBottomAlign]; + + ActionPoint1: TPointTypeSet= [ptAction1, ptLeftAlign, ptTopAlign, ptRightAlign, ptBottomAlign]; + ActionPoint2: TPointTypeSet= [ptAction2, ptLeftAlign, ptTopAlign, ptRightAlign, ptBottomAlign]; + ActionPoint3: TPointTypeSet= [ptAction3, ptLeftAlign, ptTopAlign, ptRightAlign, ptBottomAlign]; + LinkPoint: TPointTypeSet= [ptLink, ptSelective, ptDefault, ptMove, ptLeftAlign, ptTopAlign, ptRightAlign, ptBottomAlign]; + +type + TClickPoint = class; + + TCustomCPObj = + class + mainpoint: TClickPoint; + + protected + function GetX: word;virtual;abstract; + function GetY: word;virtual;abstract; + function GetWidth: word;virtual;abstract; + function GetHeight: word;virtual;abstract; + public + function SetX(Value: integer): integer;virtual;abstract; + function SetY(Value: integer): integer;virtual;abstract; + function SetLeftX(Value: integer): integer;virtual;abstract; + function SetTopY(Value: integer): integer;virtual;abstract; + function SetWidth(Value: integer): integer;virtual;abstract; + function SetHeight(Value: integer): integer;virtual;abstract; + // + property x: word read GetX; + property y: word read GetY; + property width: word read GetWidth; + property height: word read GetHeight; + // + procedure Action1(sender:TClickPoint; x, y: integer);virtual; + procedure Action2(sender:TClickPoint; x, y: integer);virtual; + procedure Action3(sender:TClickPoint; x, y: integer);virtual; + procedure ActionLink(LinkObj: TCustomCPObj);virtual; + end; + + TClickPoint = + class + constructor Create(Obj_: TCustomCPObj; ptype:TPointTypeSet; x1, y1: integer; + color: TColor=clAqua); + private + procedure SetX(const Value: integer); + procedure SetY(const Value: integer); + function Getx: integer; + function Gety: integer; + function GetChanged: boolean; + protected + fx, fy: integer; + ftype: TPointTypeSet; + fchanged: boolean; + fObj: TCustomCPObj; + + flastx, flasty, dflastx, dflasty: integer; + //последнее выделение и изменение координат за это время. + fColor: TColor; + public + property X: integer read Getx write SetX;//В ПИКСЕЛЯХ + property Y: integer read Gety write SetY;//В ПИКСЕЛЯХ + property Changed: boolean read GetChanged write fchanged; + property Obj: TCustomCPObj read fObj; + property pType: TPointTypeSet read ftype; + procedure ChangeFXY(x1, y1: integer); + //расширение библиотеки + property Color:TColor read fcolor; + procedure Select(x1, y1: integer); + procedure UnSelect; + end; + +type +//а теперь кликпойнты для света ;)) + TLightClickPoint = class + constructor Create(Obj_: TLightObj; ptype:TLightPointTypeSet); + private + procedure SetX(const Value: integer); + procedure SetY(const Value: integer); + function Getx: integer; + function Gety: integer; + function GetColor: TColor; + + function Diagonal: boolean; + protected + fx, fy: integer; + ftype: TLightPointTypeSet; + lastx, lasty, dlastx, dlasty: integer; + //последнее выделение и изменение координат за это время. + public + Obj: TLightObj; + property X: integer read Getx write SetX;//В ПИКСЕЛЯХ + property Y: integer read Gety write SetY;//В ПИКСЕЛЯХ + property pType: TLightPointTypeSet read ftype; + procedure ChangeFXY(x1, y1: integer); + //расширение библиотеки + property Color:TColor read GetColor; + procedure Select(x1, y1: integer); + procedure UnSelect; + end; + + +type + TClickPoints = array of TClickPoint; + TObjects = array of TCustomCPObj; + +//А теперь список кликпойнтов и процедуры работы с ними :) + +function AddPoint(Obj: TCustomCPObj; ptype:TPointTypeSet; x1, y1: integer; color: TColor=clAqua): TClickPoint; +procedure DeletePoints(Obj: TCustomCPObj); +function GetPoint(ind: integer): TClickPoint; +function GetPointByXY(x, y: integer; nextpoint: boolean; onlyselective: boolean=false; links: boolean=false): TClickPoint; +function GetPointsCount: integer; +procedure ClearPoints; + +function GetPointsInRect(x1, y1, x2, y2: integer; links: boolean=false): TClickPoints;//ONLY SELECTIVE!!!! +function IsPointInXY(x, y: integer; cp: TClickPoints): TClickPoint; + +procedure SelectPoints(x, y: integer; cp: TClickPoints); +function MovePoints(x, y: integer; cp: TClickPoints): boolean; +procedure UnSelectPoints(cp: TClickPoints); + +function IsSelectedPoint(p: TClickPoint; cp: TClickpoints): boolean; +function SelectedObjs(cp: TClickPoints): TObjects; +function IsSelectedObj(obj: TCustomCPObj; cp: TClickPoints): boolean; +procedure TogglePoint(p: TClickPoint;var cp: TClickpoints); + + +function AddLPoint(Light: TLightObj; ptype:TLightPointTypeSet): TLightClickPoint; +procedure DeleteLPoints(Obj: TLightObj); +function GetLPoint(ind: integer): TLightClickPoint; +function GetLPointByXY(x, y: integer): TLightClickPoint; +function GetLPointsCount: integer; +procedure ClearLPoints; + +implementation + +uses Math; + +var + ClickPoints: TList; + LClickPoints: TList; + +function AddPoint(Obj: TCustomCPObj; ptype:TPointTypeSet; x1, y1: integer; Color: TColor=clAqua): TClickPoint; +begin + Result:=TClickPoint.Create(Obj, ptype, x1, y1, color); + ClickPoints.Add(Result); + if ptDefault in ptype then + Obj.mainpoint:=Result; +end; + +procedure DeletePoints(Obj: TCustomCPObj); +var + i: integer; +begin + for i:=ClickPoints.Count-1 downto 0 do + if TClickPoint(ClickPoints[i]).Obj=Obj then + begin + TClickPoint(ClickPoints[i]).Free; + ClickPoints.Delete(i); + end; +end; + +function GetPoint(ind: integer): TClickPoint; +begin + Result:=TClickPoint(ClickPoints[ind]); +end; + + +function GetPointsCount: integer; +begin + Result:=ClickPoints.Count; +end; + +procedure ClearPoints; +var + i: integer; +begin + for i:=0 to ClickPoints.Count-1 do + TClickPoint(ClickPoints[i]).Free; + ClickPoints.Clear; +end; + +function GetPointByXY(x, y: integer; nextpoint: boolean; onlyselective: boolean=false; links: boolean=false): TClickPoint; +const + pw = 3; + ph = 3; + +var + i, first, second: integer; + cp: TClickPoint; +begin + first:=-1;second:=-1; + for i:=0 to ClickPoints.Count-1 do + begin + cp:=GetPoint(i); + if (not onlyselective or (ptSelective in cp.pType)) and + (abs(x-cp.X)<=pw) and + (abs(y-cp.y)<=ph) and + (links=(ptLink in cp.pType)) then + if first=-1 then + begin + first:=i; + if not nextpoint then Break; + end + else + begin + second:=i; + Break; + end; + end; + //если ничего не нашли так, то ищем в следующем цикле, default-точки. + if (first=-1) or nextpoint and (second=-1) then + for i:=0 to ClickPoints.Count-1 do + begin + cp:=GetPoint(i); + if (ptDefault in cp.pType) and + (x>=cp.Obj.x*32) and (x<=(cp.Obj.x+cp.Obj.width)*32) and + (y>=cp.Obj.y*16) and (y<=(cp.Obj.y+cp.Obj.height)*16) and + (links=(ptLink in cp.pType)) + then + if first=-1 then + begin + first:=i; + if not nextpoint then Break; + end + else + begin + second:=i; + Break; + end; + end; + + Result:=nil; + if first>-1 then + if second=-1 then Result:=GetPoint(first) + else + begin + Result:=GetPoint(second); + ClickPoints.Move(second, first); + end; +end; + +function GetPointsInRect(x1, y1, x2, y2: integer; links: boolean): TClickPoints;//ONLY SELECTIVE!!!! +var + i, j: integer; + cp: TClickPoint; +begin + j:=0; + Result:=nil; + //сначала считаем кол-во пойнтов + for i:=0 to ClickPoints.Count-1 do + begin + cp:=GetPoint(i); + if (ptSelective in cp.pType) and + (cp.x>=x1-3) and (cp.x<=x2+3) and + (cp.y>=y1-3) and (cp.y<=y2+3) and + (links=(ptLink in cp.pType)) then + inc(j); + end; + //а уже потом заполняем массив + if j=0 then Exit; + SetLength(Result, j); + j:=0; + for i:=0 to ClickPoints.Count-1 do + begin + cp:=GetPoint(i); + if (ptSelective in cp.pType) and + (cp.x>=x1-3) and (cp.x<=x2+3) and + (cp.y>=y1-3) and (cp.y<=y2+3) and + (links=(ptLink in cp.pType)) then + begin + Result[j]:=cp; + inc(j); + end; + end; + SetLength(Result, j); +end; + +function IsPointInXY(x, y: integer; cp: TClickPoints): TClickPoint; +var + i: integer; +begin + Result:=nil; + if cp<>nil then + for i:=Low(cp) to High(cp) do + if (abs(cp[i].x-x)<=3) and + (abs(cp[i].y-y)<=3) then + begin + Result:=cp[i]; + Break; + end; +end; + +procedure SelectPoints(x, y: integer; cp: TClickPoints); +var + i: integer; +begin + if cp<>nil then + for i:=low(cp) to high(cp) do + cp[i].Select(x, y); +end; + +function MovePoints(x, y: integer; cp: TClickPoints): boolean; +var + i: integer; +begin + Result:=false; + if cp<>nil then + for i:=low(cp) to high(cp) do + begin + cp[i].x:=x; + cp[i].y:=y; + Result:=Result or cp[i].changed; + end; +end; + +procedure UnSelectPoints(cp: TClickPoints); +var + i: integer; +begin + if cp<>nil then + for i:=low(cp) to high(cp) do + cp[i].UnSelect; +end; + +function IsSelectedPoint(p: TClickPoint; cp: TClickpoints): boolean; +var + i: integer; +begin + Result:=false; + if (p<>nil) and (cp<>nil) then + for i:=Low(cp) to High(cp) do + if cp[i]=p then + begin + Result:=true; + Break; + end; +end; + +function SelectedObjs(cp: TClickPoints): TObjects; +var + i: integer; +begin +//пусть у нас не может быть одинаковых объектов у двух точек :))) + Result:=nil; + if cp<>nil then + begin + SetLength(Result, High(cp)+1); + for i:=Low(Result) to High(Result) do + Result[i]:=cp[i].Obj; + end; +end; + +function IsSelectedObj(obj: TCustomCPObj; cp: TClickPoints): boolean; +var + i: integer; +begin + Result:=false; + if cp<>nil then + for i:=Low(cp) to High(cp) do + if cp[i].Obj=obj then + begin + Result:=true; + Break; + end; +end; + +procedure TogglePoint(p: TClickPoint;var cp: TClickpoints); +var + i, j: integer; +begin + if p<>nil then + begin + for i:=Low(cp) to High(cp) do + if cp[i]=p then + begin + for j:=i to High(cp)-1 do + cp[j]:=cp[j+1]; + Exit; + end; + if cp<>nil then + SetLength(cp, High(cp)+2) + else SetLength(cp, 1); + cp[High(cp)]:=p; + end; +end; + +function AddLPoint(Light: TLightObj; ptype:TLightPointTypeSet): TLightClickPoint; +begin + Result:=TLightClickPoint.Create(Light, ptype); + LClickPoints.Add(Result); + Light.centerpoint:=Result; +end; + +procedure DeleteLPoints(Obj: TLightObj); +var + i: integer; +begin + for i:=LClickPoints.Count-1 downto 0 do + if TLightClickPoint(LClickPoints[i]).Obj=Obj then + begin + TLightClickPoint(LClickPoints[i]).Free; + LClickPoints.Delete(i); + end; +end; + +function GetLPoint(ind: integer): TLightClickPoint; +begin + Result:=TLightClickPoint(LClickPoints[ind]); +end; + +function GetLPointByXY(x, y: integer): TLightClickPoint; +const + pw = 3; + ph = 3; +var + i: integer; + cp: TLightClickPoint; +begin + result:=nil; + for i:=0 to LClickPoints.Count-1 do + begin + cp:=GetLPoint(i); + if (abs(x-cp.X)<=pw) and + (abs(y-cp.y)<=ph) then + begin + Result:=cp; + Exit; + end; + end; + for i:=0 to LClickPoints.Count-1 do + begin + cp:=GetLPoint(i); + if (plCenter in cp.pType) and + (sqr(x-cp.X)+sqr(y-cp.Y)<=sqr(cp.obj.Radius)) then + begin + Result:=cp; + Exit; + end; + end; +end; + +function GetLPointsCount: integer; +begin + Result:=LClickPoints.Count; +end; + +procedure ClearLPoints; +var + i: integer; +begin + for i:=0 to LClickPoints.Count-1 do + TLightClickPoint(LClickPoints[i]).Free; + LClickPoints.Clear; +end; + +{ TClickPoint } + +procedure TClickPoint.ChangeFXY(x1, y1: integer); +begin + fchanged:=fchanged or (fx<>x1) or (fy<>y1); + fx:=x1;fy:=y1; +end; + +constructor TClickPoint.Create(Obj_: TCustomCPObj; ptype: TPointTypeSet; + x1, y1: integer; color: TColor=clAqua); +begin + fObj:=Obj_; + ftype:=ptype; + fx:=x1;fy:=y1; + fchanged:=false; + fcolor:=color; +end; + +function TClickPoint.GetChanged: boolean; +begin + Result := fchanged; + fchanged:=false; +end; + +function TClickPoint.Getx: integer; +begin + Result:=fx; + if ptLeftAlign in ftype then + if ptRightAlign in ftype then Result:=fObj.x*32+fObj.width*16+fx + else Result:=fx+fObj.x*32 + else if ptRightAlign in ftype then Result:=(fObj.x+fObj.width)*32+fx; +end; + +function TClickPoint.Gety: integer; +begin + Result:=fy; + if ptTopAlign in ftype then + if ptBottomAlign in ftype then Result:=fObj.y*16+fObj.height*8+fy + else Result:=fy+fObj.y*16 + else if ptBottomAlign in ftype then Result:=(fObj.y+fObj.height)*16+fy; +end; + +procedure TClickPoint.Select(x1, y1: integer); +begin + flastx:=x1; + flasty:=y1; +end; + +procedure TClickPoint.SetX(const Value: integer); +var + delta, delta1: integer; +begin + delta:=Value-flastx; + if ptPixel in pType then + begin + flastx:=value; + dflastx:=delta; + if delta<>0 then + if ptAlways in fType then Unselect; + end else + begin + delta1:=sign(delta)*((abs(delta)+16) div 32); + //координаты объекта всегда меняются дискретно, по брикам + if (ptMove in ftype) then delta1:=fObj.SetX(fObj.x+delta1); + if (ptLeft in ftype) then delta1:=fObj.SetLeftX(fObj.x+delta1); + if (ptRight in ftype) then fObj.SetWidth(fObj.width+delta1); + if delta1<>0 then + begin + fchanged:=true; + flastx:=flastx+delta1*32; + dflastx:=delta1; + if ptAlways in fType then + Unselect; + end; + end; +end; + +procedure TClickPoint.SetY(const Value: integer); +var + delta, delta1 : integer; +begin + delta:=Value-flasty; + if ptPixel in pType then + begin + flasty:=Value; + dflasty:=delta; + if delta<>0 then + if ptAlways in fType then Unselect; + end else + begin + delta1:=sign(delta)*((abs(delta)+8) div 16); + //координаты объекта всегда меняются дискретно, по брикам + if (ptMove in ftype) then delta1:=fObj.SetY(fObj.y+delta1); + if (ptTop in ftype) then delta1:=fObj.SetTopY(fObj.y+delta1); + if (ptBottom in ftype) then fObj.SetHeight(fObj.height+delta1); + if delta1<>0 then + begin + fchanged:=true; + flasty:=flasty+delta1*16; + dflasty:=delta1; + if ptAlways in fType then + Unselect; + end; + end; +end; + +procedure TClickPoint.UnSelect; +begin + fchanged:=true; + //если связан с каким-нибудь action'ом то вызвать его + if ptAction1 in pType then + fObj.Action1(self, dflastx, dflasty); + if ptAction2 in pType then + fObj.Action2(self, dflastx, dflasty); + if ptAction3 in pType then + fObj.Action3(self, dflastx, dflasty); + dflastx:=0; + dflasty:=0; +end; + +{ TLightClickPoint } + +procedure TLightClickPoint.ChangeFXY(x1, y1: integer); +var + dx, dy: integer; + c: double; +begin + dx:=x1-lastx;dy:=y1-lasty; + if Diagonal then C:=Sqrt(2)/2 + else C:=1; + if plCenter in ftype then + begin + dx:=Obj.SetX(Obj.X+dx); + dy:=Obj.SetY(Obj.Y+dy); + end else + if plLeft in ftype then + begin + dx:=-Obj.SetRadius(Obj.Radius-round(dx*c)); + dy:=0; + end else + if plRight in ftype then + begin + dx:=Obj.SetRadius(Obj.Radius+round(dx*c)); + dy:=0; + end else + if plTop in ftype then + begin + dy:=-Obj.SetRadius(Obj.Radius-round(dy*c)); + dx:=0; + end else + if plBottom in ftype then + begin + dy:=Obj.SetRadius(Obj.Radius+round(dy*c)); + dx:=0; + end; + lastx:=lastx+dx; + lasty:=lasty+dy; +end; + +constructor TLightClickPoint.Create(Obj_: TLightObj; ptype:TLightPointTypeSet); +begin + Obj:=Obj_; + ftype:=ptype; +end; + +function TLightClickPoint.Diagonal: boolean; +begin + Result:=([plTop, plBottom]*fType<>[]) and + ([plLeft, plRight]*fType<>[]); +end; + +function TLightClickPoint.GetColor: TColor; +begin + Result:=clWhite; +end; + +function TLightClickPoint.Getx: integer; +var + rad: integer; +begin + Result:=Obj.X; + rad:=Obj.Radius; + if Diagonal then + rad:=round(sqrt(2)/2*rad); + if plLeft in ftype then + Result:=Obj.X-Rad + else if plRight in ftype then + Result:=Obj.X+Rad; +end; + +function TLightClickPoint.Gety: integer; +var + rad: integer; +begin + Result:=Obj.Y; + rad:=Obj.Radius; + if Diagonal then + rad:=round(sqrt(2)/2*rad); + if plTop in ftype then + Result:=Obj.Y-Rad + else if plBottom in ftype then + Result:=Obj.Y+Rad; +end; + +procedure TLightClickPoint.Select(x1, y1: integer); +begin + lastx:=x1;lasty:=y1; +end; + +procedure TLightClickPoint.SetX(const Value: integer); +begin + ChangeFXY(Value, lasty); +end; + +procedure TLightClickPoint.SetY(const Value: integer); +begin + ChangeFXY(lastx, Value); +end; + +procedure TLightClickPoint.UnSelect; +begin + +end; + + +{ TCustomCPObj } + +procedure TCustomCPObj.Action1(sender: TClickPoint; x, y: integer); +begin + +end; + +procedure TCustomCPObj.Action2(sender: TClickPoint; x, y: integer); +begin + +end; + +procedure TCustomCPObj.Action3(sender: TClickPoint; x, y: integer); +begin + +end; + +procedure TCustomCPObj.ActionLink(LinkObj: TCustomCPObj); +begin + +end; + +initialization + ClickPoints:=TList.Create; + LClickPoints:=TList.Create; +end. diff --git a/Radiant_037b/Constants_Lib.pas b/Radiant_037b/Constants_Lib.pas new file mode 100644 index 0000000..1a992c7 --- /dev/null +++ b/Radiant_037b/Constants_Lib.pas @@ -0,0 +1,95 @@ +unit Constants_Lib; + +interface + +//jumppad :) +const + Jump1 = 4.0; + Jump2 = 5.0; + +const +//ID-шники всех итемов и оружия + shotgun_ID = 2; + grenade_ID = 3; + rocket_ID = 4; + shaft_ID = 5; + railgun_ID = 6; + plasma_ID = 7; + BFG_ID = 8; + + Shard_ID = 16; + Armor50_ID = 17; + Armor100_ID = 18; + + Health5_ID = 19; + Health25_ID = 20; + Health50_ID = 21; + Health100_ID = 22; + + REGEN_ID = 23; + BATTLESUIT_ID = 24; + HASTE_ID = 25; + QUAD_ID = 26; + FLIGHT_ID = 27; + INV_ID = 28; + +const + Healthes : array [Health5_ID..Health100_ID] of word = + (5, 25, 50, 100); + Armors : array [Shard_ID..Armor100_ID] of word = + (5, 50, 100); + HealthWait: array [Health5_ID..Health100_ID] of word = + (1000, 1000, 1500, 3000); + ArmorWait : array [Shard_ID..Armor100_ID] of word = + (1000, 1500, 1500); +const + PlayerMaxHealth1 = 100; + PlayerMaxHealth2 = 200; + + PlayerMaxArmor1 = 100; + PlayerMaxArmor2 = 200; + + HealthTickerWait = 50; + SwitchTickerWait = 10;//смена оружия ПОЛНАЯ +{ SwitchTicker2Wait = 5;//смена оружия - след. оружие} + +const + WPN_Count = 9; + +type + TWPNArray = array [0.. WPN_Count-1] of word; + +const + //XProger: оружие + WPN_GAUNTLET = 0; + WPN_MACHINEGUN = 1; + WPN_SHOTGUN = 2; + WPN_GRENADE = 3; + WPN_ROCKET = 4; + WPN_LIGHTING = 5; + WPN_RAILGUN = 6; + WPN_PLASMA = 7; + WPN_BFG = 8; + + WPN_AMMO = 8; + +const + + WPN_Wait : TWPNArray= + (0, 1000, 1000, 1000, 1000, 1500, 1000, 1000, 1500); + Ammo_Wait = 1000; + + Def_Ammo : TWpnArray = + (1, 100, 10, 10, 10, 120, 10, 50, 20); + Ammo_Box: TWpnArray = + (0, 50, 5, 5, 5, 60, 5, 25, 10); + Max_Ammo: TWpnArray = + (0, 200, 100, 100, 100, 200, 100, 100, 100); + + + PowerUp_Wait = 5000; + PowerUp_StartTime = 2600; + +implementation + +end. diff --git a/Radiant_037b/Generate_Lib.pas b/Radiant_037b/Generate_Lib.pas new file mode 100644 index 0000000..ea7f2ed --- /dev/null +++ b/Radiant_037b/Generate_Lib.pas @@ -0,0 +1,293 @@ +unit Generate_Lib; + +interface + +uses Constants_Lib; + +const +//ЦЕНЫ на оружие: + WPN_Costs :TWPNArray = + (0, 10, 20, 30, 35, 40, 60, 70, 120); + Heal_costs : array [Health5_ID..Health100_ID] of word = + (5, 25, 50, 100); + +type + TRoom = + class + constructor Create(fx1, fy1, fx2, fy2: integer); + private + function GetHeight: integer; + function Getwidth: integer; + procedure SetHeight(const Value: integer); + procedure SetWidth(const Value: integer); + protected + yy: array [0..30] of integer;//массив уровня... + public + x1, y1, x2, y2: integer; + brick: integer; + prevroom, nextroom: TRoom; + property Width: integer read Getwidth write SetWidth; + property Height: integer read GetHeight write SetHeight; + + procedure SplitH(minw, maxw: integer); + procedure SplitV(minh, maxh: integer); + + procedure FillPrepare; + procedure FillSurf; + procedure Fill; + end; + +var + rooms: array [1..100000] of TRoom; + rc: integer; + +function AddRoom(r: TRoom): TRoom; +procedure RDelete(i: integer); +procedure RClear; + +implementation + +uses Main, MapObj_Lib, TFKEntries; + +function AddRoom(r: TRoom): TRoom; +begin + Inc(rc); + rooms[rc]:=r; + result:=r; +end; + +procedure RDelete(i: integer); +begin +end; + +procedure RClear; +var + i: integer; +begin + for i:=1 to rc do rooms[i].Free; + rc:=0; +end; + +//функции помощи в постановке предметов +function PlaceWeapon(x, y: integer; MaxCost: integer): integer; +var + i, m: integer; + struct: TMapObjStruct; +begin + for m:=1 to 8 do + if WPN_Costs[m]>MaxCost then + break; + i:=random(m)+1; + Result:=i; + if i>0 then + begin + FillChar(struct, sizeof(struct), 0); + struct.width:=1; + struct.height:=1; + struct.x:=x; + struct.y:=y; + struct.weaponID:=i; + if i>1 then + struct.ObjType:=otWeapon + else + struct.ObjType:=otAmmo; + Map.Obj.Add(struct).SetDefValues; + end; +end; + +procedure PlaceAmmo(x, y: integer; wpn: integer); +var + struct: TMapObjStruct; +begin + FillChar(struct, sizeof(struct), 0); + struct.width:=1; + struct.height:=1; + struct.x:=x; + struct.y:=y; + struct.weaponID:=wpn; + struct.ObjType:=otAmmo; + Map.Obj.Add(struct).SetDefValues; +end; + +procedure PlaceJumpPad(x, y, height: integer); +var + struct: TMapObjStruct; +begin + FillChar(struct, sizeof(struct), 0); + struct.width:=1; + struct.height:=1; + struct.x:=x; + struct.y:=y; + struct.ObjType:=otJumpPad; + if Height>5 then + struct.jumpspeed:=5.0 + else struct.jumpspeed:=4.0; + Map.Obj.Add(struct).SetDefValues; +end; + +procedure PlaceRespawn(x, y: integer); +var + struct: TMapObjStruct; +begin + FillChar(struct, sizeof(struct), 0); + struct.width:=1; + struct.height:=1; + struct.x:=x; + struct.y:=y; + struct.ObjType:=otRespawn; + struct.orient:=0; + Map.Obj.Add(struct).SetDefValues; +end; + +procedure PlaceTeleport(x1, y1, x2, y2: integer); +var + struct: TMapObjStruct; +begin + FillChar(struct, sizeof(struct), 0); + struct.width:=1; + struct.height:=1; + struct.x:=x1; + struct.y:=y1; + struct.gotox:=x2; + struct.gotoy:=y2; + struct.ObjType:=otTeleport; + struct.orient:=0; + Map.Obj.Add(struct).SetDefValues; +end; + +function PlaceHealth(x, y, maxcost: integer): integer; +var + struct: TMapObjStruct; + i, m: integer; +begin + FillChar(struct, sizeof(struct), 0); + struct.width:=1; + struct.height:=1; + struct.x:=x; + struct.y:=y; + struct.ObjType:=otHealth; + for m:=Health5_ID to Health100_ID do + if heal_costs[m]>maxcost then + Break; + i:=random(m-Health5_ID)+Health5_ID; + struct.itemID:=i; + if m>Health5_ID then + Map.Obj.Add(struct).SetDefValues + else i:=0; + Result:=i; +end; + + +{ TRoom } + +constructor TRoom.Create(fx1, fy1, fx2, fy2: integer); +begin + x1:=fx1;y1:=fy1;x2:=fx2;y2:=fy2; +end; + +procedure TRoom.Fill; +var + j: integer; +begin +//заполняем - ставим одно оружие, респаун и телепорт в след. комнату + with Map do + begin + if nextroom<>nil then + PlaceTeleport(x2, y2-yy[x2-x1], nextroom.x1+2, nextroom.y2); + if prevroom<>nil then + PlaceTeleport(x1+1, y2-yy[1], prevroom.x2-1, prevroom.y2); + //теперь респаун, если ширина позволяет + if Self.Width>3 then + begin + if random(4)>1 then + begin + j:=x1+Self.Width div 2; + PlaceRespawn(j, y2-yy[j-x1]); + end; + j:=x1+Self.Width div 2+random(3)-1; + if random(3)>0 then + PlaceWeapon(j, y2-yy[j-x1], 100) + else + PlaceHealth(j, y2-yy[j-x1], 100); + end; + end; +end; + +procedure TRoom.FillPrepare; +begin + yy[0]:=0; + yy[width-1]:=0; +end; + +procedure TRoom.FillSurf; +var + maxh: integer; + i, j: integer; +begin + maxh:=height-4; + if maxh>2 then maxh:=2; + if random(3)>1 then maxh:=1; + //заполняем Surface; + for i:=1 to width-2 do + yy[i]:=random(maxh); + //теперь переходим к заливу бриков + with Map do + for i:=x1 to x2 do + for j:=0 to yy[i-x1]-1 do + begin + brk[i, y2-j]:=brick; + brk.blocked[i, y2-j]:=true; + end; +end; + +function TRoom.GetHeight: integer; +begin + Result:=y2-y1+1; +end; + +function TRoom.Getwidth: integer; +begin + Result:=x2-x1+1; +end; + +procedure TRoom.SetHeight(const Value: integer); +begin + y2:=y1+Value-1; +end; + +procedure TRoom.SetWidth(const Value: integer); +begin + x2:=x1+Value-1; +end; + +procedure TRoom.SplitH(minw, maxw: integer); +var + max, w: integer; +begin + while Width>(minw+maxw) div 2 do + begin + max:=Width-minw; + if max>maxw then max:=maxw; + if max(minh+maxh) div 2 do + begin + max:=Height-minh; + if max>maxh then max:=maxh; + if max300 then + Value:=300; + Result:=value-radius; + radius:=value; + end; +end; + +function TLightObj.SetX(Value: integer): integer; +begin + with struct do + begin + if Value<0 then + Value:=0; + Result:=value-x; + pos.x:=value; + end; +end; + +function TLightObj.SetY(Value: integer): integer; +begin + with struct do + begin + if Value<0 then + Value:=0; + Result:=value-y; + pos.y:=value; + end; +end; + +{ TLightsEntry } + +function TLightsEntry.Add(struct: TLightObjStruct): TLightObj; +begin + Result:=TLightObj.Create(struct); + objs.Add(result); +end; + +function TLightsEntry.Count: integer; +begin + Result:=objs.Count; +end; + +constructor TLightsEntry.Create(Head_: TEntryHead; var F: File); +var + i: integer; + struct: PLightObjStruct; + +begin + inherited Create(head_, F); + Objs:=TList.Create; + for i:=0 to head_.size div SizeOf(TLightObjStruct)-1 do + begin + struct:=@buf[i*SizeOf(TLightObjStruct)]; + Add(struct^); + end; +end; + +constructor TLightsEntry.Create; +begin + inherited Create; + Objs:=TList.Create; +end; + +procedure TLightsEntry.Delete(ind: integer); +begin + if (ind>=0) and (ind0 do + Delete(0); + inherited; +end; + +class function TLightsEntry.EntryClassName: TEntryClassName; +begin + Result:='LightsV1'; +end; + +function TLightsEntry.GetHead: TEntryHead; +begin + fhead.EntryClass:=EntryClassName; + fhead.size:=Count*SizeOf(TLightObjStruct); + Result:=fhead; +end; + +function TLightsEntry.GetObj(i: integer): TLightObj; +begin + Result:=TLightObj(Objs[i]); +end; + +function TLightsEntry.IndexOf(obj_: TLightObj): integer; +begin + Result:=Objs.IndexOf(obj_); +end; + +procedure TLightsEntry.WriteToFile(var F: File); +var + i: integer; + struct:TLightObjStruct; +begin + GetHead; + BlockWrite(f, fhead, SizeOf(fhead)); + + for i:=0 to Count-1 do + begin + struct:=Obj[i].Struct; + BlockWrite(f, struct, SizeOf(struct)); + end; +end; + +{ TLightMapEntry } + +procedure TLightMapEntry.Clear; +begin + +end; + +constructor TLightMapEntry.Create(OwnerMap: TCustomMap); +begin + Map:=OwnerMap; + fhead.size:=0; + fhead.EntryClass:=EntryClassName; + fhead.Version:=1; + //ТУТ ТЫ ГЕНЕРИРУЕШЬ лайтмапу, по данной Map + // + with TTFKMap(Map) do + begin + //используй объект Brk :) + //текстуры можешь взять из модуля Main... + //MainForm.CustomBox - первые CustomBox.Count текстур. + //MainForm.Box1 - стандартные, т.е. остальные ;)) + end; + +end; + +class function TLightMapEntry.EntryClassName: TEntryClassName; +begin + Result:='LightMapV1'; +end; + +procedure TLightMapEntry.WriteToFile(var F: File); +begin + inherited; +//здесь ты пишешь генерированную лайтмапу +// +end; + +end. diff --git a/Radiant_037b/MapGen.ddp b/Radiant_037b/MapGen.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/MapGen.ddp differ diff --git a/Radiant_037b/MapGen.dfm b/Radiant_037b/MapGen.dfm new file mode 100644 index 0000000..4cefca9 --- /dev/null +++ b/Radiant_037b/MapGen.dfm @@ -0,0 +1,96 @@ +object GenMapFrm: TGenMapFrm + Left = 300 + Top = 176 + Width = 291 + Height = 249 + Caption = 'TFK Map Generator' + Color = clBtnFace + Font.Charset = DEFAULT_CHARSET + Font.Color = clWindowText + Font.Height = -11 + Font.Name = 'MS Sans Serif' + Font.Style = [] + OldCreateOrder = False + PixelsPerInch = 96 + TextHeight = 13 + object Panel1: TPanel + Left = 0 + Top = 0 + Width = 283 + Height = 126 + Align = alClient + BevelOuter = bvNone + TabOrder = 0 + object Label5: TLabel + Left = 7 + Top = 36 + Width = 31 + Height = 13 + Caption = 'Height' + end + object Label4: TLabel + Left = 10 + Top = 12 + Width = 28 + Height = 13 + Caption = 'Width' + end + object RunBtn: TButton + Left = 16 + Top = 64 + Width = 81 + Height = 25 + Caption = 'Generate Map' + TabOrder = 0 + OnClick = RunBtnClick + end + object HeightUD: TUpDown + Left = 113 + Top = 32 + Width = 16 + Height = 21 + Associate = HeightEd + Min = 30 + Max = 1023 + Position = 30 + TabOrder = 1 + end + object WidthUD: TUpDown + Left = 113 + Top = 8 + Width = 16 + Height = 21 + Associate = WidthEd + Min = 20 + Max = 1023 + Position = 20 + TabOrder = 2 + end + object WidthEd: TEdit + Left = 48 + Top = 8 + Width = 65 + Height = 21 + TabOrder = 3 + Text = '20' + end + object HeightEd: TEdit + Left = 48 + Top = 32 + Width = 65 + Height = 21 + TabOrder = 4 + Text = '30' + end + end + object OutMemo: TMemo + Left = 0 + Top = 126 + Width = 283 + Height = 89 + Align = alBottom + Lines.Strings = ( + 'Ready') + TabOrder = 1 + end +end diff --git a/Radiant_037b/MapGen.pas b/Radiant_037b/MapGen.pas new file mode 100644 index 0000000..d7f34f0 --- /dev/null +++ b/Radiant_037b/MapGen.pas @@ -0,0 +1,146 @@ +unit MapGen; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, StdCtrls, ExtCtrls, ComCtrls; + +type + TGenMapFrm = class(TForm) + Panel1: TPanel; + OutMemo: TMemo; + RunBtn: TButton; + HeightUD: TUpDown; + WidthUD: TUpDown; + WidthEd: TEdit; + HeightEd: TEdit; + Label5: TLabel; + Label4: TLabel; + procedure RunBtnClick(Sender: TObject); + private + { Private declarations } + public + { Public declarations } + end; + +var + GenMapFrm: TGenMapFrm; + +implementation + +uses Main, Generate_Lib; + +{$R *.dfm} + +procedure TGenMapFrm.RunBtnClick(Sender: TObject); +var + i, j: integer; + brick: integer; + a, b: array [1..10000] of integer; + + procedure QSort(l, h: integer); + var + i, j, t: integer; + x: integer; + begin + i:=l;j:=h; + if i>j then Exit; + x:=a[(l+h) div 2]; + while (i<=j) do + if a[i]x then Dec(j) + else + begin + t:=a[i]; + a[i]:=a[j]; + a[j]:=t; + t:=b[i]; + b[i]:=b[j]; + b[j]:=t; + Inc(i);Dec(j); + end; + if j>l then QSort(l, j); + if ibutton object->respawn object + basic object->jumppad object +} + +uses + MyEntries, Windows, ClickPs; + +const + ObjCaseSize = 54; + NULLTARGET = 65535; + +type + TObjType = (otNone, otRespawn, otJumpPad, + otArmor, otHealth, otPowerUp, otWeapon, otAmmo, + otTeleport, otButton, oTNFKDoor, otTrigger, otDeathLine, + otWater, otElevator, otTriangle, + otAreaPush, otAreaPain, otLava, otArenaEnd, otAreaTeleport, otTeleportWay, + otEmptyBricks, otBackBricks, otLightLine, otBloodGen, + otWeather); + +type + TMapObjStruct = + record + x, y, width, height: word; //4 + active: byte;//1 + orient: byte;//1 0 - влево, 1-вправо, 2- вверх, 3-вниз + //для двери 0, 1- вертикально, 2,3 горизонтально + //ДЛЯ ТРЕУГОЛЬНИКА - 0- нижний левый, 1-верхний левый 2- верхний правый 3- нижний правый + target_name, target: word;//2 номер таргета активирования объекта + //2 номер таргета активирования ДРУГОГО объекта + wait, waittarget: word; //4 + itemID, count: word;//4 + + case ObjType: TObjType of + otNone: (reserved:array [0..ObjCaseSize-1] of byte);//определяет постоянный размер + otRespawn: (//сторона куда игрок смотрит после рождения. + ); + otJumpPad: (jumpspeed: single); + otTeleport: (gotox, gotoy: word); + otButton: (color: byte); + otNFKDoor: (opened: boolean); + otWeapon: (weaponID: word); + otDeathLine: (angle, maxlen: single; linedamage, linedamagewait: integer); + otElevator: (elevspeed: single; elevx, elevy: smallint; + etargetname1, etargetname2, etarget1, etarget2: word; + eactive: boolean); + otAreaPush: (pushspeedx, pushspeedy: smallint; pushwait: word); + otAreaPain: (paindamage, painwait: word); + otBackBricks: (plane: byte); + otBloodGen: (bloodangle, bloodL: single; bloodwait, bloodtype, bloodcount: word); + end; + + PMapObjStruct=^TMapObjStruct; + +type + TCustomMapObj = class(TCustomCPObj) + constructor create(struct_: TMapObjStruct); + protected + fStruct: TMapObjStruct; + function GetX: word;override; + function GetY: word;override; + function GetWidth: word;override; + function GetHeight: word;override; + public + property Struct: TMapObjStruct read fStruct write fstruct; + property ObjType: TObjType read fStruct.ObjType; + property Target_Name: word read fStruct.Target_Name; + property ItemID: word read fStruct.ItemID write fstruct.ItemID; + //Next functions need MAP object from main module + function SetX(Value: integer): integer;override; + function SetY(Value: integer): integer;override; + function SetLeftX(Value: integer): integer;override; + function SetTopY(Value: integer): integer;override; + function SetWidth(Value: integer): integer;override; + function SetHeight(Value: integer): integer;override; + //graph rect- рект где объект можно рисовать. + function GraphRect: TRect;virtual; + + procedure SetDefValues;virtual; + end; + + TItemObj = + class(TCustomMapObj) + constructor create(struct_: TMapObjStruct); + procedure SetDefValues;override; + end; + + TAreaObj = + class(TCustomMapObj) + constructor create(struct_: TMapObjStruct); + end; + + TButtonObj = + class(TCustomMapObj) + constructor create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + procedure Action2(sender:TClickPoint; x, y: integer);override; + function GraphRect: TRect;override; + end; + + TTeleportObj = + class( TCustomMapObj ) + constructor create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + procedure Action2(sender:TClickPoint; x, y: integer);override; + function GraphRect: TRect;override; + end; + + TAreaTeleportObj = + class( TAreaObj ) + constructor create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + function GraphRect: TRect;override; + end; + + TRespawnObj = + class( TCustomMapObj ) + constructor Create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + procedure Action2(sender:TClickPoint; x, y: integer);override; + procedure Action3(sender:TClickPoint; x, y: integer);override; + procedure SetDefValues;override; + function GraphRect: TRect;override; + end; + + TJumpPadObj = + class( TCustomMapObj ) + protected + jumppoint: TClickPoint; + public + constructor Create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + function SpeedToHeight(speed: single): integer; + function HeightToSpeed(height: integer): single; + function GetJumpHeight: integer; + + procedure SetJumpPoint; + end; + + TDeathLine = + class(TCustomMapObj) + protected + function GetDX: integer; + function GetDY: integer; + public + constructor Create(struct_: TMapObjStruct); + property DX: integer read GetDX; + property DY: integer read GetDY; + procedure SetDXY(dx_, dy_: single); + procedure Action1(sender:TClickPoint; x, y: integer);override; + procedure SetDefValues;override; + + function GraphRect: TRect;override; + end; + + + TElevator = + class(TAreaObj) + constructor Create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + procedure SetDefValues;override; + function GraphRect: TRect;override; + end; + + TTriangleObj = + class(TAreaObj) + constructor create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + procedure SetDefValues;override; + end; + + TNFKDoor = + class(TAreaObj) + constructor create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + procedure Action2(sender:TClickPoint; x, y: integer);override; + end; + + TTeleportWayObj = class(TAreaObj) + constructor create(struct_: TMapObjStruct); + procedure Action1(sender:TClickPoint; x, y: integer);override; + function GraphRect: TRect;override; + end; + +function HelpObj(Obj: TCustomMapObj): string; + +implementation + +uses Math, Graphics, Main, Constants_Lib, SysUtils; + +function Min(x, y: integer): integer; +begin + if xy then Result:=x + else Result:=y; +end; + +function HelpObj(Obj: TCustomMapObj): string; +begin + case Obj.ObjType of + otNFKDoor, otDeathLine, otAreaPain: Result:='Target name: '+IntToStr(obj.struct.target_name); + otButton, otTrigger, otLightLine: Result:='Target: '+IntToStr(obj.struct.target); + else Result:=''; + end; +end; + +{ TCustomMapObj } + +constructor TCustomMapObj.create(struct_: TMapObjStruct); +begin + fstruct:=struct_; +end; + +function TCustomMapObj.GetHeight: word; +begin + Result:=fstruct.Height; +end; + +function TCustomMapObj.GetWidth: word; +begin + Result:=fstruct.Width; +end; + +function TCustomMapObj.GetX: word; +begin + Result:=fstruct.X; +end; + +function TCustomMapObj.GetY: word; +begin + Result:=fstruct.Y; +end; + +function TCustomMapObj.GraphRect: TRect; +begin + Result.Left:=X; + Result.Top:=Y; + Result.Right:=(X+Width); + Result.Bottom:=(Y+Height); +end; + +procedure TCustomMapObj.SetDefValues; +begin +// +end; + +function TCustomMapObj.SetHeight(Value: integer): integer; +begin + if Value<1 then Value:=1; + if fStruct.y+Value>Map.Height then + Value:=Map.Height-struct.y; + Result:=Value-fStruct.height; + fStruct.Height:=Value; +end; + +function TCustomMapObj.SetLeftX(Value: integer): integer; +begin + if Value<0 then Value:=0; + if Value>fstruct.x+fstruct.width-1 then Value:=fstruct.x+fstruct.width-1; + Result:=Value-fstruct.x; + fstruct.width:=fstruct.width+fstruct.x-value; + fstruct.x:=Value; +end; + +function TCustomMapObj.SetTopY(Value: integer): integer; +begin + if Value<0 then Value:=0; + if Value>fstruct.y+fstruct.height-1 then Value:=fstruct.y+fstruct.height-1; + Result:=Value-fstruct.y; + fstruct.height:=fstruct.height+fstruct.y-value; + fstruct.y:=Value; +end; + +function TCustomMapObj.SetWidth(Value: integer): integer; +begin + if Value<1 then Value:=1; + if fStruct.x+Value>Map.Width then + Value:=Map.Width-struct.x; + Result:=Value-fStruct.width; + fStruct.Width:=Value; +end; + +function TCustomMapObj.SetX(Value: integer): integer; +begin + if Value<0 then Value:=0; + if Value>=Map.Width then Value:=Map.Width-1; + Result:=Value-fstruct.x; + fstruct.x:=Value; +end; + +function TCustomMapObj.SetY(Value: integer): integer; +begin + if Value<0 then Value:=0; + if Value>=Map.Height then Value:=Map.Height-1; + Result:=Value-fstruct.y; + fstruct.y:=Value; +end; + +{ TItemObj } + +constructor TItemObj.create(struct_: TMapObjStruct); +begin + inherited; + with fstruct do + begin + if ItemID=0 then + case objtype of + otWeapon: ItemID:=weaponID; + otAmmo: ItemID:=WPN_Ammo+weaponID; + otPowerUp: ItemID:=REGEN_ID; + otHealth: ItemID:=Health5_ID; + otArmor: ItemID:=Shard_ID; + end; + if Count=0 then + case objtype of + otWeapon: count:=Def_Ammo[weaponID]; + otAmmo: count:=Ammo_Box[weaponID]; + otPowerUp: count:=25; + otArmor: count:=Armors[ItemID]; + otHealth: count:=Healthes[ItemID]; + end; + if wait=0 then + case ObjType of + otWeapon: wait:=WPN_Wait[WeaponID]; + otAmmo: wait:=Ammo_Wait; + otPowerUp: wait:=PowerUp_Wait; + otHealth: wait:=HealthWait[ItemID]; + otArmor: wait:=ArmorWait[ItemID]; + end; +{ if ObjType=otPowerup then + waittarget:=ItemID*100 + else waittarget:=0;} + end; + AddPoint(Self, CenterPoint, 0, -10, clBlue); +end; + +procedure TItemObj.SetDefValues; +begin + with fstruct do + begin + if ItemID=0 then + case objtype of + otWeapon: ItemID:=weaponID; + otAmmo: ItemID:=WPN_Ammo+weaponID; + otPowerUp: ItemID:=REGEN_ID; + otHealth: ItemID:=Health5_ID; + otArmor: ItemID:=Shard_ID; + end; + if Count=0 then + case objtype of + otWeapon: count:=Def_Ammo[weaponID]; + otAmmo: count:=Ammo_Box[weaponID]; + otPowerUp: count:=25; + otArmor: count:=Armors[ItemID]; + otHealth: count:=Healthes[ItemID]; + end; + if wait=0 then + case ObjType of + otWeapon: wait:=WPN_Wait[WeaponID]; + otAmmo: wait:=Ammo_Wait; + otPowerUp: wait:=PowerUp_Wait; + otHealth: wait:=HealthWait[ItemID]; + otArmor: wait:=ArmorWait[ItemID]; + end; + if ObjType=otPowerUp then + waittarget:=PowerUp_StartTime + else waittarget:=0; + end; +end; + +{ TAreaObj } + +constructor TAreaObj.create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, CenterPoint, 0, 0); + AddPoint(Self, LeftPoint, 0, 0); + AddPoint(Self, TopPoint, 0, 0); + AddPoint(Self, RightPoint, 0, 0); + AddPoint(Self, BottomPoint, 0, 0); + AddPoint(Self, LeftTopPoint, 0, 0); + AddPoint(Self, RightTopPoint, 0, 0); + AddPoint(Self, LeftBottomPoint, 0, 0); + AddPoint(Self, RightBottomPoint, 0, 0); +end; + +{ TButtonObj } + +procedure TButtonObj.Action1(sender:TClickPoint; x, y: integer); +begin + fstruct.color:=(fstruct.color+1) mod 6; +end; + +procedure TButtonObj.Action2(sender:TClickPoint; x, y: integer); +begin + inherited; +// +end; + +constructor TButtonObj.create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, CenterPoint, 8, -8); + AddPoint(Self, ActionPoint1+[ptInvisible], 0, 0); +end; + +function TButtonObj.GraphRect: TRect; +begin + Result.Left:=X; + Result.Top:=Y-1; + Result.Right:=X; + Result.Bottom:=Y+1; +end; + +{ TTeleportObj } + +procedure TTeleportObj.Action1(sender: TClickPoint; x, y: integer); +begin + if fstruct.gotox+x<0 then + fstruct.gotox:=0 else + fstruct.gotox:=fstruct.gotox+x; + if fstruct.gotoy+y<0 then + fstruct.gotoy:=0 else + fstruct.gotoy:=fstruct.gotoy+y; + + if sender<>nil then + sender.ChangeFXY(fstruct.gotox*32+16, fstruct.gotoy*16-24); +end; + +procedure TTeleportObj.Action2(sender: TClickPoint; x, y: integer); +begin + fstruct.orient:=1-fstruct.orient; +end; + +constructor TTeleportObj.create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, CenterPoint, 0, -8); + AddPoint(Self, [ptSelective, ptAlways, ptNoCopy, ptAction1], fstruct.gotox*32+16, fstruct.gotoy*16-24, clMaroon); + AddPoint(Self, ActionPoint2, 0, -48, clOlive); +end; + +function TTeleportObj.GraphRect: TRect; +begin + with fstruct do + begin + Result.Left:= Min( (X-1), gotox ); + Result.Right:= Max( (X+1), (gotox+1) ); + Result.Top:= Min( (Y-2), (gotoy-2) ); + Result.Bottom:=Max( (Y+1), (gotoy+1) ); + end; +end; + +{ TAreaTeleportObj } + +procedure TAreaTeleportObj.Action1(sender: TClickPoint; x, y: integer); +var + cp: TClickPoint; +begin + if fstruct.gotox+x<0 then + fstruct.gotox:=0 else + fstruct.gotox:=fstruct.gotox+x; + if fstruct.gotoy+y<0 then + fstruct.gotoy:=0 else + fstruct.gotoy:=fstruct.gotoy+y; + + cp:=TClickPoint(sender); + if cp<>nil then + cp.ChangeFXY(fstruct.gotox*32+16, fstruct.gotoy*16-24); +end; + +constructor TAreaTeleportObj.create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, [ptSelective, ptAlways, ptNoCopy, ptAction1], fstruct.gotox*32+16, fstruct.gotoy*16-24, clMaroon); +end; + +function TAreaTeleportObj.GraphRect: TRect; +begin + with fstruct do + begin + Result.Left:= Min( X, gotox ); + Result.Right:= Max( (X+Width), (gotox+1) ); + Result.Top:= Min( Y, (gotoy-2) ); + Result.Bottom:=Max( (Y+Height), (gotoy+1) ); + end; +end; + +{ TRespawnObj } + +procedure TRespawnObj.Action1(sender: TClickPoint; x, y: integer); +begin + fstruct.orient:=0; +end; + +procedure TRespawnObj.Action2(sender: TClickPoint; x, y: integer); +begin + fstruct.orient:=1; +end; + +procedure TRespawnObj.Action3(sender: TClickPoint; x, y: integer); +begin + fstruct.orient:=1-fstruct.orient; +end; + +constructor TRespawnObj.Create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, CenterPoint, 0, -8); + AddPoint(Self, ActionPoint1+[ptInvisible], -12, -32, clRed); + AddPoint(Self, ActionPoint2+[ptInvisible], 12, -32, clRed); + AddPoint(Self, ActionPoint3+[ptInvisible], 0, -32, clRed); +end; + +function TRespawnObj.GraphRect: TRect; +begin + Result.Left:=(X-1); + Result.Right:=(X+1); + Result.Top:=(Y-2); + Result.Bottom:=(Y+1); +end; + +procedure TRespawnObj.SetDefValues; +begin + fstruct.orient:=ord(fstruct.x221 then height:=221; + + Result:=sqrt((height+5.16-6)*0.112); + + if Result<3.0 then Result:=3.0; + if Result>5.0 then Result:=5.0; +end; + +procedure TJumpPadObj.SetJumpPoint; +begin + TClickPoint(JumpPoint).ChangeFXY(0, -GetJumpHeight); +end; + +function TJumpPadObj.SpeedToHeight(speed: single): integer; +begin + if speed>5 then + speed:=5; + if speed<3 then + speed:=3; + //speed от 3 до 5 всегда - смотреть физику TFK + Result:=round(speed*speed/0.112+6-5.16);//все просчитано до МЕЛОЧЕЙ!!!!!! +end; + +{ TDeathLine } + +procedure TDeathLine.Action1(sender: TClickPoint; x, y: integer); +begin + SetDXY(x+dx, y+dy); + TClickPoint(sender).ChangeFXY(dx, dy); +end; + +constructor TDeathLine.Create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, CenterPoint, 0, 0, clBlue); + AddPoint(Self, [ptPixel, ptAlways, ptNoCopy, ptAction1, ptLeftAlign, ptBottomAlign, ptRightAlign, ptTopAlign], dx, dy); +end; + +function TDeathLine.GetDX: integer; +begin + with fstruct do + Result:=round(maxlen*cos(angle)); +end; + +function TDeathLine.GetDY: integer; +begin + with fstruct do + Result:=round(maxlen*sin(angle)); +end; + +function TDeathLine.GraphRect: TRect; +begin + Result.Left:= Min( X, round(dx/32)); + Result.Right:= Max((X+Width), round(dx/32+1)); + Result.Top:= Min( Y, round(dy/16)+Y*16); + Result.Bottom:=Max((Y+Height), round(dy/16+1)+Y); +end; + +procedure TDeathLine.SetDefValues; +begin + fstruct.linedamage:=1; + fstruct.linedamagewait:=3; +end; + +procedure TDeathLine.SetDXY(dx_, dy_: single); +var + len: single; +begin + with fstruct do + begin + len:=sqrt(sqr(dx_)+sqr(dy_)); + if len<16 then Exit; + maxlen:=len; + angle:=Arccos(dx_/len); + if dy_<0 then angle:=Pi*2-angle; + end; +end; + +{ TElevator } + +procedure TElevator.Action1(sender: TClickPoint; x, y: integer); +var + cp: TClickPoint; +begin + fstruct.elevx:=fstruct.elevx+x; + fstruct.elevy:=fstruct.elevy+y; + + cp:=TClickPoint(sender); + if cp<>nil then + cp.ChangeFXY(fstruct.elevx*32+16, fstruct.elevy*16+8); +end; + +constructor TElevator.Create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, [ptAlways, ptNoCopy, ptAction1, ptLeftAlign, ptTopAlign], fstruct.elevx*32+16, fstruct.elevy*16+8, clMaroon); +end; + +function TElevator.GraphRect: TRect; +begin + with fstruct do + begin + Result.Left:= Min( X, elevx+X); + Result.Right:= Max((X+Width), elevx+(X+Width)); + Result.Top:= Min( Y, elevy+Y); + Result.Bottom:=Max((Y+Height), elevy+(Y+Height)); + end; +end; + +procedure TElevator.SetDefValues; +begin + fstruct.width:=2; + fstruct.height:=1; + fstruct.eactive:=true; + fstruct.elevspeed:=1.0; + fstruct.etargetname1:=NULLTARGET; + fstruct.etargetname2:=NULLTARGET; + fstruct.etarget1:=NULLTARGET; + fstruct.etarget2:=NULLTARGET; +end; + +{ TTriangleObj } + +procedure TTriangleObj.Action1(sender: TClickPoint; x, y: integer); +begin + fstruct.orient:=(fstruct.orient+1) mod 4; +end; + +constructor TTriangleObj.create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, [ptAction1, ptLeftAlign, ptTopAlign], 6, 6, clGreen); +end; + +procedure TTriangleObj.SetDefValues; +begin + fstruct.Width:=4; + fstruct.Height:=3; + fstruct.ItemID:=1; +end; + +{ TNFKDoor } + +procedure TNFKDoor.Action1(sender: TClickPoint; x, y: integer); +begin + fstruct.orient:=3-fstruct.orient; +end; + +procedure TNFKDoor.Action2(sender: TClickPoint; x, y: integer); +begin + fstruct.opened:=not fstruct.opened; +end; + +constructor TNFKDoor.create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, [ptAction1, ptLeftAlign, ptTopAlign], 6, 6, clGreen); + AddPoint(Self, [ptAction2, ptRightAlign, ptTopAlign], -6, 6, clGreen); +end; + +{ TTeleportWayObj } + +procedure TTeleportWayObj.Action1(sender: TClickPoint; x, y: integer); +var + cp: TClickPoint; +begin + if fstruct.gotox+x<0 then + fstruct.gotox:=0 else + fstruct.gotox:=fstruct.gotox+x; + if fstruct.gotoy+y<0 then + fstruct.gotoy:=0 else + fstruct.gotoy:=fstruct.gotoy+y; + + cp:=TClickPoint(sender); + if cp<>nil then + cp.ChangeFXY(fstruct.gotox*32+16, fstruct.gotoy*16+8); +end; + +constructor TTeleportWayObj.create(struct_: TMapObjStruct); +begin + inherited; + AddPoint(Self, [ptSelective, ptAlways, ptNoCopy, ptAction1], fstruct.gotox*32+16, fstruct.gotoy*16+8, clMaroon); +end; + +function TTeleportWayObj.GraphRect: TRect; +begin + with fstruct do + begin + Result.Left:= Min( X, (gotox+X)); + Result.Right:= Max((X+Width), (gotox+X+Width)); + Result.Top:= Min( Y, (gotoy+Y)); + Result.Bottom:=Max((Y+Height), (gotoy+Y+Height)); + end; +end; + +end. diff --git a/Radiant_037b/MapProps.ddp b/Radiant_037b/MapProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/MapProps.ddp differ diff --git a/Radiant_037b/MapProps.dfm b/Radiant_037b/MapProps.dfm new file mode 100644 index 0000000..dd10e43 --- /dev/null +++ b/Radiant_037b/MapProps.dfm @@ -0,0 +1,189 @@ +object MapPropsFrm: TMapPropsFrm + Left = 326 + Top = 213 + Width = 385 + Height = 322 + Caption = 'map header&entries' + Color = clBtnFace + Font.Charset = DEFAULT_CHARSET + Font.Color = clWindowText + Font.Height = -11 + Font.Name = 'MS Sans Serif' + Font.Style = [] + OldCreateOrder = False + Position = poScreenCenter + OnShow = FormShow + PixelsPerInch = 96 + TextHeight = 13 + object Panel1: TPanel + Left = 0 + Top = 0 + Width = 377 + Height = 145 + Align = alTop + TabOrder = 0 + DesignSize = ( + 377 + 145) + object Label1: TLabel + Left = 8 + Top = 12 + Width = 54 + Height = 13 + Caption = 'Map author' + end + object Label2: TLabel + Left = 12 + Top = 36 + Width = 50 + Height = 13 + Caption = 'Map name' + end + object Label4: TLabel + Left = 34 + Top = 60 + Width = 28 + Height = 13 + Caption = 'Width' + end + object Label5: TLabel + Left = 31 + Top = 84 + Width = 31 + Height = 13 + Caption = 'Height' + end + object AuthorEd: TEdit + Left = 72 + Top = 8 + Width = 281 + Height = 21 + TabOrder = 0 + end + object NameEd: TEdit + Left = 72 + Top = 32 + Width = 281 + Height = 21 + TabOrder = 1 + end + object BitBtn1: TBitBtn + Left = 212 + Top = 80 + Width = 75 + Height = 25 + Anchors = [akTop, akRight] + TabOrder = 2 + OnClick = BitBtn1Click + Kind = bkOK + end + object BitBtn2: TBitBtn + Left = 292 + Top = 80 + Width = 75 + Height = 25 + Anchors = [akTop, akRight] + TabOrder = 3 + Kind = bkCancel + end + object WidthEd: TEdit + Left = 72 + Top = 56 + Width = 65 + Height = 21 + TabOrder = 4 + Text = '20' + OnKeyPress = HeightEdKeyPress + end + object HeightEd: TEdit + Left = 72 + Top = 80 + Width = 65 + Height = 21 + TabOrder = 5 + Text = '30' + OnKeyPress = HeightEdKeyPress + end + object WidthUD: TUpDown + Left = 137 + Top = 56 + Width = 15 + Height = 21 + Associate = WidthEd + Min = 20 + Max = 1023 + Position = 20 + TabOrder = 6 + end + object HeightUD: TUpDown + Left = 137 + Top = 80 + Width = 15 + Height = 21 + Associate = HeightEd + Min = 30 + Max = 1023 + Position = 30 + TabOrder = 7 + end + object EnvColor: TButton + Left = 8 + Top = 112 + Width = 105 + Height = 25 + Caption = 'Environment Color...' + TabOrder = 8 + OnClick = EnvColorClick + end + end + object Panel2: TPanel + Left = 0 + Top = 145 + Width = 377 + Height = 32 + Align = alTop + BevelOuter = bvNone + TabOrder = 1 + DesignSize = ( + 377 + 32) + object Label3: TLabel + Left = 16 + Top = 8 + Width = 122 + Height = 13 + Alignment = taCenter + Anchors = [akLeft, akTop, akRight] + Caption = 'Map Format Sections' + end + object DelSection: TButton + Left = 120 + Top = 4 + Width = 105 + Height = 25 + Caption = 'Delete Section' + TabOrder = 0 + OnClick = DelSectionClick + end + end + object EntryList: TStringGrid + Left = 0 + Top = 177 + Width = 377 + Height = 118 + Align = alClient + DefaultColWidth = 25 + DefaultRowHeight = 20 + TabOrder = 2 + ColWidths = ( + 25 + 58 + 42 + 104 + 115) + end + object EnvDlg: TColorDialog + Left = 72 + Top = 120 + end +end diff --git a/Radiant_037b/MapProps.pas b/Radiant_037b/MapProps.pas new file mode 100644 index 0000000..e404fe5 --- /dev/null +++ b/Radiant_037b/MapProps.pas @@ -0,0 +1,156 @@ +unit MapProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, StdCtrls, ExtCtrls, Buttons, Grids, ComCtrls; + +type + TMapPropsFrm = class(TForm) + Panel1: TPanel; + Label1: TLabel; + AuthorEd: TEdit; + NameEd: TEdit; + Label2: TLabel; + BitBtn1: TBitBtn; + BitBtn2: TBitBtn; + Panel2: TPanel; + Label3: TLabel; + EntryList: TStringGrid; + WidthEd: TEdit; + HeightEd: TEdit; + Label4: TLabel; + Label5: TLabel; + WidthUD: TUpDown; + HeightUD: TUpDown; + DelSection: TButton; + EnvColor: TButton; + EnvDlg: TColorDialog; + procedure BitBtn1Click(Sender: TObject); + procedure HeightEdKeyPress(Sender: TObject; var Key: Char); + procedure FormShow(Sender: TObject); + procedure DelSectionClick(Sender: TObject); + procedure EnvColorClick(Sender: TObject); + private + { Private declarations } + public + { Public declarations } + procedure fillTable; + end; + +var + MapPropsFrm: TMapPropsFrm; + +implementation + +uses Main, MyEntries, TFKEntries; + +{$R *.dfm} + +procedure TMapPropsFrm.BitBtn1Click(Sender: TObject); +var + i, j, w, h: word; + B: TBricksEntry; +begin + Map.Author:=AuthorEd.Text; + Map.Name:=NameEd.Text; + Map.SetEnvColor(EnvDlg.Color); + w:=WidthUD.Position; + h:=HeightUD.Position; + if w<20 then w:=20; + if h<30 then h:=30; + with Map do + if (Width<>w) or + (Height<>h) then + begin + B:=TBricksEntry.Create(w, h); + for j:=0 to h-1 do + for i:=0 to w-1 do + begin + B[i, j]:=Brk[i, j]; + B.blocked[i, j]:=Brk.Blocked[i, j]; + B.Front[i, j]:=Brk.Front[i, j]; + end; + Entries[Entries.IndexOf(Brk)]:=B; + Brk.Free; + Brk:=B; + end; +end; + +procedure TMapPropsFrm.HeightEdKeyPress(Sender: TObject; var Key: Char); +begin + if not (Key in [#8, '0'..'9']) then + Key:=#0; +end; + +procedure TMapPropsFrm.FormShow(Sender: TObject); +begin + with Map do + begin + AuthorEd.Text:=head.Author; + NameEd.Text:=head.Name; + WidthUD.Position:=Width; + HeightUD.Position:=Height; + EnvDlg.Color:=RGB(head.envcolor[0], head.envcolor[1], head.envcolor[2]); + FillTable; + end; +end; + +procedure TMapPropsFrm.DelSectionClick(Sender: TObject); +var + i :integer; +begin + i:=EntryList.Row-1; + if i>=0 then + with Map do + begin + if (Entries[i]<>Brk) and + (Entries[i]<>Obj) and + (Entries[i]<>Lights) and + (Entries[i]<>BrkTex) and + (Entries[i]<>WP) then + begin + Entries.Delete(i); + FillTable; + end else ShowMessage('Sorry, i can''t delete this section'); + end; +end; + +procedure TMapPropsFrm.fillTable; +var + i, c: integer; + E: TCustomEntry; +begin + with Map do + begin + EntryList.RowCount:=EntriesCount+1; + EntryList.Cells[0, 0]:='N'; + EntryList.Cells[1, 0]:='Size'; + EntryList.Cells[2, 0]:='Version'; + EntryList.Cells[3, 0]:='Type'; + EntryList.Cells[4, 0]:='Name'; + c:=10100; + for i:=0 to EntriesCount-1 do + begin + E:=TCustomEntry(Entries[i]); + if E=nil then Continue; + EntryList.Cells[0, i+1]:=IntToStr(i); + EntryList.Cells[1, i+1]:=IntToStr(E.Head.size); + EntryList.Cells[2, i+1]:=IntToStr(E.Head.version); + EntryList.Cells[3, i+1]:=E.Head.EntryClass; + if E.Head.EntryClass='ScenarioV1' then + begin + EntryList.Cells[4, i+1]:=E.Head.name+'; target '+IntToStr(c); + Inc(c); + end else EntryList.Cells[4, i+1]:=E.Head.name; + end; + end; +end; + +procedure TMapPropsFrm.EnvColorClick(Sender: TObject); +begin + EnvDlg.Execute; +end; + +end. diff --git a/Radiant_037b/MyEntries.pas b/Radiant_037b/MyEntries.pas new file mode 100644 index 0000000..2f4deb6 --- /dev/null +++ b/Radiant_037b/MyEntries.pas @@ -0,0 +1,417 @@ +unit MyEntries; + +(***************************************) +(* Entries&Maps module version 1.0.1 *) +(***************************************) +(* Created by Neoff *) +(* mail : neoff@fryazino.net *) +(* site : http://tfk.mirgames.ru *) +(***************************************) + +interface + +//чтобы использовать TList вместо дин. массива, раскоментируй это: +//РЕДАКТОР БЕЗ ЭТОГО НЕ КОМПИЛИТСЯ +//А ИГРА С ЭТИМ ВЕСИТ В ДВА РАЗА БОЛЬШЕ!!! +{$DEFINE EDITORMODE} + +//КАЖДЫЙ ПОТОМОК TCustomEntry обязан: +//*грузить из файла инфу мутодом Create(head, var f file); +//*давать информацию о себе функцией +// class function EntryClassName: TEntryClassName; +//*сообщать какую версию он поддерживает функцией +// class function IsValidVersion(version: integer): boolean; +// ЭТИ CLASS-ФУНКЦИИ НЕ МОГУТ БЫТЬ ВИРТУАЛЬНЫ, ОНИ ВЫЗЫВАЮТСЯ НЕПОСРЕДСТВЕННО У КЛАССА!!! + +//*сообщать текущую версию самого ОБЪЕКТА а не инфы из файла... +// function DefaultVersion: integer; + +//*записывать инфу о себе, размере, версии в FHEAD функцией +//function GetHead: TEntryHead; + +//В принципе фффсё... +//Пример - TBricksEntry в соседнем модуле + + +{$IFDEF EDITORMODE} +uses Classes, Windows; +{$ENDIF} + +const + MapVersion=1; + LowMapVersion=1; + HighMapVersion=5; + +type + TEntryClassName=string[15]; + TEntryName = string[15]; + +type + TEntryHead=record + name: TEntryName; + EntryClass: TEntryClassName; + version: integer; + size: cardinal; + case integer of + 0: (reserved: array [0..9] of byte); + 1: (maxx: integer;maxy:integer; defaultbrick: word); + 2: (TEXCount: word); + end;//тип занимает 48 байт вроде... + +type + TCustomEntry = class + constructor Create(Head_: TEntryHead;var F: File);overload; + constructor Create;overload; + protected + fhead: TEntryHead; + function GetHead: TEntryHead;virtual; + public + class function EntryClassName: TEntryClassName; + class function IsValidVersion(version: integer): boolean; + function DefaultVersion: integer;virtual; + + property Head: TEntryHead read GetHead; + procedure WriteToFile(var F: File);virtual; + end; + +type + TSimpleEntry= class(TCustomEntry) + constructor Create(Head_: TEntryHead; var F: File);overload; + constructor Create;overload; + destructor Destroy;override; + protected + procedure SetBufSize(newlength: integer); + procedure ResizeBuf(newlength: integer); + public + buf: array of byte;//размер установлен - head.size :)) + procedure WriteToFile(var F: File);override; + end; + +type + TMapType= array [0..3] of char; + TPaletteFile = string[28]; + + TMapHeader1= + record + MapType: TMapType;//Must be equivalent Map.MapType variable + ECount : integer;//Entries Count + Version: integer;// + Author: shortstring; + Name: shortstring; + EnvColor: array [0..2] of byte; + PaletteFile: TPaletteFile; + end; + +type + TCustomMap= class + constructor Create; + protected + MapType: string; + fhead: TMapHeader1; + function GetHead: TMapHeader1;virtual; + public + {$IFDEF EDITORMODE} + Entries: TList; + {$ELSE} + Entries: array of TCustomEntry; + {$ENDIF} + function GetEntry(ind: integer): TCustomEntry; + function EntriesCount: integer; + procedure SetEntriesSize(newlength: integer); + + property head: TMapHeader1 read GetHead; + property Name: shortstring read fhead.Name write fhead.Name; + property Author: shortstring read fhead.Author write fhead.Author; + + procedure SetEnvColor(color: integer); + + procedure BeforeLoad;virtual; + procedure AfterLoad;virtual; + + procedure Clear;virtual; + function CreateEntry(head: TEntryHead; var f: File): TCustomEntry;virtual; + procedure Delete(ind: integer); + + function LoadFromFile(FileName: string): integer;virtual; + function SaveToFile(FileName: string): integer;virtual; + end; + +implementation + +{ TCustomEntry } + +constructor TCustomEntry.Create(Head_: TEntryHead; var F: File); +begin + fhead:=head_; +end; + +constructor TCustomEntry.Create; +begin + fhead.EntryClass:=Self.EntryClassName; + fhead.Size:=0; + fhead.version:=DefaultVersion; +end; + +function TCustomEntry.DefaultVersion: integer; +begin + Result:=1; +end; + +class function TCustomEntry.EntryClassName: TEntryClassName; +begin + Result:='unknown'; +end; + +function TCustomEntry.GetHead: TEntryHead; +begin +// + Result:=fhead; +end; + +class function TCustomEntry.IsValidVersion(version: integer): boolean; +begin + Result:=true; +end; + +procedure TCustomEntry.WriteToFile(var F: File); +begin + GetHead; + BlockWrite(f, fhead, SizeOf(fhead)); +end; + +{ TSimpleEntry } + +const + PAGE_SIZE = 4096; + +constructor TSimpleEntry.Create(Head_: TEntryHead; var F: File); +var + i: cardinal; +begin + inherited Create(head_, F); + SetLength(buf, head_.size); + i:=0; + while head_.size-i>PAGE_SIZE do + begin + BlockRead(f, buf[i], PAGE_SIZE); + Inc(i, PAGE_SIZE); + end; + BlockRead(f, buf[i], head_.size-i); +end; + +constructor TSimpleEntry.Create; +begin + inherited Create; +end; + +destructor TSimpleEntry.Destroy; +begin + buf:=nil; +end; + +procedure TSimpleEntry.ResizeBuf(newlength: integer); +begin + SetLength(buf, newlength); + fhead.size:=newlength; +end; + +procedure TSimpleEntry.SetBufSize(newlength: integer); +begin + buf:=nil; + SetLength(buf, newlength); + fhead.size:=newlength; +end; + +procedure TSimpleEntry.WriteToFile(var F: File); +var + i: cardinal; +begin + inherited; + if buf<>nil then + begin + i:=0; + while fhead.size-i>PAGE_SIZE do + begin + BlockWrite(f, buf[i], PAGE_SIZE); + Inc(i, PAGE_SIZE); + end; + BlockWrite(f, buf[i], fhead.size-i); + end; +end; + +{ TCustomMap } + +procedure TCustomMap.AfterLoad; +begin + +end; + +procedure TCustomMap.BeforeLoad; +begin + +end; + +procedure TCustomMap.Clear; +var + i: integer; +begin + for i:=0 to EntriesCount-1 do + TCustomEntry(Entries[i]).Free; + {$IFDEF EDITORMODE} + Entries.Clear; + {$ELSE} + Entries:=nil; + {$ENDIF} +end; + +constructor TCustomMap.Create; +begin + MapType:='TFKM'; + fhead.Version:=MapVersion; + fhead.ECount:=0; + {$IFDEF EDITORMODE} + Entries:=TList.Create; + {$ENDIF} +end; + +function TCustomMap.CreateEntry(head: TEntryHead; var f: File): TCustomEntry; +begin + Result:=TSimpleEntry.Create(head, F); +end; + +procedure TCustomMap.Delete(ind: integer); +var + ecount: integer; +begin + ecount:=EntriesCount; + if (ind>=0) and (ind=0) and (indMapType) or + (head0.versionHighMapVersion) then + begin + CloseFile(f); + Result:=-2; + Exit; + end; + Clear; + fhead:=head0; + BeforeLoad; + {$IFDEF EDITORMODE} + for i:=0 to fhead.ECount-1 do + begin + BlockRead(F, EHead, SizeOf(EHead)); + Entries.Add(CreateEntry(EHead, F)); + end; + {$ELSE} + SetLength(Entries, fhead.ECount); + for i:=0 to fhead.ECount-1 do + begin + BlockRead(F, EHead, SizeOf(EHead)); + Entries[i]:=CreateEntry(EHead, F); + end; + {$ENDIF} + + CloseFile(F); + AfterLoad; + except + result:=-1; + end; +end; + +function TCustomMap.SaveToFile(FileName: string): integer; +var + F: File; + i: integer; + head0: TMapHeader1; +begin + Result:=0; + head0:=head; + try + FileMode:=64; + AssignFile(f, FileName); + Rewrite(f, 1); + BlockWrite(f, head0, SizeOf(head0)); + for i:=0 to head0.ECount-1 do + TCustomEntry(Entries[i]).WriteToFile(f); + CloseFile(f); + except + Result:=-1; + end; +end; + +procedure TCustomMap.SetEntriesSize(newlength: integer); + {$IFDEF EDITORMODE} +var + i: integer; +begin +// Entries.Clear; + if newlength>EntriesCount then + for i:=EntriesCount to newlength-1 do + Entries.Add(nil); + {$ELSE} +begin + SetLength(Entries, newlength); + {$ENDIF} +end; + +procedure TCustomMap.SetEnvColor(color: integer); +begin + with fhead do + begin + EnvColor[0]:=GetRValue(Color); + EnvColor[1]:=GetGValue(Color); + EnvColor[2]:=GetBValue(Color); + end; +end; + +end. diff --git a/Radiant_037b/MyPalette.pas b/Radiant_037b/MyPalette.pas new file mode 100644 index 0000000..c023e23 --- /dev/null +++ b/Radiant_037b/MyPalette.pas @@ -0,0 +1,112 @@ +unit MyPalette; + +interface + +// +//Операции загрузки бриков в TImageList + +uses SysUtils, Classes, Types, Graphics, ImgList; + +const + Brick_Width = 32; + Brick_Height = 16; + +function BrickRect(x, y, w, h: integer): TRect; + +procedure LoadPaletteFromFileMasked(FileName: string; Palette: TCustomImageList; + MaskColor:TColor;SW, SH : integer); + +function LoadPaletteFromFile(FileName: string; Palette: TCustomImageList; + SW, SH : integer): TColor; + +procedure LoadPaletteFromBitmap(Bitmap: TBitmap; Palette: TCustomImageList; + MaskColor: TColor; SW, SH : integer); + +procedure SavePaletteToFile(Palette: TCustomImageList; FileName: string); + +implementation + +const + Brick_Rect : TRect = (Left:0; Top:0; + Right: Brick_width{-1}; Bottom: Brick_Height{-1}); + +function BrickRect(x, y, w, h: integer): TRect; +begin + Result.Left:=x-w; + Result.Top:=y-h; + Result.Right:=x+Brick_Width+w; + Result.Bottom:=y+Brick_Height+h; +end; + +procedure LoadPaletteFromFileMasked(FileName: string; Palette: TCustomImageList; + MaskColor:TColor; SW, SH : integer); +var + Bitmap: TBitmap; + +begin + Bitmap:=TBitmap.Create; + Bitmap.LoadFromFile(FileName); + LoadPaletteFromBitmap(Bitmap, Palette, MaskColor, SW, SH); + Bitmap.Free; +end; + +function LoadPaletteFromFile(FileName: string; Palette: TCustomImageList; + SW, SH : integer): TColor; +var + Bitmap: TBitmap; + +begin + Bitmap:=TBitmap.Create; + Bitmap.LoadFromFile(FileName); + Result:=Bitmap.Canvas.Pixels[0, 0]; + LoadPaletteFromBitmap(Bitmap, Palette, Result, SW, SH); + Bitmap.Free; +end; + +procedure LoadPaletteFromBitmap(Bitmap: TBitmap; Palette: TCustomImageList; + MaskColor: TColor; SW, SH : integer); +var + Image: TBitmap; + i, j, w, h: integer; + R: TRect; + +begin + Palette.Clear; + Image:=TBitmap.Create; + + w:=(Bitmap.Width+1) div (Brick_width+SW); + h:=(Bitmap.Height+1) div (Brick_height+SH); + + Image.Width:=Brick_width; + Image.Height:=Brick_height; + + with Palette do + for j:=0 to h-1 do + for i:=0 to w-1 do + if i+1+j*w<=255 then + begin + R.Left:=i*(Brick_width+SW); + R.Right:=(i+1)*(Brick_width+SW)-1; + R.Top:=j*(Brick_Height+SH); + R.Bottom:=(j+1)*(Brick_Height+SH)-1; + Image.Canvas.CopyRect(BrickRect(0, 0, 0, 0), Bitmap.Canvas, R); + Palette.AddMasked(Image, MaskColor); + end else Break; + Image.Free; +end; + +procedure SavePaletteToFile(Palette: TCustomImageList; FileName: string); +var + Bitmap: TBitmap; + i: integer; +begin + Bitmap:=TBitmap.Create; + Bitmap.Width:=Palette.Count*Brick_Width; + Bitmap.Height:=Brick_Height; + for i:=0 to Palette.Count-1 do + Palette.Draw(Bitmap.Canvas, i*Brick_Width, 0, i); + Bitmap.SaveToFile(FileName); + Bitmap.Free; +end; + +end. diff --git a/Radiant_037b/MyScroll.pas b/Radiant_037b/MyScroll.pas new file mode 100644 index 0000000..bcfa9e8 --- /dev/null +++ b/Radiant_037b/MyScroll.pas @@ -0,0 +1,237 @@ +unit MyScroll; + +(***************************************) +(* SCROLLING module version 1.0.1 *) +(***************************************) +(* Created by Neoff *) +(* mail : neoff@fryazino.net *) +(* site : http://tfk.mirgames.ru *) +(***************************************) + +interface + +//СКРОЛЛИНГ +//Замечательнейший модуль:) +//Здесь заложены правила скроллинга по карте +//ИСПОЛЬЗОВАЛСЯ В РАДИАНТЕ И В ПЛАГИНЕ ДЛЯ TC ЕЩЕ ДЛЯ NFK!!!! + +uses Classes, Types; + +type + TMyScroll = class + constructor Create; + private + FGX: integer; + FGY: integer; + FZoomX: integer; + FZoomY: integer; + FMaxI: integer; + FMaxJ: integer; + FScreenWidth: integer; + FScreenHeight: integer; + FClipOff: boolean; + procedure SetGX(const Value: integer); + procedure SetGY(const Value: integer); + procedure SetMaxI(const Value: integer); + procedure SetMaxJ(const Value: integer); + procedure SetZoomX(const Value: integer); + procedure SetZoomY(const Value: integer); + procedure SetScreenHeight(const Value: integer); + procedure SetScreenWidth(const Value: integer); + function GetScreenRect: TRect; + procedure SetClipOff(const Value: boolean); + + public + property GX: integer read FGX write SetGX; + property GY: integer read FGY write SetGY; + property MaxI: integer read FMaxI write SetMaxI; + property MaxJ: integer read FMaxJ write SetMaxJ; + property ScreenWidth: integer read FScreenWidth write SetScreenWidth; + property ScreenHeight: integer read FScreenHeight write SetScreenHeight; + property ZoomX: integer read FZoomX write SetZoomX; + property ZoomY: integer read FZoomY write SetZoomY; + property ScreenRect: TRect read GetScreenRect; + function GetX(i: integer): integer; + function GetY(j: integer): integer; + function GetI(x: integer): integer; + function GetJ(y: integer): integer; + function Clip(x, y: integer): boolean; + function GetMaxX: integer; + function GetMaxY: integer; + function RectIJtoXY(R: TRect):TRect; + function VisibleIJPoint(x, y: integer): boolean; + function VisibleIJRect(R : TRect): boolean; +//version 1.01 + property ClipOff: boolean read FClipOff write SetClipOff; +//version 1.02 + function CenterToIJ(i, j: integer): boolean; + end; + +implementation + +{ TMyScroll } + +function TMyScroll.CenterToIJ(i, j: integer): boolean; +begin + Result:=(GetI(ScreenWidth div 2)<>i) or + (GetJ(ScreenHeight div 2)<>j); + if Result then + begin + Gx:=i*ZoomX+ZoomX div 2-ScreenWidth div 2; + Gy:=j*ZoomY+ZoomY div 2-ScreenHeight div 2; + end; +end; + +function TMyScroll.Clip(x, y: integer): boolean; +var + i, j: integer; +begin + i:=(x+GX) div FZoomX; + j:=(y+GY) div FZoomY; + Clip:=(i>=0) and (i=0) and (j=MaxI then Result:=MaxI-1; + end; +end; + +function TMyScroll.GetJ(y: integer): integer; +begin + Result:=(y+GY); + if Result<0 then + Result:=-((abs(result)+ZoomY-1) div ZoomY) + else Result:=Result div ZoomY; + if not ClipOff then + begin + if Result<0 then Result:=0; + if Result>=MaxJ then Result:=MaxJ-1; + end; +end; + +function TMyScroll.GetMaxX: integer; +begin + Result:=FMaxI*ZoomX; +end; + +function TMyScroll.GetMaxY: integer; +begin + Result:=FMaxJ*ZoomY; +end; + +function TMyScroll.GetScreenRect: TRect; +begin + Result.Left:=GetI(0); + Result.Right:=GetI(ScreenWidth); + Result.Top:=GetJ(0); + Result.Bottom:=GetJ(ScreenHeight); +end; + +function TMyScroll.GetX(i: integer): integer; +begin + Result:=i*ZoomX-GX; +end; + +function TMyScroll.GetY(j: integer): integer; +begin + Result:=j*ZoomY-GY; +end; + +function TMyScroll.RectIJtoXY(R: TRect): TRect; +begin + Result.Left:=GetX(R.Left); + Result.Top:=GetY(R.Top); + Result.Right:=GetX(R.Right+1); + Result.Bottom:=GetY(R.Bottom+1); +end; + +procedure TMyScroll.SetClipOff(const Value: boolean); +begin + FClipOff := Value; +end; + +procedure TMyScroll.SetGX(const Value: integer); +begin + FGX := Value; + if FScreenWidth<=GetMaxX then + begin + if FGX<-FScreenWidth div 2 then + FGX:=-FScreenWidth div 2 else + if FGX>GetMaxX-FScreenWidth div 2 then + FGX:=GetMaxX-FScreenWidth div 2; + end else FGX:=(GetMaxX-FScreenWidth) div 2; +end; + +procedure TMyScroll.SetGY(const Value: integer); +begin + FGY:=Value; + if FScreenHeightGetMaxY-FScreenHeight div 2 then + FGY:=GetMaxY-FScreenHeight div 2; + end else FGY:=(GetMaxY-FScreenHeight) div 2; +end; + +procedure TMyScroll.SetMaxI(const Value: integer); +begin + FMaxI := Value; +end; + +procedure TMyScroll.SetMaxJ(const Value: integer); +begin + FMaxJ := Value; +end; + +procedure TMyScroll.SetScreenHeight(const Value: integer); +begin + FScreenHeight := Value; +end; + +procedure TMyScroll.SetScreenWidth(const Value: integer); +begin + FScreenWidth := Value; +end; + +procedure TMyScroll.SetZoomX(const Value: integer); +begin + FZoomX := Value; +end; + +procedure TMyScroll.SetZoomY(const Value: integer); +begin + FZoomY := Value; +end; + +function TMyScroll.VisibleIJPoint(x, y: integer): boolean; +begin + Result:=(x>=GetI(0)) and (x<=GetI(ScreenWidth)) and + (y>=GetJ(0)) and (y<=GetJ(ScreenHeight)); +end; + +function TMyScroll.VisibleIJRect(R: TRect): boolean; +begin + Result:=VisibleIJPoint(R.Left, R.Top) or + VisibleIJPoint(R.Left, R.Bottom) or + VisibleIJPoint(R.Right, R.Top) or + VisibleIJPoint(R.Right, R.Bottom) or + (R.LeftGetI(ScreenWidth)) or + (R.TopGetJ(ScreenHeight)); +end; + +end. diff --git a/Radiant_037b/NFKMap_Lib.pas b/Radiant_037b/NFKMap_Lib.pas new file mode 100644 index 0000000..e01c639 --- /dev/null +++ b/Radiant_037b/NFKMap_Lib.pas @@ -0,0 +1,431 @@ +unit NFKMap_Lib; + +(***************************************) +(* NFK Map library version 1.0.1 *) +(***************************************) +(* Created by Neoff *) +(* mail : neoff@fryazino.net *) +(* site : http://tfk.mirgames.ru *) +(***************************************) + +interface + +uses TFKEntries; + +type + TTFKMap1 = class(TTFKMap) + function LoadFromNFKFile(FileName: string): integer; + function LoadFromFile(FileName: string): integer;override; + end; + +function IsNFKMap(filename: string): boolean; + +implementation + +uses MyEntries, Constants_Lib, MapObj_Lib, classes, bzlib; + +type TMAPOBJV2 = record // специальный объект + active : boolean; + x,y,length,dir,wait : word; + targetname,target,orient,nowanim,special:word; + objtype : byte; + end; + +type TNFKMapEntry = packed record + EntryType : string[3]; + DataSize : longint; + Reserved1 : byte; + Reserved2 : word; + Reserved3 : integer; + Reserved4 : longint; + Reserved5 : cardinal; + Reserved6 : boolean; + end; + + +const + ItemObj: set of byte = [1..33, 34..37, 38..39];//брики в нфк - у нас объекты + NFK_WATER = 32; + NFK_DEATH = 33; + +function NFKToTFKobj(NFKstruct : TMapObjV2):TMapObjStruct; +begin + FillChar(result, sizeof(result), 0); + Result.x:=NFKstruct.x; + Result.y:=NFKstruct.y; + Result.width:=1; + Result.height:=1; + Result.wait:=NFKStruct.wait; + + case NFKstruct.objtype of + 1:begin//Телепорт + Result.ObjType:=otTeleport; + Result.gotox:=NFKStruct.length; + Result.gotoy:=NFKStruct.dir; + Result.orient:=0; + end; + 2:begin//кнопка + Result.ObjType:=otButton; + Result.color:=NFKStruct.orient; + Result.target:=NFKStruct.target; + Result.Active:=NFKStruct.Special*2; + end; + 3:begin//дверь + Result.ObjType:=otNFKDoor; + Result.active:=1; + //ориентация в НФК - + // 0- вертикальная открытая + // 1- вертикальная закрытая + // 2- горизонтальная открытая + // 3- горизонтальная закрытая + Result.orient:=(1-NFKStruct.Orient and 1)*2; + Result.target_name:=NFKStruct.targetname; + Result.opened:=boolean(((NFKStruct.Orient and 2) div 2)); + if Result.Orient<2 then + Result.height:=NFKStruct.length + else + Result.width:=NFKStruct.length; + end; + 4://триггер + begin + Result.ObjType:=otTrigger; + Result.target:=NFKStruct.target; + Result.width:=NFKStruct.length; + Result.height:=NFKStruct.dir; + end; + 5: //area push + begin + Result.ObjType:=otAreaPush; + Result.target:=NFKStruct.target; + case NFKStruct.orient of +//влево вниз вправо вверх + 0: Result.pushspeedx:=-NFKStruct.special; + 1: Result.pushspeedy:=-NFKStruct.special; + 2: Result.pushspeedx:=NFKStruct.special; + 3: Result.pushspeedy:=NFKStruct.special; + end; + Result.pushwait:=NFKStruct.wait; + Result.width:=NFKStruct.length; + Result.height:=NFKStruct.dir; + end; + 6: //area pain + begin + Result.ObjType:=otAreaPain; + Result.target:=NFKStruct.target; + Result.paindamage:=NFKStruct.dir; + Result.painwait:=NFKStruct.nowanim; + Result.width:=NFKStruct.special; + Result.height:=NFKStruct.orient; + end; + 7: //trix arena end + begin + Result.ObjType:=otArenaEnd; + Result.width:=NFKStruct.special; + Result.height:=NFKStruct.orient; + end; + 8: //area teleport + begin + Result.ObjType:=otAreaTeleport; + Result.width:=NFKStruct.special; + Result.height:=NFKStruct.orient; + Result.gotox:=NFKStruct.dir; + Result.gotoy:=NFKStruct.wait; + Result.orient:=0; + end; +//вверх влево вниз вправо + 9: //door trigger + begin + Result.ObjType:=otTrigger; + Result.active:=2; + Result.target:=NFKStruct.target; + case NFKStruct.orient of + 0: begin inc(result.y);result.width:=NFKStruct.Length end; + 1: begin dec(result.x);result.height:=NFKStruct.Length end; + 2: begin dec(result.y);result.width:=NFKStruct.Length end; + 3: begin inc(result.x);result.height:=NFKStruct.Length end; + end; + end; + else Result.ObjType:=otNone; + end; +end; + + +function IsNFKMap(filename: string): boolean; +var + F: File; + buf: array [0..3] of char; +begin + Result:=false; + FileMode:=64; + try + AssignFile(f, filename); + Reset(f, 4); + BlockRead(f, buf, 1); + Result:=buf='NMAP'; + Close(F); + except + end; +end; + +{ TTFKMap1 } + +type + array4=array [0..3] of char; + string70=string[70]; + string3=string[3]; + +type THeader = record // header карты + ID : Array4; + Version : byte; + MapName : string70; + Author : string70; + MapSizeX,MapSizeY,BG,GAMETYPE,numobj : byte; + XCode: word; + end; + +type TMapEntry = packed record + EntryType : string3; + DataSize : integer; + + Reserved1, Reserved2 : byte; + name: String3; + id: integer; + Reserved4: boolean; + Reserved5: integer; + Reserved6: boolean; + end; + +function TTFKMap1.LoadFromFile(FileName: string): integer; +begin + if IsNFKMap(filename) then + Result:=LoadFromNFKFile(filename) + else Result:=inherited LoadFromFile(filename); +end; + +function TTFKMap1.LoadFromNFKFile(FileName: string): integer; +var + F: TMemoryStream; + decomp, comp: TMemoryStream; + head0: THeader; + x, y: integer; + img: byte; + + NFKstruct: TMapOBJV2; + struct: TMapObjStruct; + entry: TNFKMapEntry; + ehead: TEntryHead; + + i: integer; + + procedure ZoneCreate(brick: byte; objtype: TObjType); + var + bufx: array [-1..256, -1..256] of integer; + i, j, x, y, m, n: integer; + begin + fillchar(bufx, sizeof(bufx), 0); + for j:=0 to Height-1 do + for i:=0 to Width-1 do + if (brk[i, j]=brick) or + (bufx[i-1, j]>0) and (brk[i, j]>=54) then + bufx[i, j]:=bufx[i-1, j]+1; + for i:=Width-1 downto 0 do + for j:=Height-1 downto 0 do + if brk[i, j]=brick then + begin + n:=bufx[i, j]; + y:=0; + while (brk[i, j-y]=brick) do +// or (brk[i, j-y]=54) and (n<=bufx[i, j-y]) do + begin + if n>bufx[i, j-y] then + n:=bufx[i, j-y]; + Inc(y); + end; + m:=y; + //заполнение нулём. + //(ширина - bufx[i, j] высота m) + fillchar(struct, sizeof(struct), 0); + struct.width:=n; + struct.height:=m; + struct.x:=i-n+1; + struct.y:=j-m+1; + struct.objtype:=ObjType; + case ObjType of + otAreaPain: begin struct.paindamage:=500; struct.painwait:=1; end; + end; + Obj.Add(struct); + for x:=0 to n-1 do + for y:=0 to m-1 do + if brk[i-x, j-y]=brick then brk[i-x, j-y]:=0; + end; + end; + +begin + Result:=0; + try + F:=TMemoryStream.Create; + F.LoadFromFile(FileName); + + F.Read(head0, sizeof(head0)); + + if (head0.ID<>'NMAP') or + (head0.Version<3) or (head0.Version>5) then + begin + Result:=-2; + F.Free; + Exit; + end; + + Clear; + BeforeLoad; + + Brk:=TBricksEntry.Create(head0.MapSizeX, head0.MapSizeY); + Entries.Add(Brk); + + fhead.MapType:='TFKM'; + fhead.Version:=1; + fhead.Author:=head0.Author; + fhead.Name:=head0.MapName; + + //первый проход - брики и кол-во респаунов, джаппадов. + for y:=0 to height-1 do + for x:=0 to width-1 do + begin + F.Read(img, 1); + Brk[x, y]:=img; + end; + +//создаем объекты и проходимся по брикам и объектам + Obj:=TMapObjEntry.Create; + Entries.Add(Obj); + + for i:=0 to head0.numobj-1 do + begin + F.Read(NFKstruct, SizeOf(TMapObjV2)); + struct:=NFKToTFKObj(NFKStruct); + //WATER + if NFKStruct.objtype=10 then + begin + for x:=0 to NFKStruct.special-1 do + for y:=0 to NFKStruct.orient-1 do + Brk[x+NFKStruct.x, y+NFKStruct.y]:=NFK_WATER; + end else Obj.Add(struct); + end; + + ZoneCreate(NFK_WATER, otWater); + ZoneCreate(NFK_DEATH, otAreaPain); + + for y:=0 to height-1 do + for x:=0 to width-1 do + begin + Img:=Brk[x, y]; + if Img in ItemObj then + begin + FillChar(struct, SizeOf(struct), 0); + struct.itemID:=Brk[x, y]; + struct.x:=x; + struct.y:=y; + struct.width:=1; + struct.height:=1; + case img of + 1..7://оружие + begin + struct.ObjType:=otWeapon; + struct.weaponID:=img+1; + struct.wait:=WPN_Wait[struct.weaponID]; + end; + 8..15://патроны + begin + struct.ObjType:=otAmmo; + struct.WeaponID:=img-7; + struct.wait:=Ammo_Wait; + end; + 16..18://броня + begin + struct.ObjType:=otArmor; + struct.wait:=ArmorWait[img]; + end; + 19..22://health + begin + struct.ObjType:=otHealth; + struct.wait:=healthWait[img]; + end; + 23..28://powerup + begin + struct.ObjType:=otPowerUp; + struct.wait:=PowerUp_Wait; + struct.waittarget:=img*100; + end; + 29..30://trix + begin + struct.ObjType:=otWeapon; + struct.weaponID:=img-26; + struct.count:=1; + struct.wait:=65535; + end; + 31: //lava + begin + struct.ObjType:=otAreaPain; + struct.wait:=5; + struct.paindamage:=10; + end; + 34..36: //респауны + begin + struct.ObjType:=otRespawn; + struct.orient:=ord(struct.x0; + Brk.Front[x, y]:=false; + end; + + while f.position'loc' then Break; + end; + + F.Free; + AfterLoad; + except + result:=-1; + end; +end; + +end. diff --git a/Radiant_037b/ObjAreaPainProps.dfm b/Radiant_037b/ObjAreaPainProps.dfm new file mode 100644 index 0000000..cdc11b3 --- /dev/null +++ b/Radiant_037b/ObjAreaPainProps.dfm @@ -0,0 +1,73 @@ +inherited ObjAreaPainProp: TObjAreaPainProp + Caption = 'Area pain properties' + ClientHeight = 282 + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 253 + end + inherited CancelBtn: TBitBtn + Top = 253 + end + inherited UpdateBtn: TBitBtn + Top = 253 + end + object GroupBox2: TGroupBox + Left = 0 + Top = 176 + Width = 289 + Height = 73 + Caption = 'Area Pain' + TabOrder = 4 + object Label3: TLabel + Left = 8 + Top = 20 + Width = 38 + Height = 13 + Caption = 'damage' + end + object Label4: TLabel + Left = 8 + Top = 44 + Width = 60 + Height = 13 + Caption = 'damage wait' + end + object DamageEd: TEdit + Left = 80 + Top = 16 + Width = 121 + Height = 21 + TabOrder = 0 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object DamageWaitEd: TEdit + Left = 80 + Top = 40 + Width = 121 + Height = 21 + TabOrder = 1 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object DamageUD: TUpDown + Left = 201 + Top = 16 + Width = 15 + Height = 21 + Associate = DamageEd + Max = 1000 + TabOrder = 2 + end + object DamageWaitUD: TUpDown + Left = 201 + Top = 40 + Width = 15 + Height = 21 + Associate = DamageWaitEd + Max = 1000 + TabOrder = 3 + end + end +end diff --git a/Radiant_037b/ObjAreaPainProps.pas b/Radiant_037b/ObjAreaPainProps.pas new file mode 100644 index 0000000..9fcf724 --- /dev/null +++ b/Radiant_037b/ObjAreaPainProps.pas @@ -0,0 +1,47 @@ +unit ObjAreaPainProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjAreaPainProp = class(TObjPropFrm) + GroupBox2: TGroupBox; + Label3: TLabel; + Label4: TLabel; + DamageEd: TEdit; + DamageWaitEd: TEdit; + DamageUD: TUpDown; + DamageWaitUD: TUpDown; + private + { Private declarations } + public + { Public declarations } + procedure Load;override; + procedure Save;override; + end; + +var + ObjAreaPainProp: TObjAreaPainProp; + +implementation + +{$R *.dfm} + +procedure TObjAreaPainProp.Load; +begin + inherited; + DamageUD.Position:=Struct.paindamage; + DamageWaitUD.Position:=Struct.painwait; +end; + +procedure TObjAreaPainProp.Save; +begin + Struct.paindamage:=DamageUD.Position; + Struct.painwait:=DamageWaitUD.Position; + inherited; +end; + +end. diff --git a/Radiant_037b/ObjAreaPushProps.dfm b/Radiant_037b/ObjAreaPushProps.dfm new file mode 100644 index 0000000..d616b27 --- /dev/null +++ b/Radiant_037b/ObjAreaPushProps.dfm @@ -0,0 +1,102 @@ +inherited ObjAreaPushProp: TObjAreaPushProp + Caption = 'ObjAreaPushProp' + ClientHeight = 282 + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 253 + end + inherited CancelBtn: TBitBtn + Top = 253 + end + inherited UpdateBtn: TBitBtn + Top = 253 + end + object GroupBox2: TGroupBox + Left = 0 + Top = 176 + Width = 289 + Height = 73 + Caption = 'Push' + TabOrder = 4 + object Label3: TLabel + Left = 8 + Top = 20 + Width = 31 + Height = 13 + Caption = 'push x' + end + object Label4: TLabel + Left = 8 + Top = 44 + Width = 45 + Height = 13 + Caption = 'push wait' + end + object Label7: TLabel + Left = 128 + Top = 20 + Width = 31 + Height = 13 + Caption = 'push y' + end + object PushXEd: TEdit + Left = 48 + Top = 16 + Width = 57 + Height = 21 + TabOrder = 0 + Text = '0' + OnKeyPress = PushXEdKeyPress + end + object PushWaitEd: TEdit + Left = 80 + Top = 40 + Width = 121 + Height = 21 + TabOrder = 1 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object PushXUD: TUpDown + Left = 105 + Top = 16 + Width = 16 + Height = 21 + Associate = PushXEd + Min = -1000 + Max = 1000 + TabOrder = 2 + Thousands = False + end + object PushWaitUD: TUpDown + Left = 201 + Top = 40 + Width = 15 + Height = 21 + Associate = PushWaitEd + Max = 1000 + TabOrder = 3 + end + object PushYEd: TEdit + Left = 168 + Top = 16 + Width = 57 + Height = 21 + TabOrder = 4 + Text = '0' + OnKeyPress = PushXEdKeyPress + end + object PushYUD: TUpDown + Left = 225 + Top = 16 + Width = 16 + Height = 21 + Associate = PushYEd + Min = -1000 + Max = 1000 + TabOrder = 5 + Thousands = False + end + end +end diff --git a/Radiant_037b/ObjAreaPushProps.pas b/Radiant_037b/ObjAreaPushProps.pas new file mode 100644 index 0000000..dedba5c --- /dev/null +++ b/Radiant_037b/ObjAreaPushProps.pas @@ -0,0 +1,64 @@ +unit ObjAreaPushProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjAreaPushProp = class(TObjPropFrm) + GroupBox2: TGroupBox; + Label3: TLabel; + Label4: TLabel; + PushXEd: TEdit; + PushWaitEd: TEdit; + PushXUD: TUpDown; + PushWaitUD: TUpDown; + PushYEd: TEdit; + Label7: TLabel; + PushYUD: TUpDown; + procedure PushXEdKeyPress(Sender: TObject; var Key: Char); + private + { Private declarations } + public + { Public declarations } + procedure Load;override; + procedure Save;override; + end; + +var + ObjAreaPushProp: TObjAreaPushProp; + +implementation + +{$R *.dfm} + +{ TObjAreaPushProp } + +procedure TObjAreaPushProp.Load; +begin + inherited; + PushXUD.Position:=Struct.pushspeedx; + PushYUD.Position:=Struct.pushspeedy; + PushWaitUD.Position:=Struct.pushwait; +end; + +procedure TObjAreaPushProp.Save; +begin + Struct.pushspeedx:=PushXUD.Position; + Struct.pushspeedy:=PushYUD.Position; + Struct.pushwait:=PushWaitUD.Position; + inherited; +end; + +procedure TObjAreaPushProp.PushXEdKeyPress(Sender: TObject; var Key: Char); +begin + if not (Key in ['0'..'9', #8]) then + begin + if not ((Key='-') and (TEdit(Sender).SelStart=0)) then + Exit; + end; +end; + +end. diff --git a/Radiant_037b/ObjBGProps.ddp b/Radiant_037b/ObjBGProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjBGProps.ddp differ diff --git a/Radiant_037b/ObjBGProps.dfm b/Radiant_037b/ObjBGProps.dfm new file mode 100644 index 0000000..7585513 --- /dev/null +++ b/Radiant_037b/ObjBGProps.dfm @@ -0,0 +1,26 @@ +inherited ObjBgProp: TObjBgProp + Caption = 'Background' + OldCreateOrder = True + PixelsPerInch = 96 + TextHeight = 13 + inherited GroupBox1: TGroupBox + inherited TargetNameUD: TUpDown + Enabled = False + end + inherited TargetNameEd: TEdit + Enabled = False + end + inherited WaitEd: TEdit + Enabled = False + end + inherited WaitUD: TUpDown + Enabled = False + end + inherited ActiveGroup: TRadioGroup + Items.Strings = ( + 'Back' + 'Middle' + 'Front') + end + end +end diff --git a/Radiant_037b/ObjBGProps.pas b/Radiant_037b/ObjBGProps.pas new file mode 100644 index 0000000..ff695e5 --- /dev/null +++ b/Radiant_037b/ObjBGProps.pas @@ -0,0 +1,40 @@ +unit ObjBGProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjBgProp = class(TObjPropFrm) + private + { Private declarations } + public + { Public declarations } + procedure Load;override; + procedure Save;override; + end; + +var + ObjBgProp: TObjBgProp; + +implementation + +{$R *.dfm} + +{ TObjBgProp } + +procedure TObjBgProp.Load; +begin + Struct:=Obj.struct; + ActiveGroup.ItemIndex:=Struct.Plane; +end; + +procedure TObjBgProp.Save; +begin + struct.plane:=ActiveGroup.ItemIndex; + obj.Struct:=struct; +end; + +end. diff --git a/Radiant_037b/ObjBloodGenProps.ddp b/Radiant_037b/ObjBloodGenProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjBloodGenProps.ddp differ diff --git a/Radiant_037b/ObjBloodGenProps.dfm b/Radiant_037b/ObjBloodGenProps.dfm new file mode 100644 index 0000000..b2e3b1d --- /dev/null +++ b/Radiant_037b/ObjBloodGenProps.dfm @@ -0,0 +1,127 @@ +inherited ObjBloodGenProp: TObjBloodGenProp + Caption = 'Blood Generator' + ClientHeight = 341 + OldCreateOrder = True + PixelsPerInch = 96 + TextHeight = 13 + object Label3: TLabel [0] + Left = 8 + Top = 68 + Width = 23 + Height = 13 + Caption = 'color' + end + inherited OkBtn: TBitBtn + Top = 312 + end + inherited CancelBtn: TBitBtn + Top = 312 + end + inherited UpdateBtn: TBitBtn + Top = 312 + end + object Sprites: TGroupBox + Left = 0 + Top = 176 + Width = 289 + Height = 121 + Caption = 'Sprites' + TabOrder = 4 + object Label7: TLabel + Left = 8 + Top = 92 + Width = 66 + Height = 13 + Caption = 'Color (Sparks)' + end + object Label4: TLabel + Left = 8 + Top = 44 + Width = 22 + Height = 13 + Caption = 'Wait' + end + object Label8: TLabel + Left = 8 + Top = 20 + Width = 54 + Height = 13 + Caption = 'Sprite Type' + end + object Label9: TLabel + Left = 8 + Top = 68 + Width = 28 + Height = 13 + Caption = 'Count' + end + object ColorBox: TComboBox + Left = 80 + Top = 88 + Width = 137 + Height = 21 + ItemHeight = 13 + ItemIndex = 0 + TabOrder = 5 + Text = 'Red' + Items.Strings = ( + 'Red' + 'Green' + 'Blue' + 'Yellow' + 'Purple' + 'Aqua') + end + object BloodWaitEd: TEdit + Left = 80 + Top = 40 + Width = 121 + Height = 21 + TabOrder = 1 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object BloodWaitUD: TUpDown + Left = 201 + Top = 40 + Width = 15 + Height = 21 + Associate = BloodWaitEd + Max = 1000 + TabOrder = 2 + end + object TypeBox: TComboBox + Left = 80 + Top = 16 + Width = 137 + Height = 21 + ItemHeight = 13 + ItemIndex = 1 + TabOrder = 0 + Text = 'Smoke' + Items.Strings = ( + 'Blood' + 'Smoke' + 'Light_2' + 'Spark') + end + object CountEd: TEdit + Left = 80 + Top = 64 + Width = 121 + Height = 21 + TabOrder = 3 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object CountUD: TUpDown + Left = 201 + Top = 64 + Width = 15 + Height = 21 + Associate = CountEd + Max = 1000 + TabOrder = 4 + end + end +end diff --git a/Radiant_037b/ObjBloodGenProps.pas b/Radiant_037b/ObjBloodGenProps.pas new file mode 100644 index 0000000..045f930 --- /dev/null +++ b/Radiant_037b/ObjBloodGenProps.pas @@ -0,0 +1,58 @@ +unit ObjBloodGenProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjBloodGenProp = class(TObjPropFrm) + Sprites: TGroupBox; + Label7: TLabel; + Label3: TLabel; + ColorBox: TComboBox; + BloodWaitEd: TEdit; + Label4: TLabel; + BloodWaitUD: TUpDown; + TypeBox: TComboBox; + Label8: TLabel; + CountEd: TEdit; + CountUD: TUpDown; + Label9: TLabel; + private + { Private declarations } + public + { Public declarations } + procedure Load;override; + procedure Save;override; + end; + +var + ObjBloodGenProp: TObjBloodGenProp; + +implementation + +{$R *.dfm} + +{ TObjBloodGenProp } + +procedure TObjBloodGenProp.Load; +begin + inherited; + TypeBox.ItemIndex:=Struct.BloodType; + BloodWaitUD.Position:=Struct.BloodWait; + CountUD.Position:=Struct.BloodCount; + ColorBox.ItemIndex:=Struct.orient; +end; + +procedure TObjBloodGenProp.Save; +begin + Struct.BloodType:=TypeBox.ItemIndex; + Struct.BloodWait:=BloodWaitUD.Position; + Struct.BloodCount:=CountUD.Position; + Struct.orient:=ColorBox.ItemIndex; + inherited; +end; + +end. diff --git a/Radiant_037b/ObjButtonProps.ddp b/Radiant_037b/ObjButtonProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjButtonProps.ddp differ diff --git a/Radiant_037b/ObjButtonProps.dfm b/Radiant_037b/ObjButtonProps.dfm new file mode 100644 index 0000000..3fab023 --- /dev/null +++ b/Radiant_037b/ObjButtonProps.dfm @@ -0,0 +1,98 @@ +inherited ObjButtonProp: TObjButtonProp + Left = 297 + Top = 54 + Caption = 'Button/trigger properties' + ClientHeight = 292 + ClientWidth = 293 + OldCreateOrder = True + DesignSize = ( + 293 + 292) + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 262 + end + inherited CancelBtn: TBitBtn + Top = 262 + end + inherited UpdateBtn: TBitBtn + Left = 214 + Top = 262 + end + object GroupBox2: TGroupBox + Left = 0 + Top = 168 + Width = 289 + Height = 89 + Caption = 'Activator props' + TabOrder = 4 + object Label7: TLabel + Left = 112 + Top = 56 + Width = 104 + Height = 13 + Caption = 'for activating object(s)' + end + object Label3: TLabel + Left = 112 + Top = 24 + Width = 101 + Height = 13 + Caption = 'of activating object(s)' + end + object Label4: TLabel + Left = 8 + Top = 24 + Width = 34 + Height = 13 + Caption = 'Target ' + end + object Label8: TLabel + Left = 8 + Top = 56 + Width = 22 + Height = 13 + Caption = 'Wait' + end + object TargetEd: TEdit + Left = 48 + Top = 20 + Width = 41 + Height = 21 + TabOrder = 0 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object WaitTargetUD: TUpDown + Left = 89 + Top = 52 + Width = 15 + Height = 21 + Associate = WaitTargetEd + Max = 32767 + Increment = 50 + TabOrder = 1 + Thousands = False + end + object TargetUD: TUpDown + Left = 89 + Top = 20 + Width = 15 + Height = 21 + Associate = TargetEd + Max = 32767 + TabOrder = 2 + Thousands = False + end + object WaitTargetEd: TEdit + Left = 48 + Top = 52 + Width = 41 + Height = 21 + TabOrder = 3 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + end +end diff --git a/Radiant_037b/ObjButtonProps.pas b/Radiant_037b/ObjButtonProps.pas new file mode 100644 index 0000000..a807f1a --- /dev/null +++ b/Radiant_037b/ObjButtonProps.pas @@ -0,0 +1,51 @@ +unit ObjButtonProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, StdCtrls, Buttons, ComCtrls, ExtCtrls; + +type + TObjButtonProp = class(TObjPropFrm) + GroupBox2: TGroupBox; + Label7: TLabel; + Label3: TLabel; + TargetEd: TEdit; + WaitTargetUD: TUpDown; + TargetUD: TUpDown; + WaitTargetEd: TEdit; + Label4: TLabel; + Label8: TLabel; + private + { Private declarations } + public + { Public declarations } + procedure Save;override; + procedure Load;override; + end; + +var + ObjButtonProp: TObjButtonProp; + +implementation + +{$R *.dfm} + +{ TObjButtonProp } + +procedure TObjButtonProp.Load; +begin + inherited; + TargetUD.Position:=Struct.target; + WaitTargetUD.Position:=Struct.waittarget; +end; + +procedure TObjButtonProp.Save; +begin + Struct.waittarget:=WaitTargetUD.Position; + Struct.target:=TargetUD.Position; + inherited; +end; + +end. diff --git a/Radiant_037b/ObjDeathlineProps.ddp b/Radiant_037b/ObjDeathlineProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjDeathlineProps.ddp differ diff --git a/Radiant_037b/ObjDeathlineProps.dfm b/Radiant_037b/ObjDeathlineProps.dfm new file mode 100644 index 0000000..80473a7 --- /dev/null +++ b/Radiant_037b/ObjDeathlineProps.dfm @@ -0,0 +1,100 @@ +inherited ObjDeathLineProp: TObjDeathLineProp + Left = 256 + Top = 80 + Caption = 'ObjDeathLineProp' + ClientHeight = 307 + OldCreateOrder = True + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 278 + end + inherited CancelBtn: TBitBtn + Top = 278 + end + inherited UpdateBtn: TBitBtn + Top = 278 + end + object GroupBox2: TGroupBox + Left = 0 + Top = 176 + Width = 289 + Height = 97 + Caption = 'Death line' + TabOrder = 4 + object Label3: TLabel + Left = 8 + Top = 20 + Width = 38 + Height = 13 + Caption = 'damage' + end + object Label4: TLabel + Left = 8 + Top = 44 + Width = 60 + Height = 13 + Caption = 'damage wait' + end + object Label7: TLabel + Left = 8 + Top = 68 + Width = 23 + Height = 13 + Caption = 'color' + end + object DamageEd: TEdit + Left = 80 + Top = 16 + Width = 121 + Height = 21 + TabOrder = 0 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object DamageWaitEd: TEdit + Left = 80 + Top = 40 + Width = 121 + Height = 21 + TabOrder = 1 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object DamageUD: TUpDown + Left = 201 + Top = 16 + Width = 15 + Height = 21 + Associate = DamageEd + Max = 1000 + TabOrder = 2 + end + object DamageWaitUD: TUpDown + Left = 201 + Top = 40 + Width = 15 + Height = 21 + Associate = DamageWaitEd + Max = 1000 + TabOrder = 3 + end + object ColorBox: TComboBox + Left = 80 + Top = 64 + Width = 137 + Height = 21 + ItemHeight = 13 + ItemIndex = 0 + TabOrder = 4 + Text = 'Red' + Items.Strings = ( + 'Red' + 'Green' + 'Blue' + 'Yellow' + 'Purple' + 'Aqua') + end + end +end diff --git a/Radiant_037b/ObjDeathlineProps.pas b/Radiant_037b/ObjDeathlineProps.pas new file mode 100644 index 0000000..f91a807 --- /dev/null +++ b/Radiant_037b/ObjDeathlineProps.pas @@ -0,0 +1,53 @@ +unit ObjDeathlineProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjDeathLineProp = class(TObjPropFrm) + GroupBox2: TGroupBox; + DamageEd: TEdit; + Label3: TLabel; + DamageWaitEd: TEdit; + Label4: TLabel; + DamageUD: TUpDown; + DamageWaitUD: TUpDown; + ColorBox: TComboBox; + Label7: TLabel; + private + { Private declarations } + public + { Public declarations } + procedure Load;override; + procedure Save;override; + end; + +var + ObjDeathLineProp: TObjDeathLineProp; + +implementation + +{$R *.dfm} + +{ TObjDeathLineProp } + +procedure TObjDeathLineProp.Load; +begin + inherited; + DamageUD.Position:=Struct.linedamage; + DamageWaitUD.Position:=Struct.linedamagewait; + ColorBox.ItemIndex:=Struct.orient; +end; + +procedure TObjDeathLineProp.Save; +begin + Struct.linedamage:=DamageUD.Position; + Struct.linedamagewait:=DamageWaitUD.Position; + Struct.orient:=ColorBox.ItemIndex; + inherited; +end; + +end. diff --git a/Radiant_037b/ObjElevatorProps.ddp b/Radiant_037b/ObjElevatorProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjElevatorProps.ddp differ diff --git a/Radiant_037b/ObjElevatorProps.dfm b/Radiant_037b/ObjElevatorProps.dfm new file mode 100644 index 0000000..8abcef3 --- /dev/null +++ b/Radiant_037b/ObjElevatorProps.dfm @@ -0,0 +1,150 @@ +inherited ObjElevatorProp: TObjElevatorProp + Left = 448 + Top = 24 + Caption = 'ObjElevatorProp' + ClientHeight = 394 + OldCreateOrder = True + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 365 + end + inherited CancelBtn: TBitBtn + Top = 365 + end + inherited UpdateBtn: TBitBtn + Top = 365 + end + object GroupBox2: TGroupBox + Left = 0 + Top = 176 + Width = 289 + Height = 185 + Caption = 'Elevator props && targets' + TabOrder = 4 + object Label3: TLabel + Left = 16 + Top = 36 + Width = 60 + Height = 13 + Caption = 'Target name' + end + object Label4: TLabel + Left = 16 + Top = 60 + Width = 84 + Height = 13 + Caption = 'Back target name' + end + object Label7: TLabel + Left = 16 + Top = 92 + Width = 31 + Height = 13 + Caption = 'Target' + end + object Label8: TLabel + Left = 16 + Top = 116 + Width = 55 + Height = 13 + Caption = 'Back target' + end + object Label9: TLabel + Left = 16 + Top = 152 + Width = 31 + Height = 13 + Caption = 'Speed' + end + object ActiveBox: TCheckBox + Left = 16 + Top = 16 + Width = 97 + Height = 17 + Caption = 'Active' + TabOrder = 0 + end + object etarget1ed: TEdit + Left = 120 + Top = 32 + Width = 113 + Height = 21 + TabOrder = 1 + Text = 'NULL' + OnKeyPress = etarget1edKeyPress + end + object target1Null: TButton + Left = 240 + Top = 86 + Width = 41 + Height = 25 + Caption = 'Null' + TabOrder = 2 + OnClick = target1NullClick + end + object etarget2ed: TEdit + Left = 120 + Top = 56 + Width = 113 + Height = 21 + TabOrder = 3 + Text = 'NULL' + OnKeyPress = etarget1edKeyPress + end + object target2NULL: TButton + Left = 240 + Top = 110 + Width = 41 + Height = 25 + Caption = 'Null' + TabOrder = 4 + OnClick = target2NULLClick + end + object target1ed: TEdit + Left = 120 + Top = 88 + Width = 113 + Height = 21 + TabOrder = 5 + Text = 'NULL' + OnKeyPress = etarget1edKeyPress + end + object etarget1NULL: TButton + Left = 240 + Top = 30 + Width = 41 + Height = 25 + Caption = 'Null' + TabOrder = 6 + OnClick = etarget1NULLClick + end + object target2ed: TEdit + Left = 120 + Top = 112 + Width = 113 + Height = 21 + TabOrder = 7 + Text = 'NULL' + OnKeyPress = etarget1edKeyPress + end + object etarget2NULL: TButton + Left = 240 + Top = 54 + Width = 41 + Height = 25 + Caption = 'Null' + TabOrder = 8 + OnClick = etarget2NULLClick + end + object SpeedBar: TTrackBar + Left = 56 + Top = 136 + Width = 177 + Height = 41 + Min = 1 + Position = 1 + TabOrder = 9 + end + end +end diff --git a/Radiant_037b/ObjElevatorProps.pas b/Radiant_037b/ObjElevatorProps.pas new file mode 100644 index 0000000..e33c195 --- /dev/null +++ b/Radiant_037b/ObjElevatorProps.pas @@ -0,0 +1,114 @@ +unit ObjElevatorProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjElevatorProp = class(TObjPropFrm) + GroupBox2: TGroupBox; + ActiveBox: TCheckBox; + etarget1ed: TEdit; + target1Null: TButton; + Label3: TLabel; + etarget2ed: TEdit; + Label4: TLabel; + target2NULL: TButton; + target1ed: TEdit; + Label7: TLabel; + etarget1NULL: TButton; + target2ed: TEdit; + Label8: TLabel; + etarget2NULL: TButton; + Label9: TLabel; + SpeedBar: TTrackBar; + procedure etarget1edKeyPress(Sender: TObject; var Key: Char); + procedure target1NullClick(Sender: TObject); + procedure target2NULLClick(Sender: TObject); + procedure etarget1NULLClick(Sender: TObject); + procedure etarget2NULLClick(Sender: TObject); + private + { Private declarations } + public + procedure Load;override; + procedure Save;override; + end; + +var + ObjElevatorProp: TObjElevatorProp; + +implementation + +{$R *.dfm} + +uses MapObj_Lib; + +procedure TObjElevatorProp.etarget1edKeyPress(Sender: TObject; + var Key: Char); +begin + if TEdit(sender).Text='NULL' then + TEdit(sender).Text:=''; + TargetNameEdKeyPress(sender, Key); +end; + +procedure TObjElevatorProp.target1NullClick(Sender: TObject); +begin + target1Ed.Text:='NULL'; +end; + +procedure TObjElevatorProp.target2NULLClick(Sender: TObject); +begin + target2Ed.Text:='NULL'; +end; + +procedure TObjElevatorProp.etarget1NULLClick(Sender: TObject); +begin + etarget1Ed.Text:='NULL'; +end; + +procedure TObjElevatorProp.etarget2NULLClick(Sender: TObject); +begin + etarget2Ed.Text:='NULL'; +end; + +procedure TObjElevatorProp.Load; + + procedure LoadTarget(Ed: TEdit; value: word); + begin + if value=NULLTARGET then + ed.Text:='NULL' + else ed.Text:=IntToStr(value); + end; + +begin + inherited; + LoadTarget(etarget1ed, struct.etargetname1); + LoadTarget(etarget2ed, struct.etargetname2); + LoadTarget(target1ed, struct.etarget1); + LoadTarget(target2ed, struct.etarget2); + ActiveBox.Checked:=struct.eactive; + SpeedBar.Position:=round(struct.elevspeed*2); +end; + +procedure TObjElevatorProp.Save; + + procedure SaveTarget(Ed: TEdit; var value: word); + begin + if ed.Text='NULL' then + value:=NULLTARGET + else value:=StrToInt(ed.Text); + end; + +begin + SaveTarget(etarget1ed, struct.etargetname1); + SaveTarget(etarget2ed, struct.etargetname2); + SaveTarget(target1ed, struct.etarget1); + SaveTarget(target2ed, struct.etarget2); + Struct.eactive:=ActiveBox.Checked; + Struct.elevspeed:=SpeedBar.Position/2; + inherited; +end; + +end. diff --git a/Radiant_037b/ObjItemProps.ddp b/Radiant_037b/ObjItemProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjItemProps.ddp differ diff --git a/Radiant_037b/ObjItemProps.dfm b/Radiant_037b/ObjItemProps.dfm new file mode 100644 index 0000000..ef3c88b --- /dev/null +++ b/Radiant_037b/ObjItemProps.dfm @@ -0,0 +1,94 @@ +inherited ObjItemProp: TObjItemProp + Left = 310 + Top = 84 + Caption = 'ObjItemProp' + ClientHeight = 298 + OldCreateOrder = True + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 269 + end + inherited CancelBtn: TBitBtn + Top = 269 + end + inherited UpdateBtn: TBitBtn + Top = 269 + end + inherited GroupBox1: TGroupBox + inherited WaitEd: TEdit + Text = '1' + end + inherited WaitUD: TUpDown + Min = 1 + Position = 1 + end + end + object GroupBox2: TGroupBox + Left = 0 + Top = 176 + Width = 289 + Height = 89 + Caption = 'Item properties' + TabOrder = 4 + object Label3: TLabel + Left = 40 + Top = 28 + Width = 28 + Height = 13 + Caption = 'Count' + end + object Label4: TLabel + Left = 8 + Top = 52 + Width = 62 + Height = 13 + Caption = 'First respawn' + end + object Label7: TLabel + Left = 224 + Top = 52 + Width = 44 + Height = 13 + Caption = '(first wait)' + end + object CountEd: TEdit + Left = 80 + Top = 24 + Width = 121 + Height = 21 + TabOrder = 0 + Text = '1' + OnKeyPress = TargetNameEdKeyPress + end + object CountUD: TUpDown + Left = 201 + Top = 24 + Width = 15 + Height = 21 + Associate = CountEd + Min = 1 + Position = 1 + TabOrder = 1 + end + object FirstEd: TEdit + Left = 80 + Top = 48 + Width = 121 + Height = 21 + TabOrder = 2 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object FirstUD: TUpDown + Left = 201 + Top = 48 + Width = 16 + Height = 21 + Associate = FirstEd + Max = 10000 + TabOrder = 3 + Thousands = False + end + end +end diff --git a/Radiant_037b/ObjItemProps.pas b/Radiant_037b/ObjItemProps.pas new file mode 100644 index 0000000..9fa7c2a --- /dev/null +++ b/Radiant_037b/ObjItemProps.pas @@ -0,0 +1,50 @@ +unit ObjItemProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjItemProp = class(TObjPropFrm) + GroupBox2: TGroupBox; + CountEd: TEdit; + Label3: TLabel; + CountUD: TUpDown; + Label4: TLabel; + FirstEd: TEdit; + FirstUD: TUpDown; + Label7: TLabel; + private + { Private declarations } + public + { Public declarations } + procedure Load;override; + procedure Save;override; + end; + +var + ObjItemProp: TObjItemProp; + +implementation + +{$R *.dfm} + +{ TObjItemProp } + +procedure TObjItemProp.Load; +begin + inherited; + CountUD.Position:=struct.count; + FirstUD.Position:=struct.waittarget; +end; + +procedure TObjItemProp.Save; +begin + struct.count:=CountUD.Position; + struct.waittarget:=FirstUD.Position; + inherited; +end; + +end. diff --git a/Radiant_037b/ObjJumppadProps.ddp b/Radiant_037b/ObjJumppadProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjJumppadProps.ddp differ diff --git a/Radiant_037b/ObjJumppadProps.dfm b/Radiant_037b/ObjJumppadProps.dfm new file mode 100644 index 0000000..f4bb072 --- /dev/null +++ b/Radiant_037b/ObjJumppadProps.dfm @@ -0,0 +1,318 @@ +inherited ObjJumppadProp: TObjJumppadProp + Left = 313 + Top = 57 + Caption = 'Jumppad properties' + ClientHeight = 324 + OldCreateOrder = True + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 295 + end + inherited CancelBtn: TBitBtn + Top = 295 + end + inherited UpdateBtn: TBitBtn + Top = 295 + end + object GroupBox2: TGroupBox + Left = 0 + Top = 176 + Width = 289 + Height = 113 + Caption = 'Jumppad' + TabOrder = 4 + object Label3: TLabel + Left = 8 + Top = 26 + Width = 31 + Height = 13 + Caption = 'Speed' + Enabled = False + end + object Label4: TLabel + Left = 8 + Top = 74 + Width = 31 + Height = 13 + Caption = 'Height' + Enabled = False + end + object Label7: TLabel + Left = 48 + Top = 48 + Width = 15 + Height = 13 + Caption = '3.0' + end + object Label8: TLabel + Left = 224 + Top = 48 + Width = 15 + Height = 13 + Caption = '5.0' + end + object SpeedLbl: TLabel + Left = 144 + Top = 48 + Width = 3 + Height = 13 + end + object Label9: TLabel + Left = 48 + Top = 96 + Width = 37 + Height = 13 + Caption = '5 bricks' + end + object HeightLbl: TLabel + Left = 144 + Top = 96 + Width = 3 + Height = 13 + end + object Label11: TLabel + Left = 200 + Top = 96 + Width = 43 + Height = 13 + Caption = '14 bricks' + end + object Jump1Btn: TSpeedButton + Left = 240 + Top = 16 + Width = 41 + Height = 25 + Glyph.Data = { + 360C0000424D360C000000000000360000002800000020000000200000000100 + 180000000000000C0000C40E0000C40E00000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000006B6B63949C9C + ADADADAD9C8CAD9C8C949C9CAD9C8CADADADAD9C8C8C8C948C84738C8C948C84 + 73949C9CADADADAD9CADAD9C8C8C8473949C9CAD9CADB5A594ADADADADADADAD + 9C8CAD9C8C949C9C8C8C94949C9CB5A594949C9CB5A594737373000000847B7B + 737373737373737373847B7B847B7B8C8C94847B7B847B7B847B7B847B7B847B + 9C949C9C8C8473949C9C8C8C948C8C948C84738C8C948C8C94847B7B847B7B6B + 6B636B6B8C7373738C735A737373847B7B737373737373000000000000000000 + 6B6B636B6B636B6B8C737373847B7B6B6B8C847B7B6B6B63847B9C7373737373 + 737373737373737373736B6B637373736B6B63847B7B73737373737373737373 + 7373847B7B6B6B63847B7B6B6B636B6B8C847B7B000000000000000000000000 + 000000847B7B4A84EF4A84EF4A84EF4A84EF4A84EF318CE74A84EF317BD64A84 + EF4A84EF4A84EF317BD64A84EF4A84EF4A84EF317BD64A84EF4A84EF4A84EF31 + 7BD64A84EF4A84EF4A84EF4A84EF737373000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000004A84 + EF4A84EF317BD64A84EF4A84EF317BD64A84EF00000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00317BD64A84EF4A84EF317BD64A84EF00000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000004A84EF317BD64A84EF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000004A84EF00000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000} + OnClick = Jump1BtnClick + end + object Jump2Btn: TSpeedButton + Left = 240 + Top = 64 + Width = 41 + Height = 25 + Glyph.Data = { + 360C0000424D360C000000000000360000002800000020000000200000000100 + 180000000000000C0000C40E0000C40E00000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000006B6B63949C9C + ADADADAD9C8CAD9C8C949C9CAD9C8CADADADAD9C8C8C8C948C84738C8C948C84 + 73949C9CADADADAD9CADAD9C8C8C8473949C9CAD9CADB5A594ADADADADADADAD + 9C8CAD9C8C949C9C8C8C94949C9CB5A594949C9CB5A594737373000000847B7B + 737373737373737373847B7B847B7B8C8C94847B7B847B7B847B7B847B7B847B + 9C949C9C8C8473949C9C8C8C948C8C948C84738C8C948C8C94847B7B847B7B6B + 6B636B6B8C7373738C735A737373847B7B737373737373000000000000000000 + 6B6B636B6B636B6B8C737373847B7B6B6B8C847B7B6B6B63847B9C7373737373 + 737373737373737373736B6B637373736B6B63847B7B73737373737373737373 + 7373847B7B6B6B63847B7B6B6B636B6B8C847B7B000000000000000000000000 + 000000847B7B4A84EF4A84EF4A84EF4A84EF4A84EF318CE74A84EF317BD64A84 + EF4A84EF4A84EF317BD64A84EF4A84EF4A84EF317BD64A84EF4A84EF4A84EF31 + 7BD64A84EF4A84EF4A84EF4A84EF737373000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000004A84 + EF4A84EF317BD64A84EF4A84EF317BD64A84EF00000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00317BD64A84EF4A84EF317BD64A84EF00000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000004A84EF317BD64A84EF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000004A84EF00000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000004A84 + EF317BD64A84EF4A84EF317BD64A84EF4A84EF00000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 004A84EF317BD64A84EF4A84EF317BD600000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000004A84EF317BD64A84EF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000004A84EF00000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000} + OnClick = Jump2BtnClick + end + object SpeedBar: TTrackBar + Left = 48 + Top = 16 + Width = 193 + Height = 33 + Enabled = False + Max = 100 + Min = 60 + Position = 60 + TabOrder = 0 + OnChange = SpeedBarChange + end + object HeightBar: TTrackBar + Left = 48 + Top = 64 + Width = 193 + Height = 33 + Enabled = False + Max = 28 + Min = 10 + Position = 28 + TabOrder = 1 + OnChange = HeightBarChange + end + end +end diff --git a/Radiant_037b/ObjJumppadProps.pas b/Radiant_037b/ObjJumppadProps.pas new file mode 100644 index 0000000..237df63 --- /dev/null +++ b/Radiant_037b/ObjJumppadProps.pas @@ -0,0 +1,97 @@ +unit ObjJumppadProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjectProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjJumppadProp = class(TObjPropFrm) + GroupBox2: TGroupBox; + SpeedBar: TTrackBar; + Label3: TLabel; + Label4: TLabel; + Label7: TLabel; + Label8: TLabel; + SpeedLbl: TLabel; + HeightBar: TTrackBar; + Label9: TLabel; + HeightLbl: TLabel; + Label11: TLabel; + Jump1Btn: TSpeedButton; + Jump2Btn: TSpeedButton; + procedure SpeedBarChange(Sender: TObject); + procedure HeightBarChange(Sender: TObject); + procedure Jump1BtnClick(Sender: TObject); + procedure Jump2BtnClick(Sender: TObject); + private + { Private declarations } + public + { Public declarations } + procedure ShowBars; + procedure Load;override; + procedure Save;override; + end; + +var + ObjJumppadProp: TObjJumppadProp; + +implementation + +{$R *.dfm} + +uses MapObj_Lib, Constants_Lib; + +{ TObjJumppadProp } + +procedure TObjJumppadProp.Load; +begin + inherited; + ShowBars; +end; + +procedure TObjJumppadProp.ShowBars; +var + h: integer; +begin + SpeedBar.Position:=round(struct.jumpspeed*20); + if SpeedBar.Position=99 then + SpeedBar.Position:=100; + SpeedLbl.Caption:=FloatToStrF(struct.jumpspeed, ffGeneral, 0, 1); + h:=round(TJumppadObj(Obj).SpeedToHeight(struct.jumpspeed)); + HeightBar.Position:=(h+4) div 8; + HeightLbl.Caption:=FloatToStr(round(h/8)/2); +end; + +procedure TObjJumppadProp.SpeedBarChange(Sender: TObject); +begin + struct.jumpspeed:=SpeedBar.Position/20; + ShowBars; +end; + +procedure TObjJumppadProp.HeightBarChange(Sender: TObject); +begin + struct.jumpspeed:=TJumppadObj(Obj).HeightToSpeed(HeightBar.Position*8); + ShowBars; +end; + +procedure TObjJumppadProp.Save; +begin + inherited; + TJumppadObj(Obj).SetJumpPoint; +end; + +procedure TObjJumppadProp.Jump1BtnClick(Sender: TObject); +begin + struct.jumpspeed:=jump1; + ShowBars; +end; + +procedure TObjJumppadProp.Jump2BtnClick(Sender: TObject); +begin + struct.jumpspeed:=jump2; + ShowBars; +end; + +end. diff --git a/Radiant_037b/ObjLightLineProps.dfm b/Radiant_037b/ObjLightLineProps.dfm new file mode 100644 index 0000000..646adbc --- /dev/null +++ b/Radiant_037b/ObjLightLineProps.dfm @@ -0,0 +1,43 @@ +inherited ObjLightLineProp: TObjLightLineProp + Caption = 'Line props' + ClientHeight = 317 + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 287 + end + inherited CancelBtn: TBitBtn + Top = 287 + end + inherited UpdateBtn: TBitBtn + Top = 287 + end + inherited GroupBox2: TGroupBox + Height = 113 + Caption = 'Line props' + object Label9: TLabel [4] + Left = 8 + Top = 84 + Width = 23 + Height = 13 + Caption = 'color' + end + object ColorBox: TComboBox + Left = 48 + Top = 80 + Width = 137 + Height = 21 + ItemHeight = 13 + ItemIndex = 0 + TabOrder = 4 + Text = 'Red' + Items.Strings = ( + 'Red' + 'Green' + 'Blue' + 'Yellow' + 'Purple' + 'Aqua') + end + end +end diff --git a/Radiant_037b/ObjLightLineProps.pas b/Radiant_037b/ObjLightLineProps.pas new file mode 100644 index 0000000..30843ea --- /dev/null +++ b/Radiant_037b/ObjLightLineProps.pas @@ -0,0 +1,42 @@ +unit ObjLightLineProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjButtonProps, ExtCtrls, StdCtrls, ComCtrls, Buttons; + +type + TObjLightLineProp = class(TObjButtonProp) + ColorBox: TComboBox; + Label9: TLabel; + private + { Private declarations } + public + { Public declarations } + procedure Load;override; + procedure Save;override; + end; + +var + ObjLightLineProp: TObjLightLineProp; + +implementation + +{$R *.dfm} + +{ TObjButtonProp1 } + +procedure TObjLightLineProp.Load; +begin + inherited; + ColorBox.ItemIndex:=Struct.orient; +end; + +procedure TObjLightLineProp.Save; +begin + Struct.orient:=ColorBox.ItemIndex; + inherited; +end; + +end. diff --git a/Radiant_037b/ObjRespawnProps.dfm b/Radiant_037b/ObjRespawnProps.dfm new file mode 100644 index 0000000..1e2d02f --- /dev/null +++ b/Radiant_037b/ObjRespawnProps.dfm @@ -0,0 +1,88 @@ +inherited ObjRespawnProp: TObjRespawnProp + Left = 246 + Top = 68 + Caption = 'Respawn properties' + ClientHeight = 295 + ClientWidth = 453 + PixelsPerInch = 96 + TextHeight = 13 + inherited OkBtn: TBitBtn + Top = 265 + end + inherited CancelBtn: TBitBtn + Top = 265 + end + inherited UpdateBtn: TBitBtn + Left = 374 + Top = 265 + end + object GroupBox3: TGroupBox + Left = 296 + Top = 0 + Width = 153 + Height = 257 + Caption = 'Player Properties' + TabOrder = 5 + object ToolBar1: TToolBar + Left = 8 + Top = 23 + Width = 137 + Height = 74 + Align = alNone + ButtonHeight = 64 + ButtonWidth = 39 + Caption = 'ToolBar1' + Images = MainForm.SargeImg + TabOrder = 0 + object LeftBtn: TSpeedButton + Left = 0 + Top = 2 + Width = 49 + Height = 64 + Glyph.Data = { + 76010000424D7601000000000000760000002800000020000000100000000100 + 04000000000000010000120B0000120B00001000000000000000000000000000 + 800000800000008080008000000080008000808000007F7F7F00BFBFBF000000 + FF0000FF000000FFFF00FF000000FF00FF00FFFF0000FFFFFF00333333333333 + 3333333333333333333333333333333333333333333333333333333333333333 + 3333333333333FF3333333333333003333333333333F77F33333333333009033 + 333333333F7737F333333333009990333333333F773337FFFFFF330099999000 + 00003F773333377777770099999999999990773FF33333FFFFF7330099999000 + 000033773FF33777777733330099903333333333773FF7F33333333333009033 + 33333333337737F3333333333333003333333333333377333333333333333333 + 3333333333333333333333333333333333333333333333333333333333333333 + 3333333333333333333333333333333333333333333333333333} + NumGlyphs = 2 + OnClick = LeftBtnClick + end + object SargeBtn: TToolButton + Left = 49 + Top = 2 + Caption = 'SargeBtn' + ImageIndex = 0 + OnClick = SargeBtnClick + end + object RightBtn: TSpeedButton + Left = 88 + Top = 2 + Width = 48 + Height = 64 + Glyph.Data = { + 76010000424D7601000000000000760000002800000020000000100000000100 + 04000000000000010000120B0000120B00001000000000000000000000000000 + 800000800000008080008000000080008000808000007F7F7F00BFBFBF000000 + FF0000FF000000FFFF00FF000000FF00FF00FFFF0000FFFFFF00333333333333 + 3333333333333333333333333333333333333333333333333333333333333333 + 3333333333333333333333333333333333333333333FF3333333333333003333 + 3333333333773FF3333333333309003333333333337F773FF333333333099900 + 33333FFFFF7F33773FF30000000999990033777777733333773F099999999999 + 99007FFFFFFF33333F7700000009999900337777777F333F7733333333099900 + 33333333337F3F77333333333309003333333333337F77333333333333003333 + 3333333333773333333333333333333333333333333333333333333333333333 + 3333333333333333333333333333333333333333333333333333} + NumGlyphs = 2 + OnClick = RightBtnClick + end + end + end +end diff --git a/Radiant_037b/ObjRespawnProps.pas b/Radiant_037b/ObjRespawnProps.pas new file mode 100644 index 0000000..3fbd50d --- /dev/null +++ b/Radiant_037b/ObjRespawnProps.pas @@ -0,0 +1,67 @@ +unit ObjRespawnProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjButtonProps, ExtCtrls, StdCtrls, ComCtrls, Buttons, ToolWin; + +type + TObjRespawnProp = class(TObjButtonProp) + GroupBox3: TGroupBox; + ToolBar1: TToolBar; + RightBtn: TSpeedButton; + LeftBtn: TSpeedButton; + SargeBtn: TToolButton; + procedure LeftBtnClick(Sender: TObject); + procedure RightBtnClick(Sender: TObject); + procedure SargeBtnClick(Sender: TObject); + private + { Private declarations } + public + { Public declarations } + procedure Load;override; + procedure Save;override; + end; + +var + ObjRespawnProp: TObjRespawnProp; + +implementation + +{$R *.dfm} + +uses Main; + +{ TObjButtonProp1 } + +procedure TObjRespawnProp.Load; +begin + inherited; + SargeBtn.ImageIndex:=struct.orient; +end; + +procedure TObjRespawnProp.Save; +begin + inherited; +end; + +procedure TObjRespawnProp.LeftBtnClick(Sender: TObject); +begin + struct.orient:=0; + SargeBtn.ImageIndex:=struct.orient; +end; + +procedure TObjRespawnProp.RightBtnClick(Sender: TObject); +begin + struct.orient:=1; + SargeBtn.ImageIndex:=struct.orient; +end; + +procedure TObjRespawnProp.SargeBtnClick(Sender: TObject); +begin + struct.orient:=1-struct.orient; + SargeBtn.ImageIndex:=struct.orient; +end; + +end. diff --git a/Radiant_037b/ObjWeatherProps.ddp b/Radiant_037b/ObjWeatherProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjWeatherProps.ddp differ diff --git a/Radiant_037b/ObjWeatherProps.dfm b/Radiant_037b/ObjWeatherProps.dfm new file mode 100644 index 0000000..2452853 --- /dev/null +++ b/Radiant_037b/ObjWeatherProps.dfm @@ -0,0 +1,20 @@ +inherited ObjWeatherProp: TObjWeatherProp + Caption = 'Object Weather Properties' + PixelsPerInch = 96 + TextHeight = 13 + inherited Sprites: TGroupBox + inherited Label7: TLabel + Enabled = False + end + inherited ColorBox: TComboBox + Enabled = False + end + inherited TypeBox: TComboBox + ItemIndex = 0 + Text = 'Snow' + Items.Strings = ( + 'Snow' + 'Rain') + end + end +end diff --git a/Radiant_037b/ObjWeatherProps.pas b/Radiant_037b/ObjWeatherProps.pas new file mode 100644 index 0000000..8675143 --- /dev/null +++ b/Radiant_037b/ObjWeatherProps.pas @@ -0,0 +1,24 @@ +unit ObjWeatherProps; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ObjBloodGenProps, StdCtrls, ExtCtrls, ComCtrls, Buttons; + +type + TObjWeatherProp = class(TObjBloodGenProp) + private + { Private declarations } + public + { Public declarations } + end; + +var + ObjWeatherProp: TObjWeatherProp; + +implementation + +{$R *.dfm} + +end. diff --git a/Radiant_037b/ObjectProps.ddp b/Radiant_037b/ObjectProps.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/ObjectProps.ddp differ diff --git a/Radiant_037b/ObjectProps.dfm b/Radiant_037b/ObjectProps.dfm new file mode 100644 index 0000000..ba4573e --- /dev/null +++ b/Radiant_037b/ObjectProps.dfm @@ -0,0 +1,141 @@ +object ObjPropFrm: TObjPropFrm + Left = 325 + Top = 107 + BorderStyle = bsDialog + Caption = 'Object properties' + ClientHeight = 203 + ClientWidth = 293 + Color = clBtnFace + Font.Charset = DEFAULT_CHARSET + Font.Color = clWindowText + Font.Height = -11 + Font.Name = 'MS Sans Serif' + Font.Style = [] + OldCreateOrder = False + Position = poScreenCenter + OnShow = FormShow + DesignSize = ( + 293 + 203) + PixelsPerInch = 96 + TextHeight = 13 + object OkBtn: TBitBtn + Left = 8 + Top = 174 + Width = 75 + Height = 25 + Anchors = [akLeft, akBottom] + TabOrder = 0 + OnClick = OkBtnClick + Kind = bkOK + end + object CancelBtn: TBitBtn + Left = 88 + Top = 174 + Width = 75 + Height = 25 + Anchors = [akLeft, akBottom] + TabOrder = 1 + Kind = bkCancel + end + object UpdateBtn: TBitBtn + Left = 210 + Top = 174 + Width = 75 + Height = 25 + Anchors = [akRight, akBottom] + Caption = 'Load' + TabOrder = 2 + OnClick = UpdateBtnClick + end + object GroupBox1: TGroupBox + Left = 0 + Top = 0 + Width = 289 + Height = 169 + Caption = 'Basic props' + TabOrder = 3 + object Label1: TLabel + Left = 8 + Top = 20 + Width = 31 + Height = 13 + Caption = 'Target' + end + object Label2: TLabel + Left = 112 + Top = 20 + Width = 60 + Height = 13 + Caption = 'of this object' + end + object Label5: TLabel + Left = 8 + Top = 52 + Width = 22 + Height = 13 + Caption = 'Wait' + end + object Label6: TLabel + Left = 112 + Top = 52 + Width = 139 + Height = 13 + Caption = 'for respawn or next activation' + end + object TargetNameUD: TUpDown + Left = 89 + Top = 16 + Width = 16 + Height = 21 + Associate = TargetNameEd + Max = 32767 + TabOrder = 1 + Thousands = False + end + object TargetNameEd: TEdit + Left = 48 + Top = 16 + Width = 41 + Height = 21 + TabOrder = 0 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object WaitEd: TEdit + Left = 48 + Top = 48 + Width = 41 + Height = 21 + TabOrder = 2 + Text = '0' + OnKeyPress = TargetNameEdKeyPress + end + object WaitUD: TUpDown + Left = 89 + Top = 48 + Width = 16 + Height = 21 + Associate = WaitEd + Max = 32767 + Increment = 50 + TabOrder = 3 + Thousands = False + end + object ActiveGroup: TRadioGroup + Left = 8 + Top = 72 + Width = 273 + Height = 89 + Caption = 'Activation by other objects' + ItemIndex = 0 + Items.Strings = ( + 'Object can'#39't be activated(0)' + 'Object can be activated (1)' + 'SHOOTING activation(2)' + '1 and 2') + TabOrder = 4 + OnClick = ActiveGroupClick + end + end +end diff --git a/Radiant_037b/ObjectProps.pas b/Radiant_037b/ObjectProps.pas new file mode 100644 index 0000000..9b0a8be --- /dev/null +++ b/Radiant_037b/ObjectProps.pas @@ -0,0 +1,109 @@ +unit ObjectProps; + +interface + +(***************************************) +(* TFK Object edit form version 1.0.1 *) +(***************************************) +(* Created by Neoff *) +(* mail : neoff@fryazino.net *) +(* site : http://tfk.mirgames.ru *) +(***************************************) + +//форма редактирования базового объекта. +//длё редактирования спец. объекта нужно наследовать форму +//от этой либо другой формы редактирования. +//для новых свойств создается специальная GroupBox, +//загрузка, сохранение идет из структуры struct. +//сохранение свойств - proc Save;override; (inherited в конце) +//загрузка свойств - proc Load;override; (inherited в начале) + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, StdCtrls, Buttons, MapObj_Lib, ComCtrls, ExtCtrls; + +type + TObjPropFrm = class(TForm) + OkBtn: TBitBtn; + CancelBtn: TBitBtn; + UpdateBtn: TBitBtn; + GroupBox1: TGroupBox; + Label1: TLabel; + Label2: TLabel; + TargetNameUD: TUpDown; + TargetNameEd: TEdit; + Label5: TLabel; + WaitEd: TEdit; + WaitUD: TUpDown; + Label6: TLabel; + ActiveGroup: TRadioGroup; + procedure OkBtnClick(Sender: TObject); + procedure FormShow(Sender: TObject); + procedure UpdateBtnClick(Sender: TObject); + procedure ActiveGroupClick(Sender: TObject); + procedure TargetNameEdKeyPress(Sender: TObject; var Key: Char); + private + { Private declarations } + public + obj: TCustomMapObj; + struct: TMapObjStruct; + procedure Load;virtual; + procedure Save;virtual; + { Public declarations } + end; + +var + ObjPropFrm: TObjPropFrm; + +implementation + +{$R *.dfm} + +procedure TObjPropFrm.OkBtnClick(Sender: TObject); +begin + Save; +end; + +procedure TObjPropFrm.FormShow(Sender: TObject); +begin + Load; +end; + +procedure TObjPropFrm.UpdateBtnClick(Sender: TObject); +begin + Load +end; + +procedure TObjPropFrm.Save; +begin + struct.active:=ActiveGroup.ItemIndex; + Struct.target_name:=TargetNameUD.Position; + Struct.wait:=WaitUD.Position; + obj.Struct:=struct; +end; + +procedure TObjPropFrm.Load; +begin + struct:=obj.struct; + + ActiveGroup.ItemIndex:=Struct.active; + TargetNameUD.Enabled:=odd(struct.active); + TargetNameEd.Enabled:=odd(struct.active); + + TargetNameUD.Position:=struct.Target_Name; + WaitUD.Position:=Struct.wait; +end; + +procedure TObjPropFrm.ActiveGroupClick(Sender: TObject); +begin + TargetNameUD.Enabled:=ActiveGroup.ItemIndex>0; + TargetNameEd.Enabled:=ActiveGroup.ItemIndex>0; +end; + +procedure TObjPropFrm.TargetNameEdKeyPress(Sender: TObject; var Key: Char); +begin + if not (Key in ['0'..'9', #8]) then + Key:=#0; +end; + +end. diff --git a/Radiant_037b/TFKEntries.pas b/Radiant_037b/TFKEntries.pas new file mode 100644 index 0000000..e64b429 --- /dev/null +++ b/Radiant_037b/TFKEntries.pas @@ -0,0 +1,599 @@ +unit TFKEntries; + +interface + +(***************************************) +(* TFK Radiant module version 1.02 *) +(***************************************) +(* Created by Neoff *) +(* mail : neoff@fryazino.net *) +(* site : http://tfk.mirgames.ru *) +(***************************************) + +uses Classes, Graphics, SysUtils, MyEntries, MapObj_Lib, LightMap_Lib, + WP; + +const + defHead : TMapHeader1= +(MapType: 'TFKM'; ECount:1; Version:1; Author:'TFK'; Name: 'TFKMap'); + +type + TRGBA = packed record + R, G, B, A: Byte; + end; + +type + TBrick= word;//2 bytes на один брик. первый - сам брик. второй - проходим ли брик :) + //0 - брик проходим всегда + + //бит проходимости. Будут активно использоваться. + TBrickBlock = array [0..1] of boolean; + + //так - на брики у нас два байта. + //ЗДЕСЬ ОПИСАН ВТОРОЙ БАЙТ: + + //0. Проходимость (если 0, то проходим, и прорисовывается с альфой) + //1. Маска (если 1, то брик передний и прорисовывается спереди как непроходимый) + //2-7. Резерв - для компиляции чего-нибудь буду использовать. например ассоциативная + //память на объекты ;))) + +type + TBricksEntry = + class(TSimpleEntry) + constructor Create(Head_: TEntryHead; var F: File);overload; + constructor Create(Width_, Height_: integer);overload; + constructor Create(B: TBricksEntry);overload; + protected + function GetHeight: integer; + function GetWidth: integer; + function GetBricks(x, y: integer): byte; + procedure SetBricks(x, y: integer; const Value: byte); + function GetBrickBl(x, y: integer): boolean; + procedure SetBrickBl(x, y: integer; const Value: boolean); + function GetFront(x, y: integer): boolean; + procedure SetFront(x, y: integer; const Value: boolean); + + function GetCleared(x, y: integer): boolean; + + function GetHead: TEntryHead;override; + public + class function EntryClassName: TEntryClassName; + + property Brick[x, y: integer]:byte read GetBricks write SetBricks;default; + property Blocked[x, y: integer]:boolean read GetBrickBl write SetBrickBl; + property Front[x, y: integer]:boolean read GetFront write SetFront; + property Cleared[x, y: integer]: boolean read GetCleared; + + property Width: integer read GetWidth; + property Height: integer read GetHeight; + + procedure Clear; + procedure SetSize(newWidth, newHeight: integer); + + procedure CopyFrom(B: TBricksEntry); + end; + +///// + TMapObjEntry = + class(TSimpleEntry) + constructor Create(Head_: TEntryHead; var F: File);overload; + constructor Create;overload; + destructor Destroy;override; + protected + objs: TList; + function GetObj(i: integer): TCustomMapObj; + function GetHead: TEntryHead;override; + public + class function EntryClassName: TEntryClassName; + procedure WriteToFile(var F: File);override; + + function Count: integer; + property Obj[i: integer]: TCustomMapObj read GetObj;default; + + function Add(struct: TMapObjStruct): TCustomMapObj; + function IndexOf(obj_: TObject): integer; + procedure Delete(ind: integer); + procedure Exchange(ind1, ind2: integer); + end; + + TBrkTexEntry = + class(TCustomEntry) + constructor Create(Head_: TEntryHead; var F: File);overload; + constructor Create(Head_:TEntryHead; Stream: TMemoryStream; masccolor : TColor = clBlue);overload; + constructor Create(FileName: string);overload; + destructor Destroy;override; + protected + function GetHead: TEntryHead;override; + public + Bitmap: TBitmap; + class function EntryClassName: TEntryClassName; + procedure WriteToFile(var F: File);override; + end; + +//////////////////// + + TTFKMap = class(TCustomMap) + + private + function GetHeight: Word; + function GetWidth: Word; + public +// + Brk: TBricksEntry; + Obj: TMapObjEntry; + BrkTex: TBrkTexEntry; + Lights: TLightsEntry; + WP : TWPEntry; + + procedure Clear;override; + procedure NewMap;virtual; + + procedure AfterLoad;override; + procedure BeforeLoad;override; + + function CreateEntry(head: TEntryHead; var f: File): TCustomEntry;override; + + property Width : Word read GetWidth; + property Height : Word read GetHeight; + end; + +implementation + +{ TBricksEntry } + +procedure TBricksEntry.Clear; +begin + buf:=nil; + fhead.size:=0; + fhead.maxx:=0; + fhead.maxy:=0; +end; + +constructor TBricksEntry.Create(Head_: TEntryHead; var F: File); +var + i, j: integer; +begin + inherited Create(Head_, F); + if head_.version=1 then + begin + //ну что, ставим блокировку правильную + for i:=0 to Width-1 do + for j:=0 to Height-1 do + begin + Blocked[i, j]:=Brick[i, j]>0; + Front[i, j]:=false; + end; + end; +end; + +constructor TBricksEntry.Create(Width_, Height_: integer); +begin + inherited Create; + SetSize(Width_, Height_); +end; + +procedure TBricksEntry.CopyFrom(B: TBricksEntry); +begin + fhead:=B.Head; + SetSize(B.Width, B.Height); + Move(B.buf[0], buf[0], fhead.size); +end; + +constructor TBricksEntry.Create(B: TBricksEntry); +begin + inherited Create; + CopyFrom(B); +end; + +class function TBricksEntry.EntryClassName: TEntryClassName; +begin + Result:='BricksV1'; +end; + +function TBricksEntry.GetBrickBl(x, y: integer): boolean; +begin + if (x>=0) and (x=0) and (y0 + else Result:=False; +end; + +function TBricksEntry.GetBricks(x, y: integer): byte; +begin + if (x>=0) and (x=0) and (y=0) and (x=0) and (y=0) and (x=0) and (y0 + else Result:=False; +end; + +function TBricksEntry.GetHead: TEntryHead; +begin + fhead.EntryClass:=EntryClassName; + fhead.version:=2; + Result:=fhead; +end; + +function TBricksEntry.GetHeight: integer; +begin + Result:=head.maxy; +end; + +function TBricksEntry.GetWidth: integer; +begin + Result:=head.maxx; +end; + +procedure TBricksEntry.SetBrickBl(x, y: integer; const Value: boolean); +begin + if (x>=0) and (x=0) and (y=0) and (x=0) and (y=0) and (x=0) and (y=0) and (ind0 do + Delete(0); + inherited; +end; + +class function TMapObjEntry.EntryClassName: TEntryClassName; +begin + Result:='ObjectsV1'; +end; + +procedure TMapObjEntry.Exchange(ind1, ind2: integer); +begin + Objs.Exchange(ind1, ind2); +end; + +function TMapObjEntry.GetHead: TEntryHead; +begin + fhead.EntryClass:=EntryClassName; + fhead.size:=Count*SizeOf(TMapObjStruct); + Result:=fhead; +end; + +function TMapObjEntry.GetObj(i: integer): TCustomMapObj; +begin + Result:=TCustomMapObj(Objs[i]); +end; + +function TMapObjEntry.IndexOf(obj_: TObject): integer; +begin + Result:=Objs.IndexOf(obj_); +end; + +procedure TMapObjEntry.WriteToFile(var F: File); +var + i: integer; + struct:TMapObjStruct; +begin + GetHead; + BlockWrite(f, fhead, SizeOf(fhead)); + + for i:=0 to Count-1 do + begin + struct:=Obj[i].Struct; + BlockWrite(f, struct, SizeOf(struct)); + end; +end; + +{ TTFKMap } + +procedure TTFKMap.Clear; +begin + inherited Clear; + fhead:=defHead; +end; + +procedure TTFKMap.AfterLoad; +var + i, j, k: integer; +begin + if Brk=nil then + begin + Brk:=TBricksEntry.Create(20, 30); + Entries.Add(Brk); + end; + if Obj=nil then + begin + Obj:=TMapObjEntry.Create; + Entries.Add(Obj); + end; + //а теперь проверка на backbricks и emptybricks + k:=0; + with Obj do + while k0 then + Brk.Front[i, j]:=true; + end; + Delete(k); + end else + if ObjType = otEmptyBricks then + begin + for i:=x to x+width-1 do + for j:=y to y+height-1 do + Brk.Blocked[i, j]:=true; + Delete(k); + end else Inc(k); + end; +end; + +function TTFKMap.GetHeight: Word; +begin + Result:=Brk.Height; +end; + +function TTFKMap.GetWidth: Word; +begin + Result:=Brk.Width; +end; + +procedure TTFKMap.NewMap; +begin + BeforeLoad; + Clear; + AfterLoad; +end; + +function TTFKMap.CreateEntry(head: TEntryHead; var f: File): TCustomEntry; +begin + if (head.EntryClass=TBricksEntry.EntryClassName) then + if TBricksEntry.IsValidVersion(head.version) then + begin + Brk:=TBricksEntry.Create(head, f); + Result:=Brk; + end + else Result:=TSimpleEntry.Create(head, f) + else if (head.EntryClass=TMapObjEntry.EntryClassName) then + if TMapObjEntry.IsValidVersion(head.version) then + begin + Obj:=TMapObjEntry.Create(head, f); + Result:=Obj; + end + else Result:=TSimpleEntry.Create(head, f) + else if (head.EntryClass=TBrkTexEntry.EntryClassName) then + if TBrkTexEntry.IsValidVersion(head.version) then + begin + BrkTex:=TBrkTexEntry.Create(head, f); + Result:=BrkTex; + end + else Result:=TSimpleEntry.Create(head, f) + else if (head.EntryClass=TLightsEntry.EntryClassName) then + if TLightsEntry.IsValidVersion(head.version) then + begin + Lights:=TLightsEntry.Create(head, f); + Result:=Lights; + end + else Result:=TSimpleEntry.Create(head, f) + else if (head.EntryClass=TWPEntry.EntryClassName) then + if TWPEntry.IsValidVersion(head.version) then + begin + WP:=TWPEntry.Create(head, f); + Result:=WP; + end + else Result:=TSimpleEntry.Create(head, f) + else Result:=TSimpleEntry.Create(head, f); +end; + +procedure TTFKMap.BeforeLoad; +begin + Brk:=nil;Obj:=nil;BrkTex:=nil;Lights:=nil;WP:=nil; +end; + +{ TBrkTexEntry } + +constructor TBrkTexEntry.Create(Head_: TEntryHead; var F: File); +var + i: integer; + x, y: integer; + color:TColor; + col: TRGBA absolute color; +begin + inherited; + Bitmap:=TBitmap.Create; + Bitmap.Width:=32*fhead.TexCount; + Bitmap.Height:=16; + for i:=0 to fhead.TexCount-1 do + for y:=15 downto 0 do + for x:=i*32 to i*32+31 do + begin + BlockRead(f, color, 4); + //сдвиг + col.A:=0; + Bitmap.Canvas.Pixels[x, y]:=color; + end; +end; + +constructor TBrkTexEntry.Create(FileName: string); +begin + Bitmap:=TBitmap.Create; + Bitmap.LoadFromFile(FileName); + fhead.TEXCount:=(Bitmap.Width div 32)*(Bitmap.Height div 16); + fhead.size:=fhead.TEXCount*32*16*4; +end; + +constructor TBrkTexEntry.Create(Head_: TEntryHead; Stream: TMemoryStream; masccolor : TColor); +var + i, j: integer; +begin + fhead:=head_; + fhead.size:=Stream.Size; + Bitmap:=TBitmap.Create; + Bitmap.LoadFromStream(Stream); + if masccolor<>clBlue then + for j:=0 to Bitmap.Height-1 do + for i:=0 to Bitmap.Width-1 do + if Bitmap.Canvas.Pixels[i, j]=masccolor then + Bitmap.Canvas.Pixels[i, j]:=clBlue + else + if Bitmap.Canvas.Pixels[i, j]=clBlue then + Bitmap.Canvas.Pixels[i, j]:=$FE0000; + fhead.TEXCount:=(Bitmap.Width div 32)*(Bitmap.Height div 16); + fhead.size:=fhead.TEXCount*32*16*4; +end; + +destructor TBrkTexEntry.Destroy; +begin + Bitmap.Free; + inherited; +end; + +class function TBrkTexEntry.EntryClassName: TEntryClassName; +begin + Result:='BrkTexV1'; +end; + +function TBrkTexEntry.GetHead: TEntryHead; +begin + fhead.EntryClass:=EntryClassName; + fhead.size:=fhead.TEXCount*32*16*4; + Result:=fhead; +end; + +procedure TBrkTexEntry.WriteToFile(var F: File); + +var + tex, x, y, i, j: integer; + color: integer; + col: TRGBA absolute color; +begin + inherited; + if Bitmap<>nil then + for tex:=0 to fhead.TexCount-1 do + begin + x:=32*(tex mod (Bitmap.Width div 32)); + y:=16*(tex div (Bitmap.Width div 32)); + //пишем в файл текстуру № Tex:) + for j:=Y+15 downto Y do + for i:=X to X+31 do + begin + color:=Bitmap.Canvas.Pixels[i, j]; + if (col.B=255) and (col.G=0) and + (col.R=0) then + col.A:=0 + else col.A:=255; + BlockWrite(F, color, 4); + end; + end; +end; + +end. diff --git a/Radiant_037b/TFKrad.cfg b/Radiant_037b/TFKrad.cfg new file mode 100644 index 0000000..8b823de --- /dev/null +++ b/Radiant_037b/TFKrad.cfg @@ -0,0 +1,39 @@ +-$A8 +-$B- +-$C+ +-$D+ +-$E- +-$F- +-$G+ +-$H+ +-$I+ +-$J- +-$K- +-$L+ +-$M- +-$N+ +-$O+ +-$P+ +-$Q- +-$R- +-$S- +-$T- +-$U- +-$V+ +-$W- +-$X+ +-$YD +-$Z1 +-cg +-AWinTypes=Windows;WinProcs=Windows;DbiTypes=BDE;DbiProcs=BDE;DbiErrs=BDE; +-H+ +-W+ +-M +-$M16384,1048576 +-K$00400000 +-E"D:\delphi\TFK\TFK\TA\Radiant" +-LE"c:\program files\borland\delphi7\Projects\Bpl" +-LN"c:\program files\borland\delphi7\Projects\Bpl" +-w-UNSAFE_TYPE +-w-UNSAFE_CODE +-w-UNSAFE_CAST diff --git a/Radiant_037b/TFKrad.dof b/Radiant_037b/TFKrad.dof new file mode 100644 index 0000000..b2ec661 --- /dev/null +++ b/Radiant_037b/TFKrad.dof @@ -0,0 +1,145 @@ +[FileVersion] +Version=7.0 +[Compiler] +A=8 +B=0 +C=1 +D=1 +E=0 +F=0 +G=1 +H=1 +I=1 +J=0 +K=0 +L=1 +M=0 +N=1 +O=1 +P=1 +Q=0 +R=0 +S=0 +T=0 +U=0 +V=1 +W=0 +X=1 +Y=1 +Z=1 +ShowHints=1 +ShowWarnings=1 +UnitAliases=WinTypes=Windows;WinProcs=Windows;DbiTypes=BDE;DbiProcs=BDE;DbiErrs=BDE; +NamespacePrefix= +SymbolDeprecated=1 +SymbolLibrary=1 +SymbolPlatform=1 +UnitLibrary=1 +UnitPlatform=1 +UnitDeprecated=1 +HResultCompat=1 +HidingMember=1 +HiddenVirtual=1 +Garbage=1 +BoundsError=1 +ZeroNilCompat=1 +StringConstTruncated=1 +ForLoopVarVarPar=1 +TypedConstVarPar=1 +AsgToTypedConst=1 +CaseLabelRange=1 +ForVariable=1 +ConstructingAbstract=1 +ComparisonFalse=1 +ComparisonTrue=1 +ComparingSignedUnsigned=1 +CombiningSignedUnsigned=1 +UnsupportedConstruct=1 +FileOpen=1 +FileOpenUnitSrc=1 +BadGlobalSymbol=1 +DuplicateConstructorDestructor=1 +InvalidDirective=1 +PackageNoLink=1 +PackageThreadVar=1 +ImplicitImport=1 +HPPEMITIgnored=1 +NoRetVal=1 +UseBeforeDef=1 +ForLoopVarUndef=1 +UnitNameMismatch=1 +NoCFGFileFound=1 +MessageDirective=1 +ImplicitVariants=1 +UnicodeToLocale=1 +LocaleToUnicode=1 +ImagebaseMultiple=1 +SuspiciousTypecast=1 +PrivatePropAccessor=1 +UnsafeType=0 +UnsafeCode=0 +UnsafeCast=0 +[Linker] +MapFile=0 +OutputObjs=0 +ConsoleApp=1 +DebugInfo=0 +RemoteSymbols=0 +MinStackSize=16384 +MaxStackSize=1048576 +ImageBase=4194304 +ExeDescription= +[Directories] +OutputDir=D:\delphi\TFK\TFK\TA\Radiant +UnitOutputDir= +PackageDLLOutputDir= +PackageDCPOutputDir= +SearchPath= +Packages=vcl;rtl;vclx;indy;inet;xmlrtl;vclie;inetdbbde;inetdbxpress;dbrtl;dsnap;dsnapcon;vcldb;soaprtl;dbexpress;dbxcds;inetdb;bdertl;vcldbx;webdsnap;websnap;adortl;ibxpress;teeui;teedb;tee;dss;visualclx;visualdbclx;vclactnband +Conditionals= +DebugSourceDirs= +UsePackages=0 +[Parameters] +RunParams=sunsity.tm +HostApplication= +Launcher= +UseLauncher=0 +DebugCWD= +[Language] +ActiveLang= +ProjectLang= +RootDir= +[Version Info] +IncludeVerInfo=0 +AutoIncBuild=0 +MajorVer=1 +MinorVer=0 +Release=0 +Build=0 +Debug=0 +PreRelease=0 +Special=0 +Private=0 +DLL=0 +Locale=1049 +CodePage=1251 +[Version Info Keys] +CompanyName= +FileDescription= +FileVersion=1.0.0.0 +InternalName= +LegalCopyright= +LegalTrademarks= +OriginalFilename= +ProductName= +ProductVersion=1.0.0.0 +Comments= +[HistoryLists\hlUnitAliases] +Count=1 +Item0=WinTypes=Windows;WinProcs=Windows;DbiTypes=BDE;DbiProcs=BDE;DbiErrs=BDE; +[HistoryLists\hlOutputDirectorry] +Count=4 +Item0=D:\delphi\TFK\TFK\TA\Radiant +Item1=D:\delphi\TFK\TFK\mario\Radiant +Item2=D:\delphi\TFK\TFK\tfk\Radiant +Item3=C:\Documents and Settings\Administrator\Desktop\tfk\tfk\Radiant diff --git a/Radiant_037b/TFKrad.dpr b/Radiant_037b/TFKrad.dpr new file mode 100644 index 0000000..e24285f --- /dev/null +++ b/Radiant_037b/TFKrad.dpr @@ -0,0 +1,62 @@ +program TFKrad; + +uses + Forms, + main in 'main.pas' {MainForm}, + TFKEntries in 'TFKEntries.pas', + MapObj_Lib in 'MapObj_Lib.pas', + NFKMap_Lib in 'NFKMap_Lib.pas', + MyScroll in 'MyScroll.pas', + MyPalette in 'MyPalette.pas', + ClickPs in 'ClickPs.pas', + Constants_Lib in 'Constants_Lib.pas', + bzLib in 'bz\bzLib.pas', + PowerAcrModuleInfo in 'bz\PowerAcrModuleInfo.pas', + PowerArc in 'bz\PowerArc.pas', + ObjectProps in 'ObjectProps.pas' {ObjPropFrm}, + ObjButtonProps in 'ObjButtonProps.pas' {ObjButtonProp}, + ObjRespawnProps in 'ObjRespawnProps.pas' {ObjRespawnProp}, + ObjJumppadProps in 'ObjJumppadProps.pas' {ObjJumppadProp}, + ObjElevatorProps in 'ObjElevatorProps.pas' {ObjElevatorProp}, + MapProps in 'MapProps.pas' {MapPropsFrm}, + ObjDeathlineProps in 'ObjDeathlineProps.pas' {ObjDeathLineProp}, + ObjItemProps in 'ObjItemProps.pas' {ObjItemProp}, + ObjAreaPainProps in 'ObjAreaPainProps.pas' {ObjAreaPainProp}, + ObjAreaPushProps in 'ObjAreaPushProps.pas' {ObjAreaPushProp}, + ObjBGProps in 'ObjBGProps.pas' {ObjBgProp}, + MyEntries in 'MyEntries.pas', + ObjLightLineProps in 'ObjLightLineProps.pas' {ObjLightLineProp}, + about in 'about.pas' {AboutBox}, + ObjBloodGenProps in 'ObjBloodGenProps.pas' {ObjBloodGenProp}, + LightMap_Lib in 'LightMap_Lib.pas', + LightMapGen in 'LightMapGen.pas' {LMFrm}, + MapGen in 'MapGen.pas' {GenMapFrm}, + Generate_Lib in 'Generate_Lib.pas', + ObjWeatherProps in 'ObjWeatherProps.pas' {ObjWeatherProp}, + WP in 'WP.pas'; + +{$R *.res} + +begin + Application.Initialize; + Application.Title := 'TFK Radiant'; + Application.CreateForm(TMainForm, MainForm); + Application.CreateForm(TObjPropFrm, ObjPropFrm); + Application.CreateForm(TObjButtonProp, ObjButtonProp); + Application.CreateForm(TObjRespawnProp, ObjRespawnProp); + Application.CreateForm(TObjJumppadProp, ObjJumppadProp); + Application.CreateForm(TObjElevatorProp, ObjElevatorProp); + Application.CreateForm(TMapPropsFrm, MapPropsFrm); + Application.CreateForm(TObjDeathLineProp, ObjDeathLineProp); + Application.CreateForm(TObjItemProp, ObjItemProp); + Application.CreateForm(TObjAreaPainProp, ObjAreaPainProp); + Application.CreateForm(TObjAreaPushProp, ObjAreaPushProp); + Application.CreateForm(TObjBgProp, ObjBgProp); + Application.CreateForm(TObjLightLineProp, ObjLightLineProp); + Application.CreateForm(TAboutBox, AboutBox); + Application.CreateForm(TObjBloodGenProp, ObjBloodGenProp); + Application.CreateForm(TLMFrm, LMFrm); + Application.CreateForm(TGenMapFrm, GenMapFrm); + Application.CreateForm(TObjWeatherProp, ObjWeatherProp); + Application.Run; +end. diff --git a/Radiant_037b/TFKrad.res b/Radiant_037b/TFKrad.res new file mode 100644 index 0000000..678d1cf Binary files /dev/null and b/Radiant_037b/TFKrad.res differ diff --git a/Radiant_037b/WP.pas b/Radiant_037b/WP.pas new file mode 100644 index 0000000..241bb10 --- /dev/null +++ b/Radiant_037b/WP.pas @@ -0,0 +1,321 @@ +unit WP; + +interface + +uses + MyEntries, Classes, Windows, Graphics, ClickPs; + +const + WP_Size = 8; + WPLink_Size = 2; + +type + TWPObj = + class(TCustomCPObj) + constructor Create(x, y:word; t: char); + destructor Destroy;override; + protected + function GetX: word;override; + function GetY: word;override; + function GetWidth: word;override; + function GetHeight: word;override; + public + function SetX(Value: integer): integer;override; + function SetY(Value: integer): integer;override; + function SetLeftX(Value: integer): integer;override; + function SetTopY(Value: integer): integer;override; + function SetWidth(Value: integer): integer;override; + function SetHeight(Value: integer): integer;override; + + public + mainpoint: TClickPoint; + fx, fy: word; + ID: Word; + wp_type, u: char; + ways : array of TWPObj; + + procedure way_Add(obj: TWPObj); + procedure way_Delete(obj: TWPObj); + function way_Exists(obj: TWPObj): boolean; + function way_Count: integer; + + procedure ActionLink(LinkObj: TCustomCPObj);override; + end; + + TWPEntry = + class(TCustomEntry) + constructor Create(Head_: TEntryHead; var F: File);overload; + constructor Create;overload; + destructor Destroy;override; + protected + objs: TList; + function GetObj(i: integer): TWPObj; + function GetHead: TEntryHead;override; + public + class function EntryClassName: TEntryClassName; + procedure WriteToFile(var F: File);override; + + function Count: integer; + property Obj[i: integer]: TWPObj read GetObj;default; + + function Add(x, y: word; t: char): TWPObj; + procedure Clear; + procedure Delete(obj: TObject); + end; + +implementation + +uses Main; + +{ TWPObj } + +procedure TWPObj.ActionLink(LinkObj: TCustomCPObj); +begin + if LinkObj is TWPObj then + way_Add(TWPObj(LinkObj)); +end; + +constructor TWPObj.Create(x, y: word; t: char); +begin + ways:=nil; + wp_type:=t; + fx:=x;fy:=y; +// AddPoint(Self, CenterPoint, 0, -15, clSilver); + mainpoint:=AddPoint(Self, LinkPoint, 0, -15, clSilver); +end; + +destructor TWPObj.Destroy; +begin + ways:=nil; +end; + +function TWPObj.GetHeight: word; +begin + Result:=1; +end; + +function TWPObj.GetWidth: word; +begin + Result:=1; +end; + +function TWPObj.GetX: word; +begin + Result:=fx; +end; + +function TWPObj.GetY: word; +begin + Result:=fy; +end; + +function TWPObj.SetHeight(Value: integer): integer; +begin + Result:=0; +end; + +function TWPObj.SetLeftX(Value: integer): integer; +begin + Result:=0; +end; + +function TWPObj.SetTopY(Value: integer): integer; +begin + Result:=0; +end; + +function TWPObj.SetWidth(Value: integer): integer; +begin + Result:=0; +end; + +function TWPObj.SetX(Value: integer): integer; +begin + if Value<0 then Value:=0; + if Value>=Map.Width then Value:=Map.Width-1; + Result:=Value-fx; + fx:=Value; +end; + +function TWPObj.SetY(Value: integer): integer; +begin + if Value<0 then Value:=0; + if Value>=Map.Height then Value:=Map.Height-1; + Result:=Value-fy; + fy:=Value; +end; + +procedure TWPObj.way_Add(obj: TWPObj); +begin + if (obj=nil) or (obj=Self) then Exit; + if not way_Exists(obj) then + begin + SetLength(ways, way_Count+1); + ways[way_Count-1]:=obj; + end; +end; + +function TWPObj.way_Count: integer; +begin + if ways<>nil then + Result:=Length(ways) + else Result:=0; +end; + +procedure TWPObj.way_Delete(obj: TWPObj); +var + i: integer; +begin + i:=0; + while i0 do + begin + TWPObj(objs[0]).Free; + objs.Delete(0); + end; +end; + +function TWPEntry.Count: integer; +begin + Result:=objs.Count; +end; + +constructor TWPEntry.Create(Head_: TEntryHead; var F: File); +var + i, j: integer; + wc, next: word; + wp: TWPObj; +begin + inherited; + objs:=TList.Create; + for i:=0 to fhead.TEXCount-1 do + Add(0, 0, ' '); + for i:=0 to fhead.TEXCount-1 do + begin + wp:=obj[i]; + BlockRead(F, wp.fx, 2); + BlockRead(F, wp.fy, 2); + BlockRead(F, wp.wp_type, 1); + BlockRead(F, wp.u, 1); + BlockRead(F, wc, 2); + for j:=0 to wc-1 do + begin + BlockRead(F, next, 2); + wp.way_Add(obj[next]); + end; + end; +end; + +constructor TWPEntry.Create; +begin + objs:=TList.Create; +end; + +procedure TWPEntry.Delete(obj: TObject); +var + k: integer; +begin + k:=objs.IndexOf(obj); + if k>=0 then + begin + TWPObj(objs[k]).Free; + objs.Delete(k); + end; +end; + +destructor TWPEntry.Destroy; +begin + Clear; + objs.Free; + inherited; +end; + +class function TWPEntry.EntryClassName: TEntryClassName; +begin + Result:='WPEntryV1' +end; + +function TWPEntry.GetHead: TEntryHead; +var + i: integer; +begin + fhead.EntryClass:=EntryClassName; + fhead.Version:=1; + fhead.size:=count*WP_SIZE; + fhead.TEXCount:=count; + for i:=0 to count-1 do + Inc(fhead.size, Obj[i].way_Count*WPLINK_SIZE); + Result:=fhead; +end; + +function TWPEntry.GetObj(i: integer): TWPObj; +begin + if (i>=0) and (i PowerArcRegisterModule + SetOptions -> PowerArcSetOptions + Compress -> PowerArcCompress + Decompress -> PowerArcDecompress + + change param order in PowerArcCompress + was: + function PowerArcCompress(ArcIdx: integer; InStream,OutStream: TStream; + const ArcOpt: string = ''; ProgressCallback: TProgressCallback = nil): Boolean; + now: + function PowerArcCompress(InStream,OutStream: TStream; + ArcIdx: integer = iPowerBZIP; const ArcOpt: string = ''; + ProgressCallback: TProgressCallback = nil): Boolean; +-------------------------------------------------------------------------------- + What's new in ver.1.3 + + full progress callback support + + TProgressCallback changed type definition + + update BZIP core to ver.1.0.1 + + implementation BZIP as default built-in method + + RegisterPowerArcModule now check for dups + + fix memory leak: free Options list + + fix bug in Read/Write methods in implementation of stream interface +-------------------------------------------------------------------------------} + +interface + +uses SysUtils, Windows, Classes, PowerAcrModuleInfo, bzLib; + +type + EPowerArcError = class(Exception); + TProgressCallback = procedure (Current: integer) of object; + +const // default compression method + iPowerBZIP = 0; +var // loadable compression engines + iPowerZIP: integer = 0; + iPowerRANK: integer = 0; + iPowerPPM: integer = 0; + +function PowerArcRegisterModule(const Name: string): integer; + +procedure PowerArcSetOptions(ArcIdx: integer; const ArcOpt: string); + +function PowerArcCompress(InStream,OutStream: TStream; + ArcIdx: integer = iPowerBZIP; const ArcOpt: string = ''; + ProgressCallback: TProgressCallback = nil): Boolean; overload; + +function PowerArcCompress(const Buffer; Size: integer; OutStream: TStream; + ArcIdx: integer = iPowerBZIP; const ArcOpt: string = ''; + ProgressCallback: TProgressCallback = nil): Boolean; overload; + +function PowerArcDecompress(InStream,OutStream: TStream; + ProgressCallback: TProgressCallback = nil): Boolean; + +//============================ Stream interface ================================ + +type + +{ TPowerArcCompressStream compresses data on the fly as data is written to it, + and stores the compressed data to another stream. + + TPowerArcCompressStream is write-only and strictly sequential. Reading from the + stream will raise an exception. Using Seek to move the stream pointer + will raise an exception. + + Output data is cached internally, written to the output stream only when + the internal output buffer is full. All pending output data is flushed + when the stream is destroyed. + + The Position property returns the number of uncompressed bytes of + data that have been written to the stream so far. + + The OnProgress event is called each time the output buffer is filled and + written to the output stream. This is useful for updating a progress + indicator when you are writing a large chunk of data to the compression + stream in a single call.} + + TPowerArcCompressStream = class(TStream) + private + Base: TStream; + ArcIdx: integer; + ArcOpt: string; + Thread: TThread; + hReadPipe, + hWritePipe: THandle; + TotalWrited: integer; + BZCompressionStream: TBZCompressionStream; + FOnProgress: TProgressCallback; + procedure DoProgress(Current: integer); + public + constructor Create(BaseStream: TStream; FArcIdx: integer = iPowerBZIP; + const FArcOpt: string = ''); + destructor Destroy; override; + function Read(var Buffer; Count: Longint): Longint; override; + function Write(const Buffer; Count: Longint): Longint; override; + function Seek(Offset: Longint; Origin: Word): Longint; override; + property OnProgress: TProgressCallback read FOnProgress write FOnProgress; + end; + +{ TPowerArcDecompressStream decompresses data on the fly as data is read from it. + + Compressed data comes from a separate source stream. TPowerArcDecompressStream + is read-only and unidirectional; you can seek forward in the stream, but not + backwards. The special case of setting the stream position to zero is + allowed. Seeking forward decompresses data until the requested position in + the uncompressed data has been reached. Seeking backwards, seeking relative + to the end of the stream, requesting the size of the stream, and writing to + the stream will raise an exception. + + The Position property returns the number of bytes of uncompressed data that + have been read from the stream so far. + + The OnProgress event is called each time the internal input buffer of + compressed data is exhausted and the next block is read from the input stream. + This is useful for updating a progress indicator when you are reading a + large chunk of data from the decompression stream in a single call.} + + TPowerArcDecompressStream = class(TStream) + private + Base: TStream; + ArcIdx: integer; + Thread: TThread; + hReadPipe, + hWritePipe: THandle; + TotalReaded: integer; + BZDecompressionStream: TBZDecompressionStream; + FOnProgress: TProgressCallback; + procedure DoProgress(Current: integer); + public + constructor Create(BaseStream: TStream); + destructor Destroy; override; + function Read(var Buffer; Count: Longint): Longint; override; + function Write(const Buffer; Count: Longint): Longint; override; + function Seek(Offset: Longint; Origin: Word): Longint; override; + property OnProgress: TProgressCallback read FOnProgress write FOnProgress; + end; + +//============================================================================== + +type + // callback's + TReadFunc = function (Data: Pointer; var Buffer; Size: integer): integer; stdcall; + TWriteFunc = function (Data: Pointer; const Buffer; Size: integer): integer; stdcall; + // dll entryes + TPowerArcSetOptions = procedure (Opt: PChar); stdcall; + TPowerArcCompress = procedure (Data: Pointer; Opt: PChar; ReadFunc: TReadFunc; + WriteFunc: TWriteFunc); stdcall; + TPowerArcCompressMem = procedure (Data: Pointer; Opt: PChar; Mem: Pointer; + MemSize: integer; WriteFunc: TWriteFunc); stdcall; + TPowerArcDecompress = function (Data: Pointer; ReadFunc: TReadFunc; + WriteFunc: TWriteFunc): Boolean; stdcall; + // dll registration info + TPowerArcModule = record + Name: string; + hLib: THandle; + Info: PPowerArcModuleInfo; + Options: TStringList; + SetOptions: TPowerArcSetOptions; + Compress: TPowerArcCompress; + CompressMem: TPowerArcCompressMem; + Decompress: TPowerArcDecompress; + end; + +var + PowerArcModules: array of TPowerArcModule; + +implementation + +const + PipeSize = 4*4096; + +type + TPowerArcData = record + InStream,OutStream: TStream; + Current: integer; + ProgressCallback: TProgressCallback; + end; + +function ReadFunc(Data: Pointer; var Buffer; Size: integer): integer; stdcall; +begin + Result:=TPowerArcData(Data^).InStream.Read(Buffer,Size); + if Assigned(TPowerArcData(Data^).ProgressCallback) then begin + Inc(TPowerArcData(Data^).Current,Result); + TPowerArcData(Data^).ProgressCallback(TPowerArcData(Data^).Current); + end; +end; + +function WriteFunc(Data: Pointer; const Buffer; Size: integer): integer; stdcall; +begin + Result:=TPowerArcData(Data^).OutStream.Write(Buffer,Size); +end; + +function ValidArcIdx(ArcIdx: integer): Boolean; +begin + Result:=(ArcIdx >= 0) and (ArcIdx < Length(PowerArcModules)); +end; + +procedure PowerArcSetOptions(ArcIdx: integer; const ArcOpt: string); +begin + // no opt for default method + if (ArcIdx <> iPowerBZIP) and ValidArcIdx(ArcIdx) then + PowerArcModules[ArcIdx].SetOptions(PChar(ArcOpt)); +end; + +function PowerArcCompress(InStream,OutStream: TStream; + ArcIdx: integer; const ArcOpt: string; + ProgressCallback: TProgressCallback): Boolean; +var Data: TPowerArcData; +begin + Result:=False; + if ArcIdx = iPowerBZIP then begin + OutStream.Write(PowerArcModules[ArcIdx].Info^.ModuleID[0],8); + BZCompress(InStream,OutStream,ProgressCallback); + Result:=True; + end else if ValidArcIdx(ArcIdx) then try + Data.InStream:=InStream; + Data.OutStream:=OutStream; + Data.ProgressCallback:=ProgressCallback; + Data.Current:=0; + OutStream.Write(PowerArcModules[ArcIdx].Info^.ModuleID[0],8); + PowerArcModules[ArcIdx].Compress(@Data,PChar(ArcOpt),ReadFunc,WriteFunc); + Result:=True; + except + end; +end; + +type + TMapMemoryStream = class (TCustomMemoryStream) + private + FReadOnly: Boolean; + public + constructor Create(Buf: Pointer; Size: integer; ReadOnly: Boolean); + function Write(const Buffer; Count: integer): integer; override; + end; + +constructor TMapMemoryStream.Create(Buf: Pointer; Size: integer; ReadOnly: Boolean); +begin + inherited Create; + SetPointer(Buf,Size); + FReadOnly:=ReadOnly; +end; + +function TMapMemoryStream.Write(const Buffer; Count: integer): integer; +begin + if FReadOnly then Result:=0 + else begin + if Position+Count > Size then Result:=Size-Position + else Result:=Count; + Move(Buffer, Pointer(integer(Memory) + Position)^, Result); + Seek(Result,1); + end; +end; + +function PowerArcCompress(const Buffer; Size: integer; OutStream: TStream; + ArcIdx: integer; const ArcOpt: string; + ProgressCallback: TProgressCallback): Boolean; +var Data: TPowerArcData; + MapMemoryStream: TMapMemoryStream; +begin + if Assigned(ProgressCallback) then begin + if ArcIdx = iPowerBZIP then begin + OutStream.Write(PowerArcModules[ArcIdx].Info^.ModuleID[0],8); + BZCompress(Buffer,Size,OutStream,ProgressCallback); + Result:=True; + end else begin + MapMemoryStream:=TMapMemoryStream.Create(@Buffer,Size,True); + try + Result:=PowerArcCompress(MapMemoryStream,OutStream,ArcIdx,ArcOpt,ProgressCallback); + finally + MapMemoryStream.Free; + end; + end; + end else begin + Result:=False; + if ArcIdx = iPowerBZIP then begin + OutStream.Write(PowerArcModules[ArcIdx].Info^.ModuleID[0],8); + BZCompress(Buffer,Size,OutStream); + Result:=True; + end else if ValidArcIdx(ArcIdx) then try + Data.OutStream:=OutStream; + OutStream.Write(PowerArcModules[ArcIdx].Info^.ModuleID[0],8); + PowerArcModules[ArcIdx].CompressMem(@Data,PChar(ArcOpt),@Buffer,Size,WriteFunc); + Result:=True; + except + end; + end; +end; + +function PowerArcDecompress(InStream,OutStream: TStream; + ProgressCallback: TProgressCallback): Boolean; +var ModuleID: packed array[0..7] of Char; + j: integer; + Data: TPowerArcData; +begin + Result:=False; + InStream.Read(ModuleID[0],8); + for j:=0 to Length(PowerArcModules)-1 do + if PowerArcModules[j].Info^.ModuleID = ModuleID then try + if j = iPowerBZIP then + BZDecompress(InStream,OutStream) + else begin + Data.InStream:=InStream; + Data.OutStream:=OutStream; + Data.ProgressCallback:=ProgressCallback; + Data.Current:=0; + PowerArcModules[j].Decompress(@Data,ReadFunc,WriteFunc); + end; + Result:=True; + Exit; + except + end; +end; + +function PowerArcRegisterModule(const Name: string): integer; +type TGetPowerArcModuleInfo = function: PPowerArcModuleInfo; +var PowerArcModule: TPowerArcModule; + GetPowerArcModuleInfo: TGetPowerArcModuleInfo; + POpt: PChar; + j: integer; +begin + Result:=-1; + PowerArcModule.hLib:=LoadLibrary(PChar(Name)); + if PowerArcModule.hLib <> 0 then begin + PowerArcModule.Name:=Name; + GetPowerArcModuleInfo:=TGetPowerArcModuleInfo(GetProcAddress(PowerArcModule.hLib, + 'GetPowerArcModuleInfo')); + PowerArcModule.Info:=GetPowerArcModuleInfo; + // check that module exists + for j:=0 to Length(PowerArcModules)-1 do + if PowerArcModules[j].Info^.ModuleID = PowerArcModule.Info.ModuleID then begin + Result:=j; + FreeLibrary(PowerArcModule.hLib); + Exit; + end; + // continue init + PowerArcModule.SetOptions:=TPowerArcSetOptions(GetProcAddress(PowerArcModule.hLib,'SetOptions')); + PowerArcModule.Compress:=TPowerArcCompress(GetProcAddress(PowerArcModule.hLib,'Compress')); + PowerArcModule.CompressMem:=TPowerArcCompressMem(GetProcAddress(PowerArcModule.hLib,'CompressMem')); + PowerArcModule.Decompress:=TPowerArcDecompress(GetProcAddress(PowerArcModule.hLib,'Decompress')); + if Assigned(GetPowerArcModuleInfo) and + (PowerArcModule.Info^.Signature = PowerArcModuleSignature) and + Assigned(PowerArcModule.SetOptions) and + Assigned(PowerArcModule.Compress) and + Assigned(PowerArcModule.CompressMem) and + Assigned(PowerArcModule.Decompress) then begin + PowerArcModule.Options:=TStringList.Create; + POpt:=PowerArcModule.Info^.Options; + while POpt^ <> #0 do begin + PowerArcModule.Options.Add(POpt); + POpt:=POpt+StrLen(POpt)+1; + end; + SetLength(PowerArcModules,Length(PowerArcModules)+1); + PowerArcModules[Length(PowerArcModules)-1]:=PowerArcModule; + Result:=Length(PowerArcModules)-1; + end else + FreeLibrary(PowerArcModule.hLib); + end; +end; + +procedure PowerArcUnregisterModules; +var j: integer; +begin + for j:=0 to Length(PowerArcModules)-1 do begin + if PowerArcModules[j].hLib <> 0 then + FreeLibrary(PowerArcModules[j].hLib); + PowerArcModules[j].Options.Free; + end; + PowerArcModules:=nil; +end; + +{ TCompressThread } + +type + TCompressThread = class(TThread) + private + Done: Boolean; + CompressStream: TPowerArcCompressStream; + protected + procedure Execute; override; + end; + +{ TCompressThread } + +function ReadCompressFunc(Data: Pointer; var Buffer; Size: integer): integer; stdcall; +begin + if not Windows.ReadFile(TPowerArcCompressStream(Data).hReadPipe,Buffer,Size,DWORD(Result),nil) then + Result:=-1; +end; + +function WriteCompressFunc(Data: Pointer; const Buffer; Size: integer): integer; stdcall; +begin + Result:=TPowerArcCompressStream(Data).Base.Write(Buffer,Size); +end; + +procedure TCompressThread.Execute; +begin + try + CompressStream.Base.Write(PowerArcModules[CompressStream.ArcIdx].Info^.ModuleID[0],8); + PowerArcModules[CompressStream.ArcIdx].Compress(CompressStream, + PChar(CompressStream.ArcOpt),ReadCompressFunc,WriteCompressFunc); + except + end; + CloseHandle(CompressStream.hReadPipe); + Done:=True; +end; + +{ TPowerArcCompressStream } + +constructor TPowerArcCompressStream.Create(BaseStream: TStream; + FArcIdx: integer; const FArcOpt: string); +begin + inherited Create; + Base:=BaseStream; + ArcIdx:=FArcIdx; + ArcOpt:=FArcOpt; + Thread:=nil; + FOnProgress:=nil; + TotalWrited:=0; + if not ValidArcIdx(ArcIdx) then + raise EPowerArcError.Create('Invalid acrhive index'); + if ArcIdx = iPowerBZIP then begin + Base.Write(PowerArcModules[ArcIdx].Info^.ModuleID[0],8); + BZCompressionStream:=TBZCompressionStream.Create(Base); + BZCompressionStream.OnProgress:=DoProgress; + end else + BZCompressionStream:=nil; +end; + +destructor TPowerArcCompressStream.Destroy; +begin + if Thread <> nil then begin + CloseHandle(hWritePipe); + while not TCompressThread(Thread).Done do Sleep(0); + Thread.Free; + end; + if BZCompressionStream <> nil then + BZCompressionStream.Free; + inherited; +end; + +procedure TPowerArcCompressStream.DoProgress(Current: integer); +begin + if Assigned(FOnProgress) then FOnProgress(Current); +end; + +function TPowerArcCompressStream.Read(var Buffer; Count: Integer): Longint; +begin + raise EPowerArcError.Create('Invalid stream operation'); +end; + +function TPowerArcCompressStream.Seek(Offset: Integer; + Origin: Word): Longint; +begin + if (Offset = 0) and (Origin = soFromCurrent) then + Result := TotalWrited + else + raise EPowerArcError.Create('Invalid stream operation'); +end; + +function TPowerArcCompressStream.Write(const Buffer; + Count: Integer): Longint; +var Ret: Boolean; + ActualWrite: DWORD; + P: PChar; +begin + if ArcIdx = iPowerBZIP then + Result:=BZCompressionStream.Write(Buffer,Count) + else if Count > 0 then begin + if Thread = nil then begin + CreatePipe(hReadPipe,hWritePipe,nil,PipeSize); + Thread:=TCompressThread.Create(True); + TCompressThread(Thread).CompressStream:=Self; + TCompressThread(Thread).Done:=False; + Thread.FreeOnTerminate:=False; + Thread.Resume; + end; + //Windows.WriteFile(hWritePipe,Buffer,Count,DWORD(Result),nil); + Result:=0; + P:=PChar(@Buffer); + while Count > 0 do begin + Ret:=Windows.WriteFile(hWritePipe,P^,Count,ActualWrite,nil); + if not Ret or (Ret and (ActualWrite = 0)) then begin + if Result = 0 then Result:=-1; + Break; + end; + Dec(Count,ActualWrite); + Inc(Result,ActualWrite); + Inc(P,ActualWrite); + Sleep(0); + end; + end else + Result:=0; + if Result > 0 then begin + Inc(TotalWrited,Result); + if ArcIdx <> iPowerBZIP then + DoProgress(TotalWrited); + end; +end; + +{ TDecompressThread } + +type + TDecompressThread = class(TThread) + private + Done: Boolean; + DecompressStream: TPowerArcDecompressStream; + protected + procedure Execute; override; + end; + +{ TDecompressThread } + +function ReadDecompressFunc(Data: Pointer; var Buffer; Size: integer): integer; stdcall; +begin + Result:=TPowerArcDecompressStream(Data).Base.Read(Buffer,Size); +end; + +function WriteDecompressFunc(Data: Pointer; const Buffer; Size: integer): integer; stdcall; +begin + if not Windows.WriteFile(TPowerArcDecompressStream(Data).hWritePipe,Buffer,Size,DWORD(Result),nil) then + Result:=-1; +end; + +procedure TDecompressThread.Execute; +begin + try + PowerArcModules[DecompressStream.ArcIdx].Decompress(DecompressStream, + ReadDecompressFunc,WriteDecompressFunc); + except + end; + CloseHandle(DecompressStream.hWritePipe); + Done:=True; +end; + +{ TPowerArcDecompressStream } + +constructor TPowerArcDecompressStream.Create(BaseStream: TStream); +var ModuleID: packed array[0..7] of Char; + j: integer; +begin + inherited Create; + Base:=BaseStream; + Thread:=nil; + FOnProgress:=nil; + TotalReaded:=0; + if Base.Read(ModuleID[0],8) = 8 then + for j:=0 to Length(PowerArcModules)-1 do + if PowerArcModules[j].Info^.ModuleID = ModuleID then begin + if j = iPowerBZIP then begin + BZDecompressionStream:=TBZDecompressionStream.Create(Base); + BZDecompressionStream.OnProgress:=DoProgress; + end else + BZDecompressionStream:=nil; + ArcIdx:=j; + Exit; + end; + raise EPowerArcError.Create('Invalid acrhive index'); +end; + +destructor TPowerArcDecompressStream.Destroy; +begin + if Thread <> nil then begin + CloseHandle(hReadPipe); + while not TDecompressThread(Thread).Done do Sleep(0); + Thread.Free; + end; + if BZDecompressionStream <> nil then + BZDecompressionStream.Free; + inherited; +end; + +procedure TPowerArcDecompressStream.DoProgress(Current: integer); +begin + if Assigned(FOnProgress) then FOnProgress(Current); +end; + +function TPowerArcDecompressStream.Read(var Buffer; + Count: Integer): Longint; +var Ret: Boolean; + ActualRead: DWORD; + P: PChar; +begin + if ArcIdx = iPowerBZIP then + Result:=BZDecompressionStream.Read(Buffer,Count) + else if Count > 0 then begin + if Thread = nil then begin + CreatePipe(hReadPipe,hWritePipe,nil,PipeSize); + Thread:=TDecompressThread.Create(True); + TDecompressThread(Thread).DecompressStream:=Self; + TDecompressThread(Thread).Done:=False; + Thread.FreeOnTerminate:=False; + Thread.Resume; + end; + Result:=0; + P:=PChar(@Buffer); + while Count > 0 do begin + Ret:=Windows.ReadFile(hReadPipe,P^,Count,ActualRead,nil); + if not Ret or (Ret and (ActualRead = 0)) then begin + if Result = 0 then Result:=-1; + Break; + end; + Dec(Count,ActualRead); + Inc(Result,ActualRead); + Inc(P,ActualRead); + Sleep(0); + end; + end else + Result:=0; + if Result > 0 then begin + Inc(TotalReaded,Result); + if ArcIdx <> iPowerBZIP then + DoProgress(TotalReaded); + end; +end; + +function TPowerArcDecompressStream.Seek(Offset: Integer; + Origin: Word): Longint; +begin + if (Offset = 0) and (Origin = soFromCurrent) then + Result := TotalReaded + else + raise EPowerArcError.Create('Invalid stream operation'); +end; + +function TPowerArcDecompressStream.Write(const Buffer; + Count: Integer): Longint; +begin + raise EPowerArcError.Create('Invalid stream operation'); +end; + +// register default compression engine +procedure RegisterBZIP; +var POpt: PChar; +begin + SetLength(PowerArcModules,1); + with PowerArcModules[iPowerBZIP] do begin + Name:=''; + hLib:=0; + Info:=BZGetPowerArcModuleInfo; + Options:=TStringList.Create; + POpt:=Info^.Options; + while POpt^ <> #0 do begin + Options.Add(POpt); + POpt:=POpt+StrLen(POpt)+1; + end; + SetOptions:=nil; + Compress:=nil; + CompressMem:=nil; + Decompress:=nil; + end; +end; + +{ TCallbackObj } + +initialization + RegisterBZIP; + iPowerRANK:=PowerArcRegisterModule('PowerRANK.dll'); + iPowerZIP:=PowerArcRegisterModule('PowerZIP.dll'); + iPowerPPM:=PowerArcRegisterModule('PowerPPM.dll'); +finalization + PowerArcUnregisterModules; +end. diff --git a/Radiant_037b/bz/blocksort.obj b/Radiant_037b/bz/blocksort.obj new file mode 100644 index 0000000..5eeaf05 Binary files /dev/null and b/Radiant_037b/bz/blocksort.obj differ diff --git a/Radiant_037b/bz/bzLib.dcu b/Radiant_037b/bz/bzLib.dcu new file mode 100644 index 0000000..91eb46d Binary files /dev/null and b/Radiant_037b/bz/bzLib.dcu differ diff --git a/Radiant_037b/bz/bzLib.pas b/Radiant_037b/bz/bzLib.pas new file mode 100644 index 0000000..d2e9d37 --- /dev/null +++ b/Radiant_037b/bz/bzLib.pas @@ -0,0 +1,501 @@ +{*******************************************************} +{ } +{ BZIP2 1.0 Data Compression Interface Unit } +{ } +{*******************************************************} + +Unit bzLib; + +Interface + +Uses SysUtils, Classes, PowerAcrModuleInfo; + +// -------------------------- PowerArc specific -------------------------------- + +Type + TAlloc = Function(opaque: Pointer; Items, size: integer): Pointer; cdecl; + TFree = Procedure(opaque, Block: Pointer); cdecl; + + // Internal structure. Ignore. + TBZStreamRec = Packed Record + next_in: PChar; // next input byte + avail_in: longword; // number of bytes available at next_in + total_in: int64; // total nb of input bytes read so far + + next_out: PChar; // next output byte should be put here + avail_out: longword; // remaining free space at next_out + total_out: int64; // total nb of bytes output so far + + state: Pointer; + + bzalloc: TAlloc; // used to allocate the internal state + bzfree: TFree; // used to free the internal state + opaque: Pointer; + End; + + TProgressEvent = Procedure(Current: integer) Of Object; + // Abstract ancestor class + TCustomBZip2Stream = Class(TStream) + Private + FStrm: TStream; + FStrmPos: integer; + FOnProgress: TProgressEvent; + FBZRec: TBZStreamRec; + FBuffer: Array[Word] Of Char; + Protected + Procedure Progress(Sender: TObject); Dynamic; + Public + Constructor Create(Strm: TStream); + Property OnProgress: TProgressEvent Read FOnProgress Write FOnProgress; + End; + + TBZCompressionStream = Class(TCustomBZip2Stream) + Public + Constructor Create(Dest: TStream); + Destructor Destroy; Override; + Function Read(Var Buffer; Count: LongInt): LongInt; Override; + Function write(Const Buffer; Count: LongInt): LongInt; Override; + Function Seek(Offset: LongInt; Origin: Word): LongInt; Override; + Property OnProgress; + End; + + TBZDecompressionStream = Class(TCustomBZip2Stream) + Public + Constructor Create(Source: TStream); + Destructor Destroy; Override; + Function Read(Var Buffer; Count: LongInt): LongInt; Override; + Function write(Const Buffer; Count: LongInt): LongInt; Override; + Function Seek(Offset: LongInt; Origin: Word): LongInt; Override; + Property OnProgress; + End; + + { CompressBuf compresses data, buffer to buffer, in one call. + In: InBuf = ptr to compressed data + InBytes = number of bytes in InBuf + Out: OutBuf = ptr to newly allocated buffer containing decompressed data + OutBytes = number of bytes in OutBuf } +Procedure BZCompressBuf(Const InBuf: Pointer; InBytes: integer; + Out OutBuf: Pointer; Out OutBytes: integer); + +{ DecompressBuf decompresses data, buffer to buffer, in one call. + In: InBuf = ptr to compressed data + InBytes = number of bytes in InBuf + OutEstimate = zero, or est. size of the decompressed data + Out: OutBuf = ptr to newly allocated buffer containing decompressed data + OutBytes = number of bytes in OutBuf } +Procedure BZDecompressBuf(Const InBuf: Pointer; InBytes: integer; + OutEstimate: integer; Out OutBuf: Pointer; Out OutBytes: integer); + +Procedure BZCompress(Const Buffer; size: integer; OutStream: TStream; + ProgressCallback: TProgressEvent = Nil); overload; +Procedure BZCompress(InStream, OutStream: TStream; ProgressCallback: + TProgressEvent = Nil); overload; +Procedure BZDecompress(InStream, OutStream: TStream; ProgressCallback: + TProgressEvent = Nil); + +Type + EBZip2Error = Class(Exception); + EBZCompressionError = Class(EBZip2Error); + EBZDecompressionError = Class(EBZip2Error); + + // -------------------------- PowerArc specific -------------------------------- + +Function BZGetPowerArcModuleInfo: PPowerArcModuleInfo; + +Implementation + +{$L blocksort.obj} +{$L huffman.obj} +{$L compress.obj} +{$L decompress.obj} +{$L bzlib2.obj} +{$L crctable.obj} +{$L randtable.obj} + +Procedure _BZ2_hbMakeCodeLengths; External; +Procedure _BZ2_blockSort; External; +Procedure _BZ2_hbCreateDecodeTables; External; +Procedure _BZ2_hbAssignCodes; External; +Procedure _BZ2_compressBlock; External; +Procedure _BZ2_decompress; External; + +Const + BZ_RUN = 0; + BZ_FLUSH = 1; + BZ_FINISH = 2; + BZ_OK = 0; + BZ_RUN_OK = 1; + BZ_FLUSH_OK = 2; + BZ_FINISH_OK = 3; + BZ_STREAM_END = 4; + BZ_SEQUENCE_ERROR = (-1); + BZ_PARAM_ERROR = (-2); + BZ_MEM_ERROR = (-3); + BZ_DATA_ERROR = (-4); + BZ_DATA_ERROR_MAGIC = (-5); + BZ_IO_ERROR = (-6); + BZ_UNEXPECTED_EOF = (-7); + BZ_OUTBUFF_FULL = (-8); + + BZ_LEVEL = 9; + +Procedure _bz_internal_error(errcode: integer); Cdecl; +Begin + Raise EBZip2Error.CreateFmt('Compression Error %d', [errcode]); +End; + +Function _malloc(size: integer): Pointer; Cdecl; +Begin + GetMem(result, size); +End; + +Procedure _free(Block: Pointer); Cdecl; +Begin + FreeMem(Block); +End; + +// deflate compresses data + +Function BZ2_bzCompressInit(Var Strm: TBZStreamRec; BlockSize: integer; + verbosity: integer; workFactor: integer): integer; Stdcall; External; + +Function BZ2_bzCompress(Var Strm: TBZStreamRec; Action: integer): integer; + Stdcall; External; + +Function BZ2_bzCompressEnd(Var Strm: TBZStreamRec): integer; Stdcall; External; + +Function BZ2_bzBuffToBuffCompress(Dest: Pointer; Var destLen: integer; Source: + Pointer; + sourceLen, BlockSize, verbosity, workFactor: integer): integer; Stdcall; + External; + +// inflate decompresses data + +Function BZ2_bzDecompressInit(Var Strm: TBZStreamRec; verbosity: integer; + small: integer): integer; Stdcall; External; + +Function BZ2_bzDecompress(Var Strm: TBZStreamRec): integer; Stdcall; External; + +Function BZ2_bzDecompressEnd(Var Strm: TBZStreamRec): integer; Stdcall; + External; + +Function BZ2_bzBuffToBuffDecompress(Dest: Pointer; Var destLen: integer; Source: + Pointer; + sourceLen, small, verbosity: integer): integer; Stdcall; External; + +Function bzip2AllocMem(AppData: Pointer; Items, size: integer): Pointer; Cdecl; +Begin + GetMem(result, Items * size); +End; + +Procedure bzip2FreeMem(AppData, Block: Pointer); Cdecl; +Begin + FreeMem(Block); +End; + +Function CCheck(code: integer): integer; +Begin + result := code; + If code < 0 Then + Raise EBZCompressionError.CreateFmt('error %d', [code]); //!! +End; + +Function DCheck(code: integer): integer; +Begin + result := code; + If code < 0 Then + Raise EBZDecompressionError.CreateFmt('error %d', [code]); //!! +End; + +Procedure BZCompressBuf(Const InBuf: Pointer; InBytes: integer; + Out OutBuf: Pointer; Out OutBytes: integer); +Var + Strm : TBZStreamRec; + p : Pointer; +Begin + FillChar(Strm, Sizeof(Strm), 0); + Strm.bzalloc := bzip2AllocMem; + Strm.bzfree := bzip2FreeMem; + OutBytes := ((InBytes + (InBytes Div 10) + 12) + 255) And Not 255; + GetMem(OutBuf, OutBytes); + Try + Strm.next_in := InBuf; + Strm.avail_in := InBytes; + Strm.next_out := OutBuf; + Strm.avail_out := OutBytes; + CCheck(BZ2_bzCompressInit(Strm, BZ_LEVEL, 0, 0)); + Try + While CCheck(BZ2_bzCompress(Strm, BZ_FINISH)) <> BZ_STREAM_END Do + Begin + p := OutBuf; + Inc(OutBytes, 256); + ReallocMem(OutBuf, OutBytes); + Strm.next_out := PChar(integer(OutBuf) + (integer(Strm.next_out) - + integer(p))); + Strm.avail_out := 256; + End; + Finally + CCheck(BZ2_bzCompressEnd(Strm)); + End; + ReallocMem(OutBuf, Strm.total_out); + OutBytes := Strm.total_out; + Except + FreeMem(OutBuf); + Raise + End; +End; + +Procedure BZDecompressBuf(Const InBuf: Pointer; InBytes: integer; + OutEstimate: integer; Out OutBuf: Pointer; Out OutBytes: integer); +Var + Strm : TBZStreamRec; + p : Pointer; + BufInc : integer; +Begin + FillChar(Strm, Sizeof(Strm), 0); + Strm.bzalloc := bzip2AllocMem; + Strm.bzfree := bzip2FreeMem; + BufInc := (InBytes + 255) And Not 255; + If OutEstimate = 0 Then + OutBytes := BufInc + Else + OutBytes := OutEstimate; + GetMem(OutBuf, OutBytes); + Try + Strm.next_in := InBuf; + Strm.avail_in := InBytes; + Strm.next_out := OutBuf; + Strm.avail_out := OutBytes; + DCheck(BZ2_bzDecompressInit(Strm, 0, 0)); + Try + While DCheck(BZ2_bzDecompress(Strm)) <> BZ_STREAM_END Do + Begin + p := OutBuf; + Inc(OutBytes, BufInc); + ReallocMem(OutBuf, OutBytes); + Strm.next_out := PChar(integer(OutBuf) + (integer(Strm.next_out) - + integer(p))); + Strm.avail_out := BufInc; + End; + Finally + DCheck(BZ2_bzDecompressEnd(Strm)); + End; + ReallocMem(OutBuf, Strm.total_out); + OutBytes := Strm.total_out; + Except + FreeMem(OutBuf); + Raise + End; +End; + +// TCustomBZip2Stream + +Constructor TCustomBZip2Stream.Create(Strm: TStream); +Begin + Inherited Create; + FStrm := Strm; + FStrmPos := Strm.Position; + FBZRec.bzalloc := bzip2AllocMem; + FBZRec.bzfree := bzip2FreeMem; +End; + +Procedure TCustomBZip2Stream.Progress(Sender: TObject); +Begin + If Assigned(FOnProgress) Then FOnProgress(Position); +End; + +// TBZCompressionStream + +Constructor TBZCompressionStream.Create(Dest: TStream); +Begin + Inherited Create(Dest); + FBZRec.next_out := FBuffer; + FBZRec.avail_out := Sizeof(FBuffer); + CCheck(BZ2_bzCompressInit(FBZRec, BZ_LEVEL, 0, 0)); +End; + +Destructor TBZCompressionStream.Destroy; +Begin + FBZRec.next_in := Nil; + FBZRec.avail_in := 0; + Try + If FStrm.Position <> FStrmPos Then FStrm.Position := FStrmPos; + While (CCheck(BZ2_bzCompress(FBZRec, BZ_FINISH)) <> BZ_STREAM_END) + And (FBZRec.avail_out = 0) Do + Begin + FStrm.WriteBuffer(FBuffer, Sizeof(FBuffer)); + FBZRec.next_out := FBuffer; + FBZRec.avail_out := Sizeof(FBuffer); + End; + If FBZRec.avail_out < Sizeof(FBuffer) Then + FStrm.WriteBuffer(FBuffer, Sizeof(FBuffer) - FBZRec.avail_out); + Finally + BZ2_bzCompressEnd(FBZRec); + End; + Inherited Destroy; +End; + +Function TBZCompressionStream.Read(Var Buffer; Count: LongInt): LongInt; +Begin + Raise EBZCompressionError.Create('Invalid stream operation'); +End; + +Function TBZCompressionStream.write(Const Buffer; Count: LongInt): LongInt; +Begin + FBZRec.next_in := @Buffer; + FBZRec.avail_in := Count; + If FStrm.Position <> FStrmPos Then FStrm.Position := FStrmPos; + While (FBZRec.avail_in > 0) Do + Begin + CCheck(BZ2_bzCompress(FBZRec, BZ_RUN)); + If FBZRec.avail_out = 0 Then + Begin + FStrm.WriteBuffer(FBuffer, Sizeof(FBuffer)); + FBZRec.next_out := FBuffer; + FBZRec.avail_out := Sizeof(FBuffer); + FStrmPos := FStrm.Position; + End; + Progress(Self); + End; + result := Count; +End; + +Function TBZCompressionStream.Seek(Offset: LongInt; Origin: Word): LongInt; +Begin + If (Offset = 0) And (Origin = soFromCurrent) Then + result := FBZRec.total_in + Else + Raise EBZCompressionError.Create('Invalid stream operation'); +End; + +// TDecompressionStream + +Constructor TBZDecompressionStream.Create(Source: TStream); +Begin + Inherited Create(Source); + FBZRec.next_in := FBuffer; + FBZRec.avail_in := 0; + DCheck(BZ2_bzDecompressInit(FBZRec, 0, 0)); +End; + +Destructor TBZDecompressionStream.Destroy; +Begin + BZ2_bzDecompressEnd(FBZRec); + Inherited Destroy; +End; + +Function TBZDecompressionStream.Read(Var Buffer; Count: LongInt): LongInt; +Begin + FBZRec.next_out := @Buffer; + FBZRec.avail_out := Count; + If FStrm.Position <> FStrmPos Then FStrm.Position := FStrmPos; + While (FBZRec.avail_out > 0) Do + Begin + If FBZRec.avail_in = 0 Then + Begin + FBZRec.avail_in := FStrm.Read(FBuffer, Sizeof(FBuffer)); + If FBZRec.avail_in = 0 Then + Begin + result := Count - FBZRec.avail_out; + exit; + End; + FBZRec.next_in := FBuffer; + FStrmPos := FStrm.Position; + End; + CCheck(BZ2_bzDecompress(FBZRec)); + Progress(Self); + End; + result := Count; +End; + +Function TBZDecompressionStream.write(Const Buffer; Count: LongInt): LongInt; +Begin + Raise EBZDecompressionError.Create('Invalid stream operation'); +End; + +Function TBZDecompressionStream.Seek(Offset: LongInt; Origin: Word): LongInt; +Begin + If (Offset >= 0) And (Origin = soFromCurrent) Then + result := FBZRec.total_out + Else + Raise EBZDecompressionError.Create('Invalid stream operation'); + +End; + +Procedure CopyStream(Src, Dst: TStream); +Const + BufSize = 4096; +Var + Buf : Array[0..BufSize - 1] Of byte; + readed : integer; +Begin + If (Src <> Nil) And (Dst <> Nil) Then + Begin + readed := Src.Read(Buf[0], BufSize); + While readed > 0 Do + Begin + Dst.write(Buf[0], readed); + readed := Src.Read(Buf[0], BufSize); + End; + End; +End; + +Procedure BZCompress(InStream, OutStream: TStream; ProgressCallback: + TProgressEvent); +Var + CompressionStream: TBZCompressionStream; +Begin + CompressionStream := TBZCompressionStream.Create(OutStream); + Try + CompressionStream.OnProgress := ProgressCallback; + CopyStream(InStream, CompressionStream); + Finally + CompressionStream.free; + End; +End; + +Procedure BZDecompress(InStream, OutStream: TStream; ProgressCallback: + TProgressEvent); +Var + DecompressionStream: TBZDecompressionStream; +Begin + DecompressionStream := TBZDecompressionStream.Create(InStream); + Try + DecompressionStream.OnProgress := ProgressCallback; + CopyStream(DecompressionStream, OutStream); + Finally + DecompressionStream.free; + End; +End; + +Procedure BZCompress(Const Buffer; size: integer; OutStream: TStream; + ProgressCallback: TProgressEvent); +Var + CompressionStream: TBZCompressionStream; +Begin + CompressionStream := TBZCompressionStream.Create(OutStream); + Try + CompressionStream.OnProgress := ProgressCallback; + CompressionStream.write(Buffer, size); + Finally + CompressionStream.free; + End; +End; + +Const + BZIPModuleInfo: TPowerArcModuleInfo = ( + Signature: PowerArcModuleSignature; + Name: 'BZIP'; + Description: ''; + Options: #0#0; + DefaultBPC: 209; + MaxBPC: 209; + ModuleID: 'NFKDEMO-'; + ); + +Function BZGetPowerArcModuleInfo: PPowerArcModuleInfo; +Begin + result := @BZIPModuleInfo; +End; + +End. diff --git a/Radiant_037b/bz/bzlib2.obj b/Radiant_037b/bz/bzlib2.obj new file mode 100644 index 0000000..42515ad Binary files /dev/null and b/Radiant_037b/bz/bzlib2.obj differ diff --git a/Radiant_037b/bz/compress.obj b/Radiant_037b/bz/compress.obj new file mode 100644 index 0000000..58a7735 Binary files /dev/null and b/Radiant_037b/bz/compress.obj differ diff --git a/Radiant_037b/bz/crctable.obj b/Radiant_037b/bz/crctable.obj new file mode 100644 index 0000000..b5d516c Binary files /dev/null and b/Radiant_037b/bz/crctable.obj differ diff --git a/Radiant_037b/bz/decompress.obj b/Radiant_037b/bz/decompress.obj new file mode 100644 index 0000000..33e26bf Binary files /dev/null and b/Radiant_037b/bz/decompress.obj differ diff --git a/Radiant_037b/bz/huffman.obj b/Radiant_037b/bz/huffman.obj new file mode 100644 index 0000000..5922b2e Binary files /dev/null and b/Radiant_037b/bz/huffman.obj differ diff --git a/Radiant_037b/bz/randtable.obj b/Radiant_037b/bz/randtable.obj new file mode 100644 index 0000000..a327c54 Binary files /dev/null and b/Radiant_037b/bz/randtable.obj differ diff --git a/Radiant_037b/main.ddp b/Radiant_037b/main.ddp new file mode 100644 index 0000000..4370276 Binary files /dev/null and b/Radiant_037b/main.ddp differ diff --git a/Radiant_037b/main.dfm b/Radiant_037b/main.dfm new file mode 100644 index 0000000..a34329a --- /dev/null +++ b/Radiant_037b/main.dfm @@ -0,0 +1,15559 @@ +object MainForm: TMainForm + Left = 0 + Top = 0 + Width = 800 + Height = 570 + Caption = 'TFK radiant' + Color = clBtnFace + Font.Charset = DEFAULT_CHARSET + Font.Color = clWindowText + Font.Height = -11 + Font.Name = 'MS Sans Serif' + Font.Style = [] + KeyPreview = True + OldCreateOrder = False + Position = poScreenCenter + OnCloseQuery = FormCloseQuery + OnCreate = FormCreate + OnKeyDown = FormKeyDown + OnKeyPress = FormKeyPress + OnKeyUp = FormKeyUp + OnResize = FormResize + PixelsPerInch = 96 + TextHeight = 13 + object Paint1: TPaintBox + Left = 0 + Top = 47 + Width = 549 + Height = 477 + Align = alClient + PopupMenu = PopupMenu1 + OnMouseDown = Paint1MouseDown + OnMouseMove = Paint1MouseMove + OnMouseUp = Paint1MouseUp + OnPaint = Paint1Paint + end + object Splitter1: TSplitter + Left = 549 + Top = 47 + Height = 477 + Align = alRight + OnMoved = Splitter1Moved + end + object MenuBar: TActionMainMenuBar + Left = 0 + Top = 0 + Width = 792 + Height = 24 + ActionManager = ActionManager1 + Caption = 'Main Menu bar' + ColorMap.HighlightColor = 14410210 + ColorMap.BtnSelectedColor = clBtnFace + ColorMap.UnusedColor = 14410210 + Font.Charset = DEFAULT_CHARSET + Font.Color = clMenuText + Font.Height = -11 + Font.Name = 'Tahoma' + Font.Style = [] + Spacing = 0 + end + object RightPnl: TPanel + Left = 552 + Top = 47 + Width = 240 + Height = 477 + Align = alRight + Constraints.MaxWidth = 300 + Constraints.MinWidth = 90 + TabOrder = 1 + object ScrollBrk: TScrollBox + Left = 1 + Top = 1 + Width = 238 + Height = 372 + Align = alClient + TabOrder = 0 + OnResize = ScrollBrkResize + object PaintBrk: TPaintBox + Left = 0 + Top = 0 + Width = 234 + Height = 105 + Align = alTop + OnMouseDown = PaintBrkMouseDown + OnMouseMove = PaintBrkMouseMove + OnPaint = PaintBrkPaint + end + end + object Panel1: TPanel + Left = 1 + Top = 373 + Width = 238 + Height = 103 + Align = alBottom + BevelOuter = bvNone + TabOrder = 1 + DesignSize = ( + 238 + 103) + object BrowsePalBtn: TBitBtn + Left = 152 + Top = 8 + Width = 75 + Height = 25 + Anchors = [akTop, akRight] + Caption = 'Browse' + TabOrder = 0 + OnClick = BrowsePalBtnClick + end + object ClearPalBtn: TBitBtn + Left = 152 + Top = 40 + Width = 75 + Height = 25 + Anchors = [akTop, akRight] + Caption = 'Clear pal' + TabOrder = 1 + OnClick = ClearPalBtnClick + end + object SavePalBtn: TBitBtn + Left = 152 + Top = 72 + Width = 75 + Height = 25 + Anchors = [akTop, akRight] + Caption = 'Save pal' + TabOrder = 2 + OnClick = SavePalBtnClick + end + end + end + object StatusBar: TStatusBar + Left = 0 + Top = 524 + Width = 792 + Height = 19 + Panels = < + item + Text = 'modified' + Width = 50 + end + item + Text = 'x:50 y:100' + Width = 100 + end + item + Text = 'Select' + Width = 120 + end + item + Text = 'Target Name' + Width = 100 + end + item + Text = 'Bricks Buffer' + Width = 100 + end + item + Text = 'Obj Buffer' + Width = 100 + end> + end + object ActionToolBar1: TActionToolBar + Left = 0 + Top = 24 + Width = 792 + Height = 23 + ActionManager = ActionManager1 + Caption = 'ActionToolBar1' + ColorMap.HighlightColor = 14410210 + ColorMap.BtnSelectedColor = clBtnFace + ColorMap.UnusedColor = 14410210 + Spacing = 0 + end + object ActionManager1: TActionManager + FileName = 'menu.dat' + ActionBars = < + item + Items.HideUnused = False + Items = < + item + Items.HideUnused = False + Items = < + item + Items.HideUnused = False + Items = <> + Action = NewFile1 + end + item + Items.HideUnused = False + Items = <> + Action = FileOpen1 + ImageIndex = 7 + ShortCut = 16463 + end + item + Items.HideUnused = False + Items = <> + Action = FileSave1 + Caption = '&Save' + end + item + Items.HideUnused = False + Items = <> + Action = FileSaveAs1 + ImageIndex = 30 + end + item + Action = RunAct + end + item + Items.HideUnused = False + Items = <> + Action = FileExit1 + ImageIndex = 43 + end> + Caption = '&File' + end + item + Items = < + item + Action = EditCut1 + ImageIndex = 0 + ShortCut = 16472 + end + item + Action = EditCopy1 + ImageIndex = 1 + ShortCut = 16451 + end + item + Action = EditPaste1 + ImageIndex = 2 + ShortCut = 16470 + end + item + Action = EditDelete1 + ImageIndex = 5 + ShortCut = 46 + end + item + Action = EditUndo + ImageIndex = 3 + ShortCut = 16474 + end + item + Action = EditRedo + ImageIndex = 3 + ShortCut = 24666 + end> + Caption = '&Edit' + end + item + Items = < + item + Action = ObjMode + Caption = '&Objects' + ShortCut = 49 + end + item + Action = BrkMode + Caption = '&Bricks' + ShortCut = 50 + end + item + Action = BrickBlAct + Caption = 'B&locks' + ShortCut = 51 + end + item + Action = BrickFrontAct + Caption = '&Front' + ShortCut = 52 + end + item + Action = LightsMode + Caption = 'L&ights' + ShortCut = 53 + end + item + Action = LinkMode + ShortCut = 54 + end> + Caption = '&Mode' + end + item + Items = < + item + Action = wpact_Move + Caption = '&Add Waypoint' + ShortCut = 16471 + end + item + Action = wpact_Crouch + Caption = 'A&dd Crouch WP' + end + item + Action = wpact_Stay + Caption = 'Add &Stay WP' + end + item + Action = wpact_RemoveLink + Caption = '&Remove Links' + end> + Caption = '&WP' + end + item + Items.HideUnused = False + Items = < + item + Items.HideUnused = False + Items = <> + Action = MapProps1 + Caption = '&Map properties' + end> + Caption = 'M&ap' + end + item + Items.HideUnused = False + Items = < + item + Items.HideUnused = False + Items = <> + Action = CustomizeActionBars1 + end> + Caption = '&Tools' + end + item + Items = < + item + Action = Action1 + end> + Caption = '&Help' + end> + ActionBar = MenuBar + end + item + Items = < + item + Action = LightsMode + ShortCut = 53 + end + item + Action = ObjMode + Caption = '&Objects' + ShortCut = 49 + end> + end + item + Items = < + item + Action = BrickBlAct + ShortCut = 51 + end + item + Action = BrickFrontAct + Caption = '&Front' + ShortCut = 52 + end> + ActionBar = ActionToolBar1 + end> + Left = 16 + Top = 64 + StyleName = 'XP Style' + object NewFile1: TAction + Category = 'File' + Caption = '&New' + Hint = 'New|Create new map' + OnExecute = NewFile1Execute + end + object FileOpen1: TFileOpen + Category = 'File' + Caption = '&Open...' + Dialog.DefaultExt = 'tm' + Dialog.Filter = 'TFK maps|*.tm|NFK maps|*.mapa' + Dialog.Options = [ofHideReadOnly, ofFileMustExist, ofEnableSizing] + Hint = 'Open|Opens an existing file' + ImageIndex = 7 + ShortCut = 16463 + OnAccept = FileOpen1Accept + end + object FileSave1: TAction + Category = 'File' + Caption = 'Save' + Hint = 'Save|Saves current file' + OnExecute = FileSave1Execute + end + object FileSaveAs1: TFileSaveAs + Category = 'File' + Caption = 'Save &As...' + Dialog.DefaultExt = 'tm' + Dialog.Filter = 'TFK maps|*.tm' + Dialog.Options = [ofHideReadOnly, ofFileMustExist, ofEnableSizing] + Hint = 'Save As|Saves the active file with a new name' + ImageIndex = 30 + BeforeExecute = FileSaveAs1BeforeExecute + OnAccept = FileSaveAs1Accept + end + object RunAct: TAction + Category = 'File' + Caption = '&Run' + OnExecute = RunActExecute + end + object FileExit1: TFileExit + Category = 'File' + Caption = 'E&xit' + Hint = 'Exit|Quits the application' + ImageIndex = 43 + end + object MapProps1: TAction + Category = 'Map' + Caption = 'Map properties' + OnExecute = MapProps1Execute + end + object CustomizeActionBars1: TCustomizeActionBars + Category = 'Tools' + Caption = '&Customize' + ActionManager = ActionManager1 + CustomizeDlg.StayOnTop = True + end + object EditCut1: TEditCut + Category = 'Edit' + Caption = 'Cu&t' + Enabled = False + Hint = 'Cut|Cuts the selection and puts it on the Clipboard' + ImageIndex = 0 + ShortCut = 16472 + OnExecute = EditCut1Execute + end + object EditCopy1: TEditCopy + Category = 'Edit' + Caption = '&Copy' + Enabled = False + Hint = 'Copy|Copies the selection and puts it on the Clipboard' + ImageIndex = 1 + ShortCut = 16451 + OnExecute = EditCopy1Execute + end + object EditPaste1: TEditPaste + Category = 'Edit' + Caption = '&Paste' + Enabled = False + Hint = 'Paste|Inserts Clipboard contents' + ImageIndex = 2 + ShortCut = 16470 + OnExecute = EditPaste1Execute + end + object EditDelete1: TEditDelete + Category = 'Edit' + Caption = '&Delete' + Hint = 'Delete|Erases the selection' + ImageIndex = 5 + ShortCut = 46 + OnExecute = EditDelete1Execute + end + object ObjMode: TAction + Category = 'Mode' + Caption = 'Objects' + Checked = True + GroupIndex = 1 + ShortCut = 49 + OnExecute = BrkModeExecute + end + object BrkMode: TAction + Tag = 1 + Category = 'Mode' + Caption = 'Bricks' + GroupIndex = 1 + ShortCut = 50 + OnExecute = BrkModeExecute + end + object BrickBlAct: TAction + Category = 'Mode' + Caption = 'Blocks' + Checked = True + GroupIndex = 3 + ShortCut = 51 + OnExecute = BrickBlActExecute + end + object BrickFrontAct: TAction + Category = 'Mode' + Caption = 'Front' + Checked = True + GroupIndex = 4 + ShortCut = 52 + OnExecute = BrickBlActExecute + end + object LightsMode: TAction + Tag = 2 + Category = 'Mode' + Caption = 'Lights' + GroupIndex = 1 + ShortCut = 53 + OnExecute = BrkModeExecute + end + object Action1: TAction + Category = 'Help' + Caption = '&About' + OnExecute = Action1Execute + end + object GenMap: TAction + Category = 'Tools' + Caption = 'Generate Map' + OnExecute = GenMapExecute + end + object EditUndo: TEditUndo + Tag = -1 + Category = 'Edit' + Caption = '&Undo' + Hint = 'Undo|Reverts the last action' + ImageIndex = 3 + ShortCut = 16474 + OnExecute = EditUndoExecute + end + object EditRedo: TEditUndo + Tag = 1 + Category = 'Edit' + Caption = '&Redo' + Hint = 'Redo|Reverts the next action' + ImageIndex = 3 + ShortCut = 24666 + OnExecute = EditUndoExecute + end + object wpact_Move: TAction + Category = 'WP' + Caption = 'Add Waypoint' + ShortCut = 16471 + OnExecute = AddWPClick + end + object wpact_Crouch: TAction + Tag = 1 + Category = 'WP' + Caption = 'Add Crouch WP' + OnExecute = AddWPClick + end + object wpact_Stay: TAction + Tag = 2 + Category = 'WP' + Caption = 'Add Stay WP' + OnExecute = AddWPClick + end + object wpact_RemoveLink: TAction + Category = 'WP' + Caption = 'Remove Links' + OnExecute = wpact_RemoveLinkExecute + end + object LinkMode: TAction + Tag = 3 + Category = 'Mode' + Caption = 'WayPoints' + ShortCut = 54 + OnExecute = BrkModeExecute + end + end + object Box1: TImageList + Width = 32 + Left = 32 + Top = 104 + end + object RefreshTimer: TTimer + Interval = 100 + OnTimer = RefreshTimerTimer + Left = 72 + Top = 32 + end + object WeaponImg: TImageList + Width = 32 + Left = 128 + Top = 32 + Bitmap = { + 494C010107000900040020001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 0000000000003600000028000000800000003000000001002000000000000060 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000293139003939520000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000003142520031316B000000000000000000313152003921 + 6300392163003921630000213900392163003131310000000000000000000000 + 0000000000000000000000000000313152003131310000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000039424A003939420000000000000000000000 + 0000000000000000000000000000000000000000000000000000313131009C9C + 9C007B7B7B000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000394A630021292900212929002121 + 2900212129002129290000000000000000000000000000000000000000000000 + 0000000000003139420039394200393942003939420039394200393942003139 + 4200000000000000000000000000000000000000000000000000000000000000 + 0000000000003142520031425200313152003142520031313100313152003921 + 8400392184003921840039218400392163003142520031313100313131003131 + 52003131310031315200313131003131520031315200313131006B84BD00525A + 8400000000000000000000000000000000000000000000000000000000000000 + 000000000000000000003131420063739C004A4A5A0021212900101821003131 + 3100313131003131310000000000101821002931390042424A0039393900A5A5 + A500BD6300002931420000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000314A630029292900292929002121 + 2900212129002929290000000000000000000000000000000000000000000000 + 00000000000042424A0031313900313142003131420031394200313942003139 + 4200393942000000000000000000000000000000000000000000000000000000 + 00000000000031425200314252003131310031526B0031525200313152003921 + 6300002163000021630039216300392163003131310031425200314252003152 + 6B00526B8400525A84006B7394006B94AD00526B8400526B8400315A84003142 + 5200000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000004A4A4A003939390039393900393939004242 + 4A0042424A005A5A5A0031395200313952003139420039393900393939004A4A + 4A007B7B7B00424A5A00525A6300525A630039425200424A5A00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000002129290021292900292931002929 + 31003139420031394A0029313900000000000000000000000000000000000000 + 000000000000313942003139520029394A002121210029293900212939002931 + 4200212931000000000000000000000000000000000000000000000000000000 + 0000314252003142520031425200313131003142520031425200313131003142 + 5200314252003131310031313100313131003131520031313100314252003142 + 5200314252003142520031425200313131003142520031313100314252003131 + 5200313152000000000000000000000000000000000000000000000000000000 + 0000000000000000000029292900424A5200525A6300525A63004A4A4A004242 + 4A003939390052525200A5A5A500636B730039424A0031313100393939003939 + 39003939420039394200424A5A00525A6300525A630039526B00525A6B000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000004A525A0052525A000000 + 00000000000000000000000000000000000042424A004A525A004A525A003139 + 4A0029394A0029314A0031314A00000000000000000000000000000000000000 + 0000000000003139420029394A002939520042424A0042424A006B737B003139 + 520031425A0039424A0000000000000000000000000000000000000000003142 + 6B0031426B00525A6B0031526B00312131003142520031313100313131003142 + 52006B6B840052526B0031313100314252003131310031315200314252003131 + 5200314252003152520031425200313131003142520031425200313152003131 + 520031526B0031526B0000000000000000000000000000000000000000000000 + 0000000000003939390063636B005A5A7300525A6B005A5A73007B7B7B007B7B + 7B0063637300525252005252520042424A00BD630000BD630000313131004A4A + 4A005A5A5A005A5A5A004A4A5A005A5A5A005A5A73008C94AD00A5ADBD008C94 + AD00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000005A5A6300525A63000000 + 00000000000000000000000000000000000039394A004A525A00424A52003142 + 5200313952002931520029314A0042526B000000000000000000000000000000 + 00000000000039394200293142005A636B0042424A00949494006B737B005A63 + 63004A5A8400737B94000000000000000000000000000000000052526B00525A + 8400525A8400525A6B00525A8400525A6B00525A6B00525A6B0031426B003131 + 3100314252003142520031526B00314252003142520031425200314252003142 + 52003152520031526B0031315200314252003142520031425200312131003131 + 52003131520031426B0000000000000000000000000000000000000000000000 + 00000000000042424A00ADB5BD00737B94006B8CAD007B94BD007B7B7B007373 + 730084848C00BD6300007373730042424A003131310031313100212129003939 + 3900737373007B7B7B00737373009CA5AD006B94AD00A5B5C600A5ADBD008C94 + AD00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000063637300636B73007B84 + 940000000000000000000000000000000000A5ADBD00848C9C004A525A00394A + 630039526B00394A6B00394A630042526B0042526B0042526B0042526B004252 + 6B0042526B0042424A0029314200293142002129310042424A0042424A007B94 + BD0063739C007B849400000000000000000000000000000000006B8CBD00525A + 8400525A8400525A8400525A8400525A8400525A6B00525A840031426B00525A + 8400314252003142520031315200314252003152520031525200315252003152 + 5200314252003142310031425200314231003142520031425200002131003131 + 5200313131003131520000000000000000000000000000000000000000000000 + 000000000000525A5A00ADB5BD00A5ADBD007B94BD00A5B5C600A5ADAD00BD63 + 00005A5A5A0084848C007373730063636B005A5A5A005A5A5A00525252005A5A + 5A005252520063636B007B94BD0084A5D60084A5D60084A5D60084A5D6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000007B7B94008C94 + 9C00848CA50073738C00737B94006B738C006B6B7B00FFFFFF006B7384005A73 + A5005273A5005A73AD006373A500637BB5006373AD0063739C006B739C006373 + 9C00637BA5006373A500525A7B00526B9400526B9400526B9C005A739C005A6B + 94005A638C007B8494007373840000000000000000000000000000000000526B + 8400525A6B00525A6B00525A8400525A8400526B8400526B8400527394005273 + 94003142520031525200D6A56300D6A56300D6A56300D6AD8400D6A563003152 + 6B00D6A56300BD840000BD940000BDAD00003142520031315200313131003131 + 5200314252003142520000000000000000000000000000000000000000000000 + 0000000000000000000073738C00BDD6F700B5C6CE0084848C00BD6300006B6B + 7B00525A630073738C009C9C9C00A5A5A5009C9C9C0073737300B5BDBD009C9C + 9C005A5A5A006B94AD0094BDFF006B94AD006B94AD0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000008C8C9400ADAD + BD006B73840000000000000000000000000000000000000000009494A5008CA5 + CE00849CE7008CADE7008CADF7008CB5FF008CB5FF009CADD600000000000000 + 000094ADD6007BADF700000000000000000084ADEF0084ADF70084ADFF0094BD + FF008494CE00BDD6F7009C9CAD00000000000000000000000000000000000000 + 00000000000000000000526B9400526B94006B73940052849400527394003131 + 520031425200D6BD6300EFFF8400EFCE6300FFBDA500FFBDA500FFFF63005252 + 6B00BD630000BD733900D6843900EFA500003142520031213100002131003142 + 520031526B000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000636B7300B5C6CE009CB5B500B5BDC600525A + 6B005A5A73005A5A73007B7B7B00525A5A005A5A5A00ADADAD0063636B004A4A + 5A0084848C008494A5005A5A7300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000084A5D6006B8CAD006B8CBD003142 + 6B00000000000000000000000000000000000000000000000000000000006B8C + 9400525A6B00525A6B0052526B0031526B0031526B0031315200315A84003142 + 5200000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000424A + 5A0063739C0063739C0063739C007B848C007B848C00ADADAD007B848C007373 + 73008494A5000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000006B84BD00525A + 8400000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000424A52007B848C009CA5AD005A5A5A006B6B6B004A4A + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005A6373000000 + 0000000000000000000031317300212152001010210000000000000000000000 + 0000000000000000000000081000000818000800100000081000080010000808 + 1800000818000008100000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000081029000810290000082100081831000818 + 3100081831000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000031394200FF00FF0018215200081029001818390010183900101839001018 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000031523100315A3100315A3100315231000000000000000000000000000000 + 000000000000000000008C8C8C008C8C8C00000000000000000000000000A5A5 + A500CECECE000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005A636B003142 + 4200393952000000000039396300212142000000000000000000182131000000 + 0000000000002129310029213900101831001018210008102100081018000810 + 3900081039001008310010082900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001010100010182100000800000000000000000000000000000000 + 0000000000000000000000102900081029000818390008183900080831000818 + 3900081831000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000424A5200FF00FF0021215A001821520018215A0010184A00101831001018 + 4A00101842001018420010184200313139003131390039394200313942004242 + 52004A525A004242520031394200424A5A00424A52004A525A00313139003131 + 390039394200000000000000000000000000000000000000000000000000316B + 5200316B5200315A31003152310031523100315A520000000000000000000000 + 00004A4A4A004A4A4A005A5A5A005A5A5A006B6B6B004A4A4A007B7B7B004A4A + 5A00083131000831310000310000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000737B84003142 + 4A001829390021293900313952004A4A4A003939420031313900393142002931 + 42004A4A520031394A0021314200102139001018290010182100101018001008 + 210000102100081031000810310021214200393952003131420029314A003139 + 4200293142002131420031314200000000000000000000000000000000000000 + 0000000000000008080000212100000800000000000000000000000000000000 + 0000000000002121290008213100082131000818310008183100081842000818 + 3100000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000525A630021216300212973002129840021297B0018215A00101852001018 + 4A00101842001018420021297B00424A520039425200424A5200424A52003942 + 4A0039424A003942520031394A0031394A003139420031394200313142002931 + 3900313942000000000000000000000000000000000000000000000000005284 + 6B00316B5200316B6B0031523100004200000052310000523100F7FFFF00A5A5 + A500CECECE00B5B5B5009C9C9C008C8C8C0094949400A5A5A5004A4A4A00424A + 4A006B6B6B003939390008313100314231000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000008C94AD007B8C + 9C004A5A63002931420021313100293131002129290021293100313942003942 + 52003942520029293100182131004A4A52004242520042424A00394A63003942 + 630039395A003142630031396300424273004A526B004A4A6B004A525A005A5A + 7300525A730052636B005A5A6B00000000000000000000000000000000000000 + 0000000000000010000000100800000800005252520000000000000000000000 + 0000000000003939390029393900424242002139390018313900182939006363 + 63005A6363005A6363005A5A5A006B6B6B005A5A5A006B6B6B00393939000000 + 0000000000000000000000000000000000000000000000000000000000001821 + 5A0021297B0021298C002939B5002139AD0029319C002129940029316B000818 + 840018215A0018215A0021298C0042425200424A5200424A5200424A52003942 + 4A0039424A003939420031394200313942003131390031393900292931002129 + 3100212129000000000000000000000000000000000000000000315A31003152 + 3100315A3100316B5200315A5200315231000042000031523100FFFFFF00FFFF + FF00FFFFFF00B5B5B500CECECE00C6D6DE008C8C8C008C8C8C008C8C8C008C8C + 8C009C9C9C008494A5006B6B6B0052846B000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000D6EF + FF00C6DEDE00ADBDB5007B849400636B7B004A5A5A00424A4A005A6B73009494 + 94009494A5007B8494007B8C9C007B7B8C00737B84006B7B84007B848C006B7B + 8C006B6B9400636B8C00636B94006B738C00738494006B7B8C00848CA5008C94 + AD007B7B9C007B7B9C007B8C9C00000000000000000000000000000000000000 + 0000000000000000000000101000001008000018180000000000000000000000 + 0000424242003939390042424200424242004242420052525200636363005A5A + 5A005A6363005A5A5A005A5A5A00525A6300525252004A4A4A00313131000000 + 0000000000000000000000000000000000000000000000000000212984002129 + 9400394AAD002939D600294AE7002942DE00314AFF002939D6001029AD002129 + 6B0018215A0021297B0021297B0039424A0042424A0039424A0039424A003942 + 420039394200393942003939420031393900313939003139390039424A003939 + 4A0031313100000000000000000000000000000000000000000031523100316B + 5200315A5200315A52000052310031523100315A52000042000031523100848C + 9400FFFFFF00FFFFFF00A5A5A5009C9C9C008C8C8C007B7B7B006B6B6B00394A + 4A006B6B6B006B6B6B00315A5200315A3100737373005A5A5A00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000CEDEEF00B5BDCE00949CAD007B8C9400A5B5C600BDCE + CE00DEDEDE00CED6DE00B5C6CE00D6E7EF00D6E7E700D6E7EF00D6D6EF00CED6 + EF00CECEEF00C6CEDE00CED6DE00C6CEDE00C6C6D600A5ADBD00B5C6D600C6CE + E700B5BDCE00A5B5C600C6D6EF00000000000000000000000000000000000000 + 0000000000002131390031313100292929002129290021312900313131002131 + 31004A4A4A00525252004A52520063636300636363005A5A5A00737373007373 + 7300737B7B00737373005A6B6B006B6B73006B6B6B005A5A5A00313939000000 + 0000000000000000000000000000000000000000000000000000294AFF002142 + EF003142CE001839BD003142DE002939BD002939BD0021319C002131B5002131 + B5002939D60021297B002939B500525A630063636B005A63630063636B005A5A + 63005A5A6300525A5A005A5A6300525A5A004A525A004A525A00B5C6CE007B84 + 8C0039425A000000000000000000000000000000000000000000316B5200316B + 52005273520031735200315A5200316B5200315A52003152310052736B00849C + 9400848C9400B5B5B500A5A5A500A5A5A5008C8C8C0000420000004200000052 + 0000315A52003142310031313100B5B5B500949494007B7B7B00636363005A5A + 5A00000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000007B7B84000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000006B6B6B004A4A4A004A4A52004A4A4A00636363004A4A4A004A4A + 4A00737373007373730084848400737373008484840073737300737373007B7B + 7B006B6B6B006B6B6B00424A5200294252004A52520042424200393939000000 + 000000000000000000000000000000000000000000000000000000000000ADFF + FF002952FF00395AFF0094A5AD00636B84005A5A73008C94AD007384EF002131 + B5002142D6002139C6002131A500CED6DE00CED6D600B5BDC600ADB5BD00ADAD + BD009CA5AD009CA5AD00949CA5008C94940084848C00000000008C9494000000 + 00007B848400000000000000000000000000000000000000000000000000315A + 5200316B5200316B5200525A5200315A52005273520052736B0052736B008C8C + 8C0094949400A5A5A5000021840000216B0000216B0000215200315231003152 + 3100314231003142310031525200D6D6D600B5B5B500A5A5A500848484006B6B + 6B005A5A5A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000004A4A5200525A63004A525A004A5252004A5252005A5A + 5A009CB5B5009CA5A500A5ADAD008C8C8C00848484007B7B7B006B6B6B007373 + 73006B6B6B005A5A5A0000212900002129004242420039393900212929000000 + 0000000000000000000000000000000000000000000000000000000000003184 + FF00396BFF00000000000000000000000000000000007384EF007384EF003152 + EF002139DE002139BD002131A500FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFF + FF00FFFFFF00F7FFFF00E7EFE700DEE7DE00C6CECE0000000000C6CED6000000 + 0000B5BDBD000000000000000000000000000000000000000000000000000000 + 000052736B0031735200316B5200315A520052736B0052736B0094C6BD008C8C + 8C008C8C8C003142D6000031AD000021840031216B0031215200525A6B00315A + 5200315A520031423100F7F7F700EFEFEF00CECECE00ADADAD00949494008484 + 8400000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000006363630063636300424A4A004A4A4A00394A4A005252 + 52009CA5A5009CA5A5009CA59C009CA5A500949C9C007B7B7B007B7B7B006B6B + 6B006B6B6B007B7B7B000821390008213900314A520031393900213131000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000318452005284520052846B00527352005273520000000000A5A5 + A5005294FF005284FF00000000000000000000000000000000005273520052A5 + 8400316B52003173520000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000008C8C + 8C009CA5A5009CA5A5009CA5A5009CA5A5009CA5A500949C9C008C8C8C007B7B + 7B00848484007373730008314A0008314A003942420039424A00313131000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000052845200526B6B005273520000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000300000000100010000000000000300000000000000000000 + 000000000000000000000000FFFFFF0000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000FE7FFFFFFCC07E7FFE7FC7FF00000000 + FF00000FF800000FFC0203FF00000000FF000007F800000FFE00003F00000000 + FF000007F0000007FC00001F000000009F000003E0000003F800000F00000000 + 9F000003C0000003F800000F000000008F000003C0000003F800001F00000000 + C0000001E0000003FC00007F00000000C7C03301FC000007FE0001FF00000000 + FFFFFFFFFF0FE00FFFE007FF00000000FFFFFFFFFFFFFFCFFFFC0FFF00000000 + FFFFFFFFFFFFFFFFFFFFFFFF00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000 + FFFFFFFFFFFFFFFFFFFFFFFF00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000 + FFFFFFFFFFFFFFFFFFFFFFFF00000000DC7C03FFFFFE07FFF00FFFFFF0FCE7FF + C4D801FFF8FC07FFF0000007E07001FFC0000001F8F80FFFF0000007E00000FF + C0000001F878001FE0000007C00000FFE0000001FC70001FC0000007C000003F + FC000001F800001FC0000007C000000FFFDFFFFFF800001FE0000057E0000007 + FFFFFFFFFC00001FE7800057F000000FFFFFFFFFFC00001FFFFFFFFFF823C3FF + FFFFFFFFFFE0001FFFFFFFFFFC7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 000000000000} + end + object AmmoImg: TImageList + Width = 32 + Left = 128 + Top = 64 + Bitmap = { + 494C010108000900040020001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 0000000000003600000028000000800000003000000001002000000000000060 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000319C9C00188C + 940021949400087B7B0021948C00299C9C004AB5BD00319CA500299C9C002194 + 9400218C8C000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000018C66B0018C6 + 730000AD520010B5630018BD630029D67B0021C67B0008B56B0010BD6B0008B5 + 520008B552000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000008400CE007B00 + D6006B00BD007B00CE008C00D600A500DE009C00DE008C00DE008400DE008400 + C6009400DE000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000292929002929 + 2900292929002929290029292900292929002929290029292900292929002121 + 2100292929000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039ADA5004ABDB50052BD + C6004AB5B50021949400188C8400218C940039A5AD0039ADAD0042ADAD004AB5 + B50039ADAD0042ADA50000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000A5420000A54200009C + 4A00009C420000A54A0000AD420010BD6B0018BD730021CE730021CE6B0021CE + 730021CE6B0018BD5A0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000009C00DE00A500DE00A500 + DE00A500DE009400DE008C00CE009400DE00B510DE00C621DE00C621DE00AD08 + DE009C00DE009C00DE0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000031313100313131003939 + 3900313131003939390039393900393939003939390039393900393939003131 + 3100393939003939390000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000006B6B0008737B00087B7B00424A + 4A00525252004A4A52004A4A4A00424A4A0042424A0042424A00424A4A00424A + 4A0018848400188C8C00087B7B00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000008C3100007B2900007B2100424A + 4A00525252004A4A52004A4A4A00424A4A0042424A0042424A00424A4A00424A + 4A00006308000063100000631000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000005A00AD006300B5007300BD00424A + 4A00525252004A4A52004A4A4A00424A4A0042424A0042424A00424A4A00424A + 4A005A00A5004A009C004A009C00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424242004242420039393900424A + 4A00525252004A4A52004A4A4A00424A4A0042424A0042424A00424A4A00424A + 4A00393939003131310031313100000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000002194940010848400087B7B004A4A + 4A004A4A4A0039394200393942003939420039394200393942004A4A4A004A4A + 4A00188C8C00299C9C00319C9C00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000031DE840029D67B0029D67B004A4A + 4A004A4A4A0039394200393942003939420039394200393942004A4A4A004A4A + 4A0000A5520000A54A00009C4200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000008C00D6008C00DE009C00DE004A4A + 4A004A4A4A0039394200393942003939420039394200393942004A4A4A004A4A + 4A007B00CE007B00CE008C00DE00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000003131310029292900292929004A4A + 4A004A4A4A0039394200393942003939420039394200393942004A4A4A004A4A + 4A00292929002929290029292900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000042B5B50039A5A50031A59C004A4A + 4A00424A4A0018181800181818001818180018181800181818004A4A4A00424A + 4A0031A59C00299C9C0031A5A500000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000008AD5A0010BD5A0018BD52004A4A + 4A00424A4A0018181800181818001818180018181800181818004A4A4A00424A + 4A0029CE630018C66B0018C66B00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000008400D6006B00B5007300AD004A4A + 4A00424A4A0018181800181818001818180018181800181818004A4A4A00424A + 4A009400D6008400CE007B00CE00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000003939390031313100313131004A4A + 4A00424A4A0018181800181818001818180018181800181818004A4A4A00424A + 4A00313131003939390039393900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000021949400319C9C00219494004242 + 4A0042424A00181818001818180018181800181818001818180042424A004242 + 4A0039ADA500188C8C00087B7B00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000007B290000842900008429004242 + 4A0042424A00181818001818180018181800181818001818180042424A004242 + 4A0000A5420000A54A0000A54A00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000006300B50052009C0052009C004242 + 4A0042424A00181818001818180018181800181818001818180042424A004242 + 4A008C00D6007300BD006300B500000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000003939390039393900393939004242 + 4A0042424A00181818001818180018181800181818001818180042424A004242 + 4A00393939003939390039393900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000188C8C00319C9C0039ADAD003942 + 4200424A4A00181818001818180018181800181818001818180039424200424A + 4A00319CA50042ADAD004ABDBD00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000029CE7B0018C66B0018C673003942 + 4200424A4A00181818001818180018181800181818001818180039424200424A + 4A0008AD5A0010BD630021CE7B00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000007B00CE008C00DE009400DE003942 + 4200424A4A00181818001818180018181800181818001818180039424200424A + 4A008C00DE00A500DE00B510DE00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000003131310031313100313131003942 + 4200424A4A00181818001818180018181800181818001818180039424200424A + 4A00313131003939390039393900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000031A5A50039ADAD00299C94004242 + 4A0039424200393942003939420039394200393942003939420042424A003942 + 4200188C8400188C8C00299C9C00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000A55A0000A5420000A542004242 + 4A0039424200393942003939420039394200393942003939420042424A003942 + 4200009431000094310000A55200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000006B00C6006B00B5006B00B5004242 + 4A0039424200393942003939420039394200393942003939420042424A003942 + 42007300BD008C00CE009400DE00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000003939390039393900393939004242 + 4A0039424200393942003939420039394200393942003939420042424A003942 + 4200393939003131310039393900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000087B7B00087B7B00087B73003942 + 4A0039424A0039424A00393942003939420042424200424A4A0039424A003942 + 4A0010847B000073730000635A00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000842100008C3900008C29003942 + 4A0039424A0039424A00393942003939420042424200424A4A0039424A003942 + 4A00009431000094420000842100000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000006300A5006300B5005A009C003942 + 4A0039424A0039424A00393942003939420042424200424A4A0039424A003942 + 4A006B00AD006300BD0052009C00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000003131310031313100313131003942 + 4A0039424A0039424A00393942003939420042424200424A4A0039424A003942 + 4A00313131003131310029292900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000087B7B00219494002994 + 9C0021949C0031A5A5004AB5B5004AB5C60031A5AD002194940021949C00299C + A50029949C00319C9C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000029D67B0039E78C0039E7 + 8C0042EF9C0039E7940042EF940039E7AD0031DE940031DE840031DE8C0042EF + A50042EF94004AEF9C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000A500DE00AD08DE008C00 + DE009400DE009400DE00AD08DE008400DE008C00DE008400D600A500DE009400 + DE007B00CE007B00C60000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000029292900292929003131 + 3100393939003131310031313100313131003131310029292900313131003131 + 3100313131003131310000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000021948C002194 + 8C0021949C002194940021948C0021949C0021949C002194940021949C002194 + 940021948C000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000AD4A0000AD + 420000AD5A0000AD520000AD4A0000AD5A0000AD630000AD520000AD5A0000AD + 520000AD4A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000007B00C6007B00 + BD007B00DE007B00CE007B00C6007B00D6007B00DE007B00CE007B00DE007B00 + D6007B00C6000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000424242004242 + 42004A4A4A004242420042424200424242004242420039393900424242003939 + 3900393939000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000ADA50000B5 + BD0000ADAD0000A5A500009C9C0000ADAD0000ADB50000A5AD00009C9C00009C + 9400009C94000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000000039BD000042 + D6000042CE000039C6000031B5000031B5000031C6000039CE000042CE000042 + C6000039BD000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000003900000039 + 1000003900000031000000310000003900000039080000310000003100000031 + 0000003100000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000181873001818 + 7300181873001818730018187300181873001818730018187300181873001818 + 7300181873000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000BDAD0000D6C60000CE + D60000D6D60000CECE0008DED60010EFF70010EFF70010EFEF0000DED60008DE + DE0000D6CE0010EFDE0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000063DE000873F7001084 + FF001084FF001084FF000073EF00087BFF00006BFF001084FF001884FF002194 + FF00087BF700006BE70000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000420800004A1000004A + 1800004A1800004A100000521800085A2900085A2900085A2900005218000052 + 2100004A1000085A180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018187300181873001818 + 730018186B0021217B0029298400292984002929840021217B0021217B001818 + 730021217B002929840000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000029FFFF0018F7FF0021FFFF00424A + 4A00525252004A4A52004A4A4A00424A4A0042424A0042424A00424A4A00424A + 4A0018F7F70010EFEF0000CED600000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001084FF00107BFF001884FF00424A + 4A00525252004A4A52004A4A4A00424A4A0042424A0042424A00424A4A00424A + 4A000073F700087BFF001084FF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000010633100085A290010632900424A + 4A00525252004A4A52004A4A4A00424A4A0042424A0042424A00424A4A00424A + 4A00085A2900085A210000522100000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000292984003131840021217B00424A + 4A00525252004A4A52004A4A4A00424A4A0042424A0042424A00424A4A00424A + 4A0021217B001818730018186B00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000BDBD0000BDBD0000ADAD004A4A + 4A004A4A4A0039394200393942003939420039394200393942004A4A4A004A4A + 4A0000B5B50000A5A50000B5B500000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000042CE000042C6000031BD004A4A + 4A004A4A4A0039394200393942003939420039394200393942004A4A4A004A4A + 4A000052DE000052DE000052D600000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000042080000420800003908004A4A + 4A004A4A4A0039394200393942003939420039394200393942004A4A4A004A4A + 4A00003908000031000000390800000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001818630018186300181863004A4A + 4A004A4A4A0039394200393942003939420039394200393942004A4A4A004A4A + 4A0018185A001818630018186300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000C6C60000DED60000C6AD004A4A + 4A00424A4A0018181800181818001818180018181800181818004A4A4A00424A + 4A0010EFDE0000DED60010EFEF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000073F7001084FF000073E7004A4A + 4A00424A4A0018181800181818001818180018181800181818004A4A4A00424A + 4A00188CFF001084FF000073F700000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000004A100000521800004200004A4A + 4A00424A4A0018181800181818001818180018181800181818004A4A4A00424A + 4A00085A18000052180000522100000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001818730018186B00181873004A4A + 4A00424A4A0018181800181818001818180018181800181818004A4A4A00424A + 4A0021217B0021217B0029298400000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000010E7EF0018EFEF0018F7EF004242 + 4A0042424A00181818001818180018181800181818001818180042424A004242 + 4A0000D6D60010E7E70010E7EF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001084FF001084FF00188CFF004242 + 4A0042424A00181818001818180018181800181818001818180042424A004242 + 4A000073F7000073F7000073FF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000085A2100085A2100085A21004242 + 4A0042424A00181818001818180018181800181818001818180042424A004242 + 4A0000521800085A2100085A2100000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000292984002929840029297B004242 + 4A0042424A00181818001818180018181800181818001818180042424A004242 + 4A0021217B0021217B0021217B00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000008E7E70000C6C60000D6DE003942 + 4200424A4A00181818001818180018181800181818001818180039424200424A + 4A0000ADB50000CECE0008DEE700000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000063F700005AE700006BF7003942 + 4200424A4A00181818001818180018181800181818001818180039424200424A + 4A000073FF00006BF700087BFF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000052210000421000004A21003942 + 4200424A4A00181818001818180018181800181818001818180039424200424A + 4A0000390800004A1000004A1800000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000018186B001818730018186B003942 + 4200424A4A00181818001818180018181800181818001818180039424200424A + 4A001818730021217B0029297B00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000010EFF70010E7DE0021F7EF004242 + 4A0039424200393942003939420039394200393942003939420042424A003942 + 420021FFEF0008E7DE0000D6DE00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000087BFF00006BEF00006BEF004242 + 4A0039424200393942003939420039394200393942003939420042424A003942 + 4200107BFF002194FF00299CFF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000085A2900085A2100106321004242 + 4A0039424200393942003939420039394200393942003939420042424A003942 + 42001063290000521800004A1800000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000021217B002929840021217B004242 + 4A0039424200393942003939420039394200393942003939420042424A003942 + 420021217B001818730018187300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000B5AD0000C6CE0000C6BD003942 + 4A0039424A0039424A00393942003939420042424200424A4A0039424A003942 + 4A0008E7D60000D6DE0000B5AD00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000052D6000052DE000052CE003942 + 4A0039424A0039424A00393942003939420042424200424A4A0039424A003942 + 4A000042BD000052DE00005AD600000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000420000004A1800004A08003942 + 4A0039424A0039424A00393942003939420042424200424A4A0039424A003942 + 4A000052180000521800004A1000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000018186B0018186B00181873003942 + 4A0039424A0039424A00393942003939420042424200424A4A0039424A003942 + 4A00181873001818630018185A00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000ADAD00009C9C0000AD + B50000C6CE0000CED60000C6C60000CEE70000BDC60000B5AD0000A5AD0000B5 + C60000C6C60000CECE0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000063EF000063E7000063 + EF000052E700004AD6000039BD00004ADE00005AEF000063E7000052E7000052 + E7000052DE00004AD60000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000390000003100000039 + 080000421800004A1800004A1000004A29000042100000390000003108000042 + 1000004A1000004A100000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018185A00181863001818 + 6B001818730018186B0018186B0018186B001818630018185A00181863001818 + 6B001818730018186B0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000D6CE0000D6 + C60000D6E70000D6D60000D6CE0000D6E70000D6E70000D6D60000D6E70000D6 + DE0000D6CE000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000006BEF00006B + E700006BFF00006BF700006BEF00006BFF00006BFF00006BF700006BFF00006B + FF00006BEF000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000005210000052 + 1000005221000052180000521000005221000052210000521800005221000052 + 1800005210000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000031318C003131 + 8C003939940031318C0031318C00313184002929840029298400292984002121 + 7B0021217B000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000300000000100010000000000000300000000000000000000 + 000000000000000000000000FFFFFF0000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000FFC007FFFFC007FFFFC007FFFFC007FF + FF8003FFFF8003FFFF8003FFFF8003FFFF0001FFFF0001FFFF0001FFFF0001FF + FF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FF + FF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FF + FF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FF + FF8003FFFF8003FFFF8003FFFF8003FFFFC007FFFFC007FFFFC007FFFFC007FF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC007FFFFC007FFFFC007FFFFC007FF + FF8003FFFF8003FFFF8003FFFF8003FFFF0001FFFF0001FFFF0001FFFF0001FF + FF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FF + FF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FF + FF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FFFF0001FF + FF8003FFFF8003FFFF8003FFFF8003FFFFC007FFFFC007FFFFC007FFFFC007FF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 000000000000} + end + object PowerUpImg: TImageList + Width = 32 + Left = 128 + Top = 96 + Bitmap = { + 494C010106000900040020001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 0000000000003600000028000000800000003000000001002000000000000060 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000CECECE00CECECE0000000000CECECE00CECECE00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000004A004A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600D6D6D600DEDEDE0000000000DEDEDE00D6D6D600D6D6D6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000005200520052005200000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000D6D6 + D600DEDEDE00DEDEDE00E7E7E70000000000E7E7E700DEDEDE00DEDEDE00D6D6 + D600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000005200520052005200520052000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000D6D6D600DEDE + DE00DEDEDE00E7E7E700E7E7E70000000000E7E7E700E7E7E700DEDEDE00DEDE + DE00D6D6D6000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000052005200000000005A005A0063006300630063005A00 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000CECECE00D6D6D600DEDE + DE00E7E7E700E7E7E700E7E7E70000000000E7E7E700E7E7E700E7E7E700DEDE + DE00D6D6D600CECECE0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000520052005200520000000000630063006B006B006B006B006300 + 63005A005A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000CECECE00DEDEDE00E7E7 + E700E7E7E700E7E7E700E7E7E70000000000E7E7E700E7E7E700E7E7E700E7E7 + E700DEDEDE00CECECE0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00005A005A005A005A005A005A0000000000630063006B006B00730073006B00 + 6B00630063005A005A0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A00 + 5A0063006300630063006300630000000000630063006B006B00730073007300 + 73006B006B00630063005A005A00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000CECECE00DEDEDE00E7E7 + E700E7E7E700E7E7E700E7E7E70000000000E7E7E700E7E7E700E7E7E700E7E7 + E700DEDEDE00CECECE0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005A005A006B00 + 6B0073007300730073006B006B00000000005A005A0063006300630063006B00 + 6B006B006B00630063005A005A00520052000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000CECECE00D6D6D600DEDE + DE00E7E7E700E7E7E700E7E7E70000000000E7E7E700E7E7E700E7E7E700DEDE + DE00D6D6D600CECECE0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000005A005A00630063007300 + 730073007300730073006B006B0000000000520052005A005A005A005A006300 + 63005A005A005A005A005A005A00520052004A004A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000D6D6D600DEDE + DE00DEDEDE00E7E7E700E7E7E70000000000E7E7E700E7E7E700DEDEDE00DEDE + DE00D6D6D6000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000520052005A005A00630063007300 + 7300730073006B006B0063006300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000D6D6 + D600DEDEDE00DEDEDE00E7E7E70000000000E7E7E700DEDEDE00DEDEDE00D6D6 + D600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000052005200520052005A005A00630063006B00 + 6B006B006B006300630063006300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600D6D6D600DEDEDE0000000000DEDEDE00D6D6D600D6D6D6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000CECECE00CECECE0000000000CECECE00CECECE00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000084000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000295ABD003163CE003163CE00316BD6003163CE003163C600295ABD000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000073EFEF0063CECE00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000BDBD00000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000009C000000A50000008C0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003163 + C600316BDE003973E7003973E7003973E7003973E7003973EF00316BDE003163 + C600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000063CECE0073EFEF0063CECE000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000A5A500000000000000000000B5B500000000000000000000A5A500000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000008C00000000000000AD000000B500000094000000000000008C000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000295ABD00316B + DE003973EF003973EF00316BDE00316BDE003973DE003973EF00397BF7003973 + E700295ABD000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000063CECE0073EFEF0063CECE0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000B5B5000000000000BDBD0000B5B50000BDBD000000000000B5B500000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 9C0000009400000000000000AD000000BD000000940000000000000094000000 + 9C00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000003163CE003973 + EF00397BF7003973E7000000000000000000000000003973E700397BF7003973 + EF003163CE000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000063CECE0073EFEF0063CECE00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000B5B5000000000000BDBD0000B5B50000BDBD000000000000C6C600000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000009C000000 + AD0000009400000000000000AD000000BD000000940000000000000094000000 + AD0000009C000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000316BCE003973 + EF00397BF7003973E7000000000000000000000000003973DE00397BF700397B + F7003163CE000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000073EFEF007BF7F70063CECE000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000BDBD + 0000B5B5000000000000DEDE0000B5B50000DEDE000000000000C6C60000BDBD + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000009C000000B5000000 + C60000009400000000000000AD000000BD000000940000000000000094000000 + C6000000B50000009C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000316BCE00397B + F700397BF7003973E7003163C600000000003163C6003973E700397BFF003973 + EF00316BCE000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005AB5B50063CE + CE006BD6D6006BDEDE006BDEDE0073E7E7007BF7F70084FFFF007BF7F7006BCE + CE00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000BDBD + 0000B5B5000000000000DEDE0000B5B50000DEDE000000000000C6C60000BDBD + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000009C000000C6000000D6000000 + D60000009400000000000000AD000000BD000000940000000000000094000000 + D6000000D6000000C60000009C00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000316BCE003973 + EF00397BF7003973E700316BCE0000000000316BCE003973E700397BF7003973 + EF003163CE000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000000000000063CE + CE007BF7F70084FFFF007BF7F70073E7E7006BDEDE006BDEDE006BDEDE0063CE + CE005AB5B5000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000B5B50000B5B50000BDBD + 0000B5B5000000000000DEDE0000B5B50000DEDE000000000000CECE0000B5B5 + 0000B5B50000A5A5000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000009C000000AD000000C6000000CE000000 + CE0000009400000000000000AD000000BD000000940000000000000094000000 + CE000000CE000000C6000000AD0000009C000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000003163CE00316B + DE003973E700316BD600000000003163CE0000000000316BD600316BDE00316B + DE003163C6000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000063CECE007BF7F70073EFEF00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000D6D60000C6C60000D6D60000DEDE0000DEDE + 0000B5B5000000000000DEDE0000B5B50000DEDE000000000000CECE0000DEDE + 0000D6D60000CECE0000CECE0000CECE00000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000094000000A5000000A5000000AD000000AD000000 + AD0000009400000000000000A5000000AD000000940000000000000094000000 + AD000000AD000000AD000000A5000000A5000000940000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000003163C600316B + D6000000000000000000316BD600316BD600316BD6000000000000000000316B + D6003163C6000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000063CECE0073EFEF0063CECE000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000CECE0000BDBD00000000000000000000000000000000 + 00000000000000000000DEDE0000B5B50000DEDE000000000000000000000000 + 0000000000000000000000000000CECE0000B5B5000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000009C000000A50000008C0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000003163C600316B + D6000000000000000000396BDE003973EF00316BDE000000000000000000316B + D6003163C6000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000063CECE0073EFEF0063CECE0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000C6C60000A5A5000000000000000000000000 + 00000000000000000000DEDE0000B5B50000DEDE000000000000000000000000 + 00000000000000000000BDBD0000BDBD00000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000A5000000AD000000940000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000295ABD003163 + CE00316BD600316BD600316BDE003973E700396BDE00316BD600316BD6003163 + CE00295ABD000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000006BCECE0073EFEF0063CECE00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000B5B500000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000009C000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000002952AD003163 + BD003163C6003163C6003163CE003163CE003163CE003163CE003163CE00295A + BD002952AD000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000063CECE0073EFEF00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000B5B500000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000300000000100010000000000000300000000000000000000 + 000000000000000000000000FFFFFF0000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000FFFFFFFFFFFFFFFF0000000000000000 + FFFFFFFFFFF93FFF0000000000000000FFFF7FFFFFF11FFF0000000000000000 + FFFF3FFFFFE10FFF0000000000000000FFFF1FFFFFC107FF0000000000000000 + FFFD0FFFFF8103FF0000000000000000FFF907FFFF8103FF0000000000000000 + FFF103FFFFFFFFFF0000000000000000FFE101FFFF8103FF0000000000000000 + FFC100FFFF8103FF0000000000000000FF81007FFFC107FF0000000000000000 + FF01FFFFFFE10FFF0000000000000000FE01FFFFFFF11FFF0000000000000000 + FFFFFFFFFFF93FFF0000000000000000FFFFFFFFFFFFFFFF0000000000000000 + FFFFFFFFFFFFFFFF0000000000000000FFFEFFFFFFF01FFFFFF9FFFFFFFEFFFF + FFFC7FFFFFE00FFFFFF8FFFFFFF6DFFFFFF45FFFFFC007FFFFFC7FFFFFF45FFF + FFE44FFFFFC387FFFFFE3FFFFFF45FFFFFC447FFFFC387FFFFFF1FFFFFE44FFF + FF8443FFFFC107FFFFC00FFFFFE44FFFFF0441FFFFC107FFFFE007FFFF8443FF + FE0440FFFFC287FFFFF1FFFFFE0440FFFC04407FFFCC67FFFFF8FFFFFCFC7E7F + FFFC7FFFFFCC67FFFFFC7FFFFE7C7CFFFFFC7FFFFFC007FFFFFE3FFFFFFEFFFF + FFFEFFFFFFC007FFFFFF3FFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 000000000000} + end + object HealthImg: TImageList + Width = 32 + Left = 128 + Top = 128 + Bitmap = { + 494C010104000900040020001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 0000000000003600000028000000800000003000000001002000000000000060 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000006B940000529400005A84000052840000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000AD94C6008C949C008C949C00AD94C600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000003973BD0029739400316BAD00296BAD00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000FFB56300EFA55200FFB56300EFA55200FFB56300000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00005A94000073AD0000526B000052730000395A0000527B00005AA500006B9C + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00009C94C600C6ADE7008C6B73008C738C008C738C008C6B7300C6ADE7009C94 + C600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00003173BD003984DE0029527300295A84001842730029639400317BBD00397B + BD00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000FFB5 + 5A00FFCE8C00D68C3900D68C3900D68C3900D68C3900D68C3900FFCE8C00FFB5 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005294 + 000084D60000527B00005273000052730000426B0000426B00005A94000073C6 + 0000527300000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000008C94 + AD00C6ADE7008C7B9C008C738C008C738C008C738C008C738C008C7B9C00C6AD + E7008C94AD000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000002973 + AD004AA5F70029639400295A8400295A840021527300215273003173AD00399C + EF00295A94000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000FFB56300FFEF + E700FFEFE700FFB56300CE9C1800FFEFE700CE9C1800FFB56300FFEFE700FFEF + E700FFB563000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000528400007BC6 + 00005AAD00005A84000039BD000042B5000042B5000042B50000427B000073BD + 00005A9C00004273000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000008C849C00C6AD + E7009CADD6009C849C005ABDE70073B5E70073B5E7005ABDE7009C849C009CAD + D600C6ADE7008C849C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000296B9400429C + F7003184CE00316B94001894DE00218CDE00218CDE00218CDE00216384003994 + F700317BCE00215A840000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000E79C4A00EFAD7300E78C + 3900E78C3900EFAD7300F7CEAD00EFAD7300F7CEAD00EFAD7300E78C3900E78C + 3900EFAD7300E79C4A0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005A9C00005294 + 00006B940000427B000042C6000042FF000042FF000042B50000528400004284 + 00006B9C00005284000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000009C9CC6008C94 + C600AD94C600737B730073C6E70073FFFF0073FFFF0073C6E700737B7300AD94 + C6008C94C6009C9CC60000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000317BBD002973 + BD003973BD0021637300219CDE0021C6F70021C6F700218CDE00296B8400216B + BD00397BDE00296BAD0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000FFB56300F7DEC600E78C + 3900E78C3900F7CEAD00EF9C5200E78C3900EF9C5200F7CEAD00E78C3900E78C + 3900F7DEC600FFB5630000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000052940000527B00005A94 + 000029BD000042BD000042C6000042FF000042FF000029BD000029BD000029BD + 0000527B00005A940000425A0000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C949C008C7B9C009C94 + AD0042BDF70073BDF70073C6E70073FFFF0073FFFF0073C6E70073BDF70042BD + F7009C94AD008C7B9C008C949C00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000029739400296394003173 + AD001094EF002194EF00219CDE0021C6F70021C6F7001094EF001094EF001094 + EF002963AD003173AD0021427300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000EFA55200E7944200FFEFE700E78C + 3900E78C3900F7DEC600E78C3900E77B1800E78C3900F7DEC600E78C3900E78C + 3900FFEFE700E7944200EFA55200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000527B00005A7B0000638C + 000039CE000042FF000042FF000042FF000042FF000042FF000042FF000039CE + 0000528400004273000052840000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C7BAD009C7B8C00AD8C + 9C005ACEF70073FFFF0073FFFF0073FFFF0073FFFF0073FFFF0073FFFF005ACE + F700AD8C9C009C7B8C008C7BAD00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000002963AD0031638400316B + 940018A5EF0021C6F70021C6F70021C6F70021C6F70021C6F70021C6F70018A5 + EF00316BAD00215A8400296B9400000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000E79C4A00DE944200FFEFE700E78C + 3900E78C3900F7CEAD00EF9C5200E78C3900EF9C5200F7CEAD00E78C3900E78C + 3900FFEFE700DE944200E79C4A00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000527B00005A7B0000638C + 000039CE000042FF000042FF000042FF000042FF000042FF000042FF000039CE + 0000528400004273000052840000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C7BAD009C7B8C00AD8C + 9C005ACEF70073FFFF0073FFFF0073FFFF0073FFFF0073FFFF0073FFFF005ACE + F700AD8C9C009C7B8C008C7BAD00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000002963AD0031638400316B + 940018A5EF0021C6F70021C6F70021C6F70021C6F70021C6F70021C6F70018A5 + EF00316BAD00215A8400296B9400000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000E79C4A00DE944200FFEFE700E78C + 3900E77B1800EF9C5200F7DEC600F7DEC600F7DEC600EF9C5200E77B1800E78C + 3900FFEFE700DE944200E79C4A00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000052940000527B00005A94 + 000029BD000042BD000042C6000042FF000042FF000029BD000029BD000029BD + 0000527B00005A940000425A0000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C949C008C7B9C009C94 + AD0042BDF70073BDF70073C6E70073FFFF0073FFFF0073C6E70073BDF70042BD + F7009C94AD008C7B9C008C949C00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000029739400296394003173 + AD001094EF002194EF00219CDE0021C6F70021C6F7001094EF001094EF001094 + EF002963AD003173AD0021427300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000EFA55200E7944200F7DEC600E78C + 3900E77B1800E78C3900FFEFE700FFEFE700FFEFE700E78C3900E77B1800E78C + 3900F7DEC600E7944200EFA55200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005A9C00005294 + 00006B940000427B000042C6000042FF000042FF000042B50000528400004284 + 00006B9C00005284000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000009C9CC6008C94 + C600AD94C600737B730073C6E70073FFFF0073FFFF0073C6E700737B7300AD94 + C6008C94C6009C9CC60000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000317BBD002973 + BD003973BD0021637300219CDE0021C6F70021C6F700218CDE00296B8400216B + BD00397BDE00296BAD0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000FFB56300EFAD7300E78C + 3900E78C3900EF9C5200FFEFE700CE9C1800FFEFE700EF9C5200E78C3900E78C + 3900EFAD7300FFB5630000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000528400007BC6 + 00005AAD00005A84000039BD000042B5000042B5000042B50000427B000073BD + 00005A9C00004273000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000008C849C00C6AD + E7009CADD6009C849C005ABDE70073B5E70073B5E7005ABDE7009C849C009CAD + D600C6ADE7008C849C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000296B9400429C + F7003184CE00316B94001894DE00218CDE00218CDE00218CDE00216384003994 + F700317BCE00215A840000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000E79C4A00FFB56300FFEF + E700FFEFE700FFEFE700BD841800D6942900BD841800FFEFE700FFEFE700FFEF + E700FFB56300E79C4A0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005294 + 000084D60000527B00005273000052730000426B0000426B00005A94000073C6 + 0000527300000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000008C94 + AD00C6ADE7008C7B9C008C738C008C738C008C738C008C738C008C7B9C00C6AD + E7008C94AD000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000002973 + AD004AA5F70029639400295A8400295A840021527300215273003173AD00399C + EF00295A94000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000F7AD5200FFCE + 8C00E7944200D68C3900D68C3900D68C3900D68C3900D68C3900E7944200FFCE + 8C00F7AD52000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00005A94000073AD0000526B000052730000395A0000527B00005AA500006B9C + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00009C94C600C6ADE7008C6B73008C738C008C738C008C6B7300C6ADE7009C94 + C600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00003173BD003984DE0029527300295A84001842730029639400317BBD00397B + BD00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000FFB5 + 5A00FFCE8C00CE842900D68C3900D68C3900D68C3900CE842900FFCE8C00FFB5 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000006B940000529400005A84000052840000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000AD94C6008C949C008C949C00AD94C600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000003973BD0029739400316BAD00296BAD00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000FFB56300EFA55200FFB56300EFA55200FFB56300000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000300000000100010000000000000300000000000000000000 + 000000000000000000000000FFFFFF0000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000FFFC3FFFFFFC3FFFFFFC3FFFFFF83FFF + FFF00FFFFFF00FFFFFF00FFFFFE00FFFFFE007FFFFE007FFFFE007FFFFC007FF + FFC003FFFFC003FFFFC003FFFF8003FFFFC003FFFFC003FFFFC003FFFF8003FF + FF8001FFFF8001FFFF8001FFFF0001FFFF8001FFFF8001FFFF8001FFFF0001FF + FF8001FFFF8001FFFF8001FFFF0001FFFF8001FFFF8001FFFF8001FFFF0001FF + FFC003FFFFC003FFFFC003FFFF8003FFFFC003FFFFC003FFFFC003FFFF8003FF + FFE007FFFFE007FFFFE007FFFFC007FFFFF00FFFFFF00FFFFFF00FFFFFE00FFF + FFFC3FFFFFFC3FFFFFFC3FFFFFF83FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 000000000000} + end + object ArmorImg: TImageList + Width = 32 + Left = 128 + Top = 160 + Bitmap = {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} + end + object ButtonImg: TImageList + Height = 32 + Width = 32 + Left = 128 + Top = 192 + Bitmap = { + 494C010107000900040020002000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 00000000000036000000280000008000000060000000010020000000000000C0 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000393942003131390029213100212121002121210018182100212121001818 + 2100181821001818210018182100181821001818210021212100212121001818 + 2100212121001818210021212100212121002121210021212100181821001818 + 2100000000000000000000000000000000000000000000000000000000000000 + 0000394242003131390021313100212121002121210018212100212121001821 + 2100182121001821210018212100182121001821210021212100212121001821 + 2100212121001821210021212100212121002121210021212100182121001821 + 2100000000000000000000000000000000000000000000000000000000000000 + 0000393942003131390021213100212121002121210018212100212121001818 + 2100181821001818210018182100181821001818210021212100212121001818 + 2100212121001821210021212100212121002121210021212100182121001818 + 2100000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000AD9CAD00847B8400524A5200393942003939420042394A00524A52005A52 + 6B0052425200423142003129310042394A003939390029292900423142005A4A + 630063526B0052425200524252004A424A0052425A00635A630052525A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 00009CADAD007B7B84004A5252003942420039424200394A4A004A525200526B + 6300425252003142420029313100394A4A003939390029292900314242004A63 + 630052636B004252520042525200424A4A00425A5A005A5A6300525A5A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 00009C9CAD007B7B84004A4A5200393942003939420039424A004A4A5200525A + 6B0042425200313142002929310039424A003939390029292900313142004A52 + 630052526B00424252004242520042424A00424A5A005A5A6300525A5A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000BDB5C600847B8C0052525A00524A5200524A5A00736B7B00635A63005242 + 52005A4263004A395A0042394A004A424A004A424A0042394A00423952005A42 + 6300524252006B6373006B6B73005A526B00635A63005A5A6B007B737B005A52 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000B5C6C6007B848C00525A5A004A5252004A5A5A006B7B7B005A6363004252 + 52004263630039525A00394A4A00424A4A00424A4A00394A4A00395252004263 + 630042525200637373006B736B00526B63005A6363005A6B630073737B005252 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000B5B5BD007B848C0052525A004A525A004A525A006B737B005A5A63004242 + 5200424A630039425A0039424A0042424A0042424A0039424A0039425200424A + 630042425200636B73006B737300525A6B005A5A63005A636B0073737B005252 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000C6BDC6008C848C006B6373005A5A6B006B63730063526B00524A52004239 + 4A007B737B009C8CA500521063004A085A00390052004A085A00735284006B5A + 6B00393942004A424A0063526B00847B8C007B6B84006B6373007B738400635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDC6C600848C8C00637373005A6B63006373730052636B004A525200394A + 4A0073737B008CA5A500104A630008425A000042520008425A00527B84005A63 + 6B0039424200424A4A0052636B007B8C8C006B84840063737300738484005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC60084848C00636B73005A5A6300636B730052526B004A4A52004242 + 4A0073738400BDBDCE00BDC6CE00BDC6D600BDC6D600BDC6D600C6C6CE006B73 + 7B003939420042424A0052526B007B848C006B73840063637300737384005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000C6BDC600948C94006B6373007B738400948C94007B6B8400A59CA500A5A5 + AD007B6B7B004221520063107B006B187B006B187B006B187B0042185200736B + 7B00C6BDC6009C94A5007B737B00948C9400847B840084738C007B738400635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDC6C6008C8C940063737300738484008C8C94006B8484009CA5A500A5AD + AD006B737B00214A520010637B0018637B0018637B0018637B00184252006B7B + 7B00BDC6C60094A59C0073737B008C8C94007B7B8400738C8C00738484005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600849494006B6B6B00737B8C008C8C94006B737B009C9CA500A5A5 + AD006B6B7B00424252009CADBD00949CBD00949CBD009CADBD0052526B006B73 + 7B00B5B5BD009C9CA50073737B008C8C94007B7B8C00737B8C0073737B005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000C6BDCE009C949C0084738C009484A500A5A5B500B5ADB5007B5A84002918 + 2900181018002108310073218400732184007321840073218400390052001808 + 21002929290084638C008C7B9400BDB5BD00948C9C00847B8C007B738400635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDCEC60094949C00738C8C0084A5A500A5B5AD00ADADB5005A7B84001829 + 29001018180008313100216B8400216B8400216B8400216B8400004252000821 + 21002929290063848C007B8C9400B5BDBD008C9C9C007B8C8C00738484005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDCE0094949C00737B8C00848C9400ADADBD00CECED600ADADBD002121 + 310010101800212931007B848C006B7384006B73840084848C00292931001010 + 180029293100B5B5BD00E7E7EF00B5B5BD008C8C9C007B848C00737384005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000C6BDC600A59CA5008C848C009C94A500BDB5BD005A216B005A0873002908 + 390039004A004A006300732184007B298C007B298C007B298C004A0063003900 + 4A00311042006B18840052086B00AD94B500B5ADBD008C848C00847B8400635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDC6C6009CA5A500848C8C0094A59C00B5BDBD00215A6B00085A73000829 + 390000394A00004A6300216B840029738C0029738C0029738C00004A63000039 + 4A0010314200186B840008526B0094ADB500ADBDB500848C8C007B7B84005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6009C9CAD007B848C00949CA500C6CEDE00C6CECE009CADBD001818 + 29003131390031313900525A5A005A637B00525A6B005A5A6300313139003131 + 390029293100ADADBD00C6CEDE00DEE7EF00B5B5BD0084848C007B7B84005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000C6BDC600ADA5B500AD94B500C6BDCE007B5A84004A0063006B1884004A08 + 5A004A00630052086B006B217B00843194007B298C007321840052086B004A00 + 630052106300732184005A0873006B217B00CECECE00A59CA500847B8C00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDC6C600A5B5B50094ADB500BDCEC6005A7B8400004A6300186B84000842 + 5A00004A630008526B0021637B00317B940029738C00216B840008526B00004A + 6300104A6300216B8400085A730021637B00CECECE009CA5A5007B8C8C005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600A5ADB5009C9CAD00BDC6CE00BDC6CE00949CBD008C94A5004242 + 4A00212929002929310039393900394252003139420039394200212931002929 + 3100424A5A00848CA5009CA5B500C6C6CE00DEE7EF009C9CA5007B7B8C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CEC6CE00B5ADBD00ADA5B500B5ADBD0052086B0052086B006B1884006B21 + 7B0052086B006B1884008C399C008C39A5009442A5008C399C00843194006B18 + 7B007B298C00732184006B187B004A006300947BA500C6BDC600948C9C00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00ADBDB500A5B5B500ADBDB50008526B0008526B00186B84002163 + 7B0008526B00186B840039849C003984A500428CA50039849C00317B94001863 + 7B0029738C00216B840018637B00004A63007B9CA500BDC6C6008C9C9C005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00ADADB500A5ADB500C6C6CE009CA5B50084848C006B7384005A5A + 6300292931002931390031395A0029314A0029314A0029314A00313942003939 + 3900636373006B738400848CA5009CADBD00CECED600BDBDC6008C8C9C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000D6CED600B5ADBD00C6BDC6002921310039004A005A1073006B187B005208 + 6B005A1073007B298C00B563C600BD6BD600BD6BD600BD6BD6008C39A5006B18 + 7B0063107B007B298C006B1884004A10520029183100D6D6D6008C8C94006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CED6D600ADBDB500BDC6C6002131310000394A001052730018637B000852 + 6B001052730029738C0063ADC6006BB5D6006BB5D6006BB5D6003984A5001863 + 7B0010637B0029738C00186B84001042520018313100D6D6D6008C9494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600B5B5BD00BDBDC600293139005A637B006B7384005A5A63002931 + 390018212100181829003942520021314A0029315A00424A6300212942001818 + 210031313900636B7B00737B8C006363730031313900CECED6008C9494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000D6CED600BDB5BD00A5A5AD0021212100291831004A085A004A005A006310 + 7B0073218400AD5ABD00BD6BD600CE7BE700D684E700C673D600BD6BD6008C39 + 9C006B18840052086B00521063002918310021212100ADADB5009C949C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CED6D600B5BDBD00A5ADAD00212121001831310008425A0000425A001063 + 7B00216B84005AA5BD006BB5D6007BC6E70084CEE70073BDD6006BB5D6003984 + 9C00186B840008526B00104A63001831310021212100ADB5B50094949C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600B5B5BD00A5A5AD00212121002929310039394200293139003139 + 42002129310039425A0018183900081842001021420008103100424A63002929 + 42003139420029313900424A5A002929290018212100ADB5BD0094949C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00ADADB5009C949C002121290031313900390052005A0873006B18 + 7B008C399C00B563CE00CE7BE700EFB5FF00EFADFF00D684E700C673D6008C39 + A5006B1884005A10730029182900312931002929290084849400A59CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00ADB5B50094949C00212921003131390000425200085A73001863 + 7B0039849C0063B5CE007BC6E700B5E7FF00ADEFFF0084CEE70073BDD6003984 + A500186B84001052730018292900293131002929290084948C009CA5A5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00ADADB500949CA50021292900313139002931390039424A003131 + 39003131420039425A0010214200526B8C0031426B001018390031395A002129 + 310029313900313139002929290029313900292929008C8C94009C9CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CEC6CE00BDB5BD00A59CA50029292900312931003100390052086B006310 + 7B0084319400BD6BD600C673D600EFADFF00E7A5FF00CE7BE700C673D6009442 + A5006B18840052106300291039003131390021212100948C9400A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00B5BDBD009CA5A50029292900293131000029390008526B001063 + 7B00317B94006BB5D60073BDD600ADE7FF00A5E7FF007BC6E70073BDD600428C + A500186B8400104A63001039390031313900212121008C8C9400A5ADAD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD009C9CA500292929003131390029292900313942002931 + 3900313142004A52630008184200526B8C00394A730008103100394263002131 + 4A00313139003131390029292900293139002929290084848C00A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000D6CED600C6BDCE00ADADB500212121002921310042084A00310039006310 + 7B00732184009442A500BD6BD600BD6BD600C673D600BD6BD600A55ABD007321 + 84006B217B00390052004A1052002921310021212100ADADB500A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CED6D600BDCEC600ADB5B500212121002131310008394A00002939001063 + 7B00216B8400428CA5006BB5D6006BB5D60073BDD6006BB5D6005AA5BD00216B + 840021637B0000425200104252002131310021212100ADB5B500A5ADAD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600BDC6CE00ADADB500212131002929310031394200212131003131 + 420021293100212942003139520010182900081031002129310039424A001821 + 3100313139002121310042424A002129290021212100ADADB500A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600C6BDCE00C6BDCE003931420039084A0052086B006B187B005208 + 6B005A107300732184009442A500BD6BD600BD6BD600A552BD00843194005A10 + 73005A107300732184006B187B0039004A0029213100D6CED600A59CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600BDCEC600BDCEC6003142420008424A0008526B0018637B000852 + 6B0010527300216B8400428CA5006BB5D6006BB5D60052A5BD00317B94001052 + 730010527300216B840018637B0000394A0021313100CED6D6009CA5A5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600C6C6CE00B5BDC60031394200636B7300636B7B005A5A63002931 + 39002121310021212100313142004A525A004A525A0042424A00292929001818 + 29002931390063637300737B8C005A5A630031313900C6CECE009CA59C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00C6C6CE00B5ADBD00BDB5C600421852004A0063005A1073007321 + 84004A0063005A08730063107B006B187B006B187B006B187B006B187B005208 + 6B0073218400732184005A0873004A005A00AD94B500C6BDC6008C949C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00C6CECE00ADBDB500B5C6C60018425200004A630010527300216B + 8400004A6300085A730010637B0018637B0018637B0018637B0018637B000852 + 6B00216B8400216B8400085A730000425A0094ADB500BDC6C6008C9C8C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00C6CECE00B5B5BD00BDC6CE008C949400737B8C00636B7B005A63 + 6B00292929002121310010101800101018001010180010182100292931002121 + 31005A636B006B73840073848C009CADBD00C6CECE00BDBDC60094949C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00CEC6CE00B5ADBD00BDB5C6008C7B94004A005A005A0873005208 + 6B004A005A0039004A0052086B00732184007321840063107B0039004A004A00 + 630063107B006B187B004A00630063397300C6C6CE00ADADB500948C94006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00C6CECE00ADBDB500B5C6C6007B8C940000425A00085A73000852 + 6B0000425A0000394A0008526B00216B8400216B840010637B0000394A00004A + 630010637B0018637B00004A630039637300C6CECE00ADB5B5008C8C94006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00C6C6CE00ADB5BD00B5BDC600B5B5BD008C94A5007B848C005252 + 5A0029313900181821002929290031394A0039424A0031314200101018003131 + 39005A5A63008C94A5008C94A500BDBDCE00CECED600ADB5BD00848C94006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CEC6CE00C6BDC600B5ADBD00B5ADB500C6BDC60063427B004A0063002100 + 290039004A00310039006B18840073218400732184006B217B00310039003900 + 4A00390842005A10730052186300BDB5C600C6BDD600B5ADB500948C9400635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00BDC6C600ADBDB500ADADB500BDC6C60042737B00004A63000021 + 290000394A0000293900186B8400216B8400216B840021637B00002939000039 + 4A00083142001052730018526300B5C6C600BDD6D600ADADB5008C8C94005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADB5BD00ADADB500BDC6CE00ADB5BD008C94A5002129 + 3100313139001818210052526B005A637B00525A6B005A5A6300181829002929 + 310039393900A5ADB500BDC6D600D6D6DE00C6C6CE00A5ADB5008C8C94006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CEC6CE00C6BDC600ADADB500ADA5B500BDB5BD00C6BDCE007B737B003129 + 310018082100210029006B187B006B187B006B187B006B188400210029001808 + 21002929290073528400B5ADB500C6BDCE00BDB5BD00A5A5AD008C848C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00BDC6C600ADB5B500A5B5B500B5BDBD00BDCEC60073737B002931 + 3100082121000021290018637B0018637B0018637B00186B8400002129000821 + 210029292900527B8400ADADB500BDCECE00B5BDBD00A5ADAD00848C8C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADADBD00A5ADB500B5B5BD00C6C6CE00A5A5AD002929 + 310010101800101018007B848C00737B8C006B73730084848C00181829001018 + 210029293100B5B5BD00CECED600BDBDC600ADB5BD00A5A5AD0084848C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CEC6CE00BDB5BD00ADADB500A59CB500ADA5AD00736B7B00AD9CAD00BDB5 + BD00736B7B003118390052086B0052086B005A0873005A087300311042006363 + 6300AD9CAD00948CA500736B7B00ADA5B500B5ADBD00A59CA5008C848C00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00B5BDBD00ADB5B5009CB5AD00A5A5AD006B7B7B009CADAD00B5BD + BD006B7B7B001831390008526B0008526B00085A7300085A7300103142006363 + 63009CADAD008CA5A5006B7B7B00A5B5B500ADBDB5009CA5A500848C8C005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD00A5ADB500A5A5AD009CA5B5006B737B009C9CA500B5B5 + BD006B737B0042425200A5ADC600949CBD00949CBD009CADBD004A5263006B6B + 7B00B5B5BD00949CA5006B737B00ADADB500ADB5BD009C9CA50084848C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CEC6CE00C6BDC600B5ADBD00A5A5AD00AD9CAD00635A63004A424A003939 + 4200736B7B00AD9CAD007352840063427B007B4A840063427B009484A5006B5A + 7B004A424A004A424A00635A6300ADADB500B5ADBD009C94A5008C848C00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00BDC6C600ADBDB500A5ADAD009CADAD005A636300424A4A003942 + 42006B7B7B009CADAD00527B840042737B004A73840042737B0084A5A5005A73 + 7B00424A4A00424A4A005A636300ADB5B500ADBDBD0094A59C00848C8C005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADADBD00A5A5AD009C9CAD005A5A630042424A003942 + 4A006B737B00BDC6D600BDC6D600C6CECE00C6CEDE00BDC6CE00C6C6CE006B6B + 7B0042424A00394252005A5A6300ADADB500ADADBD00949CA50084848C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000CEC6CE00CEC6CE00B5ADBD00ADA5B500ADADB500BDB5BD00847B8C006352 + 6B007B5A84005A4A63005A525A00635A63005A525A005A525A0063526B006B5A + 6B006B5A6B0084849400C6BDC600BDB5C600B5ADBD00A5A5AD008C8C94006B6B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00C6CECE00ADBDBD00A5B5B500ADB5B500B5BDBD007B8C8C005263 + 6B005A7384004A63630052525A005A5A630052525A0052525A0052636B005A63 + 6B005A636B0084948C00BDC6C600B5C6C600ADBDBD00A5ADAD008C9494006B6B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00C6C6CE00ADB5BD00A5ADB500ADADB500B5BDC6007B848C005252 + 6B005A5A84004A52630052525A005A5A630052525A004A52630052526B00525A + 6B00525A6B008C8C9400BDBDC600B5BDC600ADADBD00A5A5AD008C9494006B6B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000D6CED600E7E7E700D6CED600CEC6CE00CEC6CE00CEC6CE00CEC6CE00C6BD + CE00ADA5B5008C848C007B7384008C848C008C848C007B737B00847B8C00B5A5 + C600C6C6CE00D6D6D600CEC6CE00CEC6CE00C6C6CE00CECECE00CEC6CE008C84 + 8C00000000000000000000000000000000000000000000000000000000000000 + 0000CED6D600E7E7E700CED6D600C6CECE00C6CECE00C6CECE00C6CECE00BDCE + C600A5B5B500848C8C0073848400848C8C00848C8C0073737B007B8C8C00A5BD + C600C6CECE00D6D6D600C6CECE00C6CECE00C6CECE00CECECE00C6CECE00848C + 8C00000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00DEE7EF00CECED600C6C6CE00C6C6CE00C6C6CE00C6C6CE00BDC6 + CE00A5ADB50084848C0073738400848C940084848C00737384007B848C00ADAD + B500CECECE00CECED600C6C6CE00CECECE00C6C6CE00CECECE00C6C6CE008484 + 8C00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000C6BDC600D6D6DE00D6D6DE00D6D6DE00D6D6DE00D6D6D600D6CED600D6D6 + D600D6D6D600D6CED600D6CED600D6CED600D6CED600D6CED600D6CED600D6CE + D600D6D6DE00D6D6DE00DEDEDE00D6D6D600D6D6DE00D6D6D600C6BDC600736B + 7B00000000000000000000000000000000000000000000000000000000000000 + 0000BDC6C600D6DEDE00D6DEDE00D6DEDE00D6DEDE00D6D6D600CED6D600D6D6 + D600D6D6D600CED6D600CED6D600CED6D600CED6D600CED6D600CED6D600CED6 + D600D6DEDE00D6DEDE00DEDEDE00D6D6D600D6DEDE00D6D6D600BDC6C6006B7B + 7B00000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600DEDEDE00D6D6DE00DEDEDE00D6D6DE00D6D6D600CECED600D6D6 + D600D6D6D600CECED600CECED600CECECE00CECED600CECED600CECED600CECE + CE00D6D6DE00DEDEDE00D6D6DE00D6D6D600D6D6DE00D6D6D600BDBDC6006B73 + 7B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000393942003131390021213100212121002121210018212100212121001818 + 2100181821001818210018182100181821001818210021212100212121001818 + 2100212121001821210021212100212121002121210021212100182121001818 + 2100000000000000000000000000000000000000000000000000000000000000 + 0000393942003131390021213100212121002121210018212100212121001818 + 2100181821001818210018182100181821001818210021212100212121001818 + 2100212121001821210021212100212121002121210021212100182121001818 + 2100000000000000000000000000000000000000000000000000000000000000 + 0000393942003131310029212900212121002121210021212100212121001818 + 2100181821001818210018182100181821001818210021212100212121001818 + 2100212121002121210021212100212121002121210021212100212121001818 + 2100000000000000000000000000000000000000000000000000000000000000 + 0000393942003131310029292900212121002121210021212100212121001818 + 1800181818001818180018181800181818001818180021212100212121001818 + 1800212121002121210021212100212121002121210021212100212121001818 + 1800000000000000000000000000000000000000000000000000000000000000 + 00009C9CAD007B7B84004A4A5200393942003939420039424A004A4A5200525A + 6B0042425200313142002929310039424A003939390029292900313142004A52 + 630052526B00424252004242520042424A00424A5A005A5A6300525A5A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 00009C9CAD007B7B84004A4A5200393942003939420039424A004A4A5200525A + 6B0042425200313142002929310039424A003939390029292900313142004A52 + 630052526B00424252004242520042424A00424A5A005A5A6300525A5A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000A59CA500847B84004A4A52003939420039394200423942004A4A52005A5A + 63004A424A003931390031293100423942003939390029292900393139005A4A + 52005A525A004A424A004A424A0042424A0052424A005A5A5A005A5A5A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000A5A5A500847B7B004A4A4A003939420039394200424242004A4A4A005A5A + 5A004A4A4A003939390031313100424242003939390029292900393939005A52 + 5200635A5A004A4A4A004A4A4A0042424200524A4A005A5A5A005A5A5A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000B5BDC6007B7B8C00525A5A004A4A52004A525A006B737B005A5A63004242 + 5200424A630039425A0039424A0042424A0042424A0039424A0039425200424A + 630042425200636B73006B737300525A6B005A5A63005A636B0073737B005252 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000B5BDC6007B7B8C00525A5A004A4A52004A525A006B737B005A5A63004242 + 5200424A630039425A0039424A0042424A0042424A0039424A0039425200424A + 630042425200636B73006B737300525A6B005A5A63005A636B0073737B005252 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000B5BDBD00847B84005A5A5A004A4A520052525200736B7300635A63004A42 + 4A005A4A52004A4242004239420042424A0042424A004239420042424A005A4A + 52004A424A006B6B6B006B7373005A5A6300635A630063636B007B737B005252 + 5200000000000000000000000000000000000000000000000000000000000000 + 0000B5BDBD00848484005A5A5A004A4A4A005252520073737300636363004A4A + 4A005A524A00524A4200424242004242420042424200424242004A4242005A52 + 4A004A4A4A006B6B6B00737373005A5A5A0063636300636363007B7373005252 + 5200000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC60084848C00636373005A636B00636B730052526B004A4A52003939 + 420073738400949CA500214231000831180000311800183921006B7B7B006363 + 63003939420042424A0052526B007B848C006B73840063637300737384005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC60084848C00636373005A636B00636B730052526B004A4A52003942 + 4A0073737B008C94A5001010630008085A000008520008085A00525284005A5A + 6B003939420042424A0052526B007B848C006B73840063637300737384005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6008C848C006B636B0063636B006B6B6B005A525A004A4A52004239 + 42007B737B009C949C00632910005A210800521000005A2108007B5A5A00635A + 63003939420042424A005A525A00848484007B6B7B006B636B007B737B00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6008C8C8C006B6B6B00636363006B6B6B00635A5A004A4A4A004242 + 42007B7373009C949400634A10005A4A0800523900005A4A08007B735A006B63 + 63003939420042424200635A5A00848484007B7373006B6B6B007B7B7B006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6008C8C9400636B7300737384008C8C94006B7384009C9CA500A5A5 + AD006B6B7B0021313900084A2100004A2100084A2900004A2100183129006B73 + 7B00BDBDC6009C9CA5006B737B008C8C94007B7B8400737B8C00737384005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6008C8C9400636B7300737384008C8C94006B7384009C9CA500A5A5 + AD006B6B7B002121520010107B0018187B0018187B0018187B00181852006B73 + 7B00BDBDC600949CA50073737B008C8C94007B7B8400737B8C00737384005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6008C8C8C006B6B6B007B737B008C8C8C007B6B7B009C9CA500A5A5 + AD00736B73004A2929007B2910007B3118007B3118007B31180052292100736B + 7300BDBDC6009C949C007B737B008C8C8C00847B8400847B84007B737B00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6008C8C8C006B6B6B007B7B7B008C8C8C007B7373009C9C9C00A5A5 + AD00737373004A4229007B6310007B6318007B6318007B631800524218007373 + 7300BDBDC6009C9C9C007B7373008C8C8C00847B7B00847B7B007B7B7B006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6008C94A500737B8C00848C9400ADADBD00B5BDC6006B737B001821 + 2100101018001021210008523100085231000052310010523900082118001010 + 18002121310073848C009CA59C00B5B5BD008C8C9C007B848C00737384005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDC6CE0094949C00737B8C00848CA500A5ADB500ADADB5005A5A84001818 + 2900101018000810310021218400212184002121840021218400000852000810 + 21002929290063638C007B7B9400B5B5BD008C8C9C007B848C00737384005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDC6C60094949400847B8400948C9400ADADAD00ADADB5007B6363002118 + 2100181018002908100084422100844221008442210084422100521000001808 + 100029292900846B6B008C848400B5B5BD00948C9400848484007B737B00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDC6C60094949400847B7B0094949400ADADAD00ADADB5007B7363002121 + 21001818180029181000846B2100846B2100846B2100846B2100523900001810 + 100029292900847B6B008C848400B5B5BD0094949400848484007B7B7B006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6009C9CA50084848C00949CA500B5BDC600314A3900083918000818 + 10000821180000291800084A2900085A4200085A420008523100002918000021 + 1800102121001852290008392100A5ADB500B5B5BD0084848C007B7B84005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6009C9CA50084848C00949CA500B5B5BD0021216B00080873000808 + 390000004A00000063002121840029298C0029298C0029298C00000063000000 + 4A00101042001818840008086B00949CB500ADB5BD0084848C007B7B84005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6009C9CA5008C848C009C949C00B5B5BD006B312100732108003110 + 10004A18000063180000844221008C4229008C4229008C422900631800004A18 + 000039181800843118006B210800A59CA500B5B5B5008C848C00847B84005A5A + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC6009C9C9C008C8C8C009C9C9C00B5B5BD006B5A21006B5210003129 + 10004A390000634A0000846B21008C7329008C7329008C732900634A00004A39 + 000039311800846B18006B520800A59CA500B5B5B5008C8C8C00847B7B005A5A + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600A5ADB500949CB500BDC6CE006B7B7B0000311800084A29000829 + 180000211800003118000852310008634200085A420008523100003918000029 + 1800083921000852310000421800294A3900CECED6009C9CA5007B7B8C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600A5ADB500949CB500BDC6CE005A5A840000006300181884000808 + 5A000000630008086B0021217B003131940029298C002121840008086B000000 + 630010106300212184000808730021217B00CECECE009C9CA5007B848C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600ADA5AD00A59CA500BDC6C6007B63630063180000843118005A21 + 0800631800006B2108007B392100944A39008C422900844221006B2108006318 + 00006329100084422100732108007B392100CECECE009C9CA50084848400635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600ADADAD00A59CA500BDC6C6007B736300634A0000846B18005A4A + 0800634A00006B5208007B6B2100947B39008C732900846B21006B520800634A + 0000634A1000846B21006B5210007B6B2100CECECE009C9C9C00848484006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00ADADB500ADADB500B5BDC6001831290000391800004A2100104A + 31000031210008523100106B4A000873520008735200086B4A0008634200084A + 2900105A420008523100004A2100083118008C9C9400BDC6CE008C8C94005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00ADB5BD00A5ADB500ADB5BD0008086B0008086B00181884002121 + 7B0008086B001818840039399C003939A5004242A50039399C00313194001818 + 7B0029298C002121840018187B00000063007B84A500BDBDC6008C8C9C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5B500ADA5AD00B5B5B5006B2108006B210800843118007B39 + 21006B21080084311800945242009C5242009C5A4A0094524200944A39007B31 + 18008C422900844221007B3118006318000094848C00BDBDC600948C9400635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5B500ADADAD00B5B5B5006B5208006B520800846B18007B6B + 21006B520800846B180094844200948442009C8C4A0094844200947B39007B63 + 18008C732900846B21007B631800634A0000948C8C00BDBDC600949494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600ADB5BD00BDBDC600212131000021100008391800084A29000031 + 2100004A2100005A3100109C7B00089C8C0008A5940008A58400087352000052 + 290000421800085A4200084A29001029210021292900CECED6008C9494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600ADB5BD00BDBDC6002121310000004A001010730018187B000808 + 6B001010730029298C006363C6006B6BD6006B6BD6006B6BD6003939A5001818 + 7B0010107B0029298C00181884001010520018213100D6D6D6008C9494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600B5B5B500BDBDC600292129004A180000732910007B3118006B21 + 0800732910008C422900AD737B00AD7B9400AD7B9400AD7B94009C5242007B31 + 18007B2910008C422900843118005221100029182100D6D6D600949494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600B5B5B500BDBDC600292929004A390000735A10007B6318006B52 + 0800735A10008C732900A59C7B00AD9C9400AD9C9400AD9C9400948442007B63 + 18007B6310008C732900846B18005242100029212100D6D6D600949494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600B5B5BD00A5A5AD00212121002129290008291800002918000842 + 29000852310010946B00089C8C0000B5A50008BDAD0000A58C0018A58C00086B + 42000852310000291800103129001829290018212100ADADB500949CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600B5B5BD00A5A5AD00212121001821310008085A0000005A001010 + 7B00212184005A5ABD006B6BD6007B7BE7008484E7007373D6006B6BD6003939 + 9C001818840008086B00101063001821310021212100ADADB50094949C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600B5B5BD00A5A5AD0021212100291821005A2108005A1800007B29 + 100084422100A5737300AD7B9400AD8CAD00AD94BD00AD849C00AD7B94009452 + 4200843118006B210800632910002918210021212100ADADB500949494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600B5B5BD00A5A5AD0021212100292121005A4A08005A4200007B63 + 1000846B2100A5947300AD9C9400ADA5B500ADA5BD00AD9C9C00AD9C94009484 + 4200846B18006B520800634A10002921210021212100ADADB500949494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00ADADB50094949C0021292900313139000821180008312100004A + 2100086B4200109C7B0000AD8C0018DEDE0010DED60008B59C0008AD9C00086B + 4A0000522900083121002129290029313900292929008C8C94009C9CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00ADADB50094949C00212929003131390000085200080873001818 + 7B0039399C006363CE007B7BE700B5B5FF00ADADFF008484E7007373D6003939 + A5001818840010107300181829002929310029292900848C94009C9CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00ADADB50094949400212929003131310052100000732108007B31 + 180094524200AD738400AD8CAD00C6B5EF00BDB5EF00AD94BD00AD849C009C52 + 420084311800732910002118210031293100292929008C8C8C009C9CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00ADADB500949494002129290031313100523900006B5210007B63 + 180094844200AD9C8400ADA5B500BDBDF700BDBDEF00ADA5BD00AD9C9C009484 + 4200846B1800735A10002121210031313100292929008C8C8C009C9C9C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00B5B5BD009C9CA500292929002931390008181000083121000842 + 2900086B4A0010A58C0000AD940021DED60010DED60000B5A50010A58C000873 + 520000522900083121002129290029313900212121008C8C9400A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD009C9CA50029292900292931000000390008086B001010 + 7B00313194006B6BD6007373D600ADADFF00A5A5FF007B7BE7007373D6004242 + A50018188400101063001018390031313900212121008C8C9400A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD009C9CA5002929290031293100391000006B2108007B29 + 1000944A3900AD7B9400AD849C00BDADEF00BDADEF00AD8CAD00AD849C009C5A + 4A0084311800632910003118180031313100212121008C8C8C00A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD009C9C9C002929290031313100393100006B5208007B63 + 1000947B3900AD9C9400AD9C9C00BDB5EF00B5B5EF00ADA5B500AD9C9C009C8C + 4A00846B1800634A10003129180031313100212121008C8C8C00A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600BDC6CE00ADADB500212121002129290008211800002110000842 + 2900005229000873520010A58C0000A58C0000AD8C0008A5840010946B00005A + 31000852310000211000103129002129290021212100ADADB500A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600BDC6CE00ADADB500212121002129310008084A00000039001010 + 7B00212184004242A5006B6BD6006B6BD6007373D6006B6BD6005A5ABD002121 + 840021217B0000085200101052002129310021212100ADADB500A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600BDC6C600ADADB50021212100292929004A180800391000007B29 + 1000844221009C5A4A00AD7B9400AD7B9400AD849C00AD7B9400A57373008442 + 21007B39210052100000522110002929290021212100ADADB500A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECED600BDC6C600ADADB50021212100292929004A390800393100007B63 + 1000846B21009C8C4A00AD9C9400AD9C9400AD9C9C00AD9C9400A5947300846B + 21007B6B210052390000524210002929290021212100ADADB500A5A5AD006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600C6C6CE00BDC6CE00313942001029210000391800084A29000031 + 180000422100005A3100107B520018A57B0018A57B0010946B00086B42000042 + 18000031210008523100084A21000821180021292900CECECE009CA59C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600BDC6CE00BDC6CE003139420008104A0008086B0018187B000808 + 6B0010107300212184004242A5006B6BD6006B6BD6005252BD00313194001010 + 7300101073002121840018187B0000004A0021293100CECED6009C9CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600BDC6C600BDC6C600393939004A1810006B2108007B3118006B21 + 080073291000844221009C5A4A00AD7B9400AD7B9400A56B6B00944A39007329 + 100073291000844221007B3118004A18000029292900CECED6009C9CA5006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000D6D6D600BDC6C600BDC6C600393939004A3108006B5208007B6318006B52 + 0800735A1000846B21009C8C4A00AD9C9400AD9C9400A5946B00947B3900735A + 1000735A1000846B21007B6318004A39000029292900CECED6009C9C9C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00C6CECE00ADB5BD00BDBDC600214231000031180000422100104A + 31000829180000391800004218000052290000522900004A2100004A21000031 + 1800104A3100084A29000039180008311800A5A5AD00B5BDC60094949C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00C6CECE00ADB5BD00B5BDC6001818520000006300101073002121 + 8400000063000808730010107B0018187B0018187B0018187B0018187B000808 + 6B0021218400212184000808730000005A00949CB500BDBDC6008C9C94006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00C6CECE00B5B5B500B5BDBD005229210063180000732910008442 + 210063180000732108007B2910007B3118007B3118007B3118007B3118006B21 + 08008442210084422100732108005A180000A59CA500BDBDC6008C9494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00C6CECE00B5B5B500B5BDBD0052421800634A0000735A1000846B + 2100634A00006B5210007B6310007B6318007B6318007B6318007B6318006B52 + 0800846B2100846B21006B5210005A420000A59CA500BDBDC600949494006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00C6C6CE00ADB5BD00B5B5BD008494940008291800003918000831 + 1800002118000018100000312100084A29000852310008422900002110000029 + 1800084A2900084A2900003118004A635A00CECED600ADADB5008C8C94005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00C6C6CE00ADB5BD00B5BDC6007B7B940000005A00080873000808 + 6B0000005A0000004A0008086B00212184002121840010107B0000004A000000 + 630010107B0018187B000000630039397300C6CECE00ADADB5008C8C94006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00C6C6CE00B5B5B500B5BDBD008C8484005A180000732108006B21 + 08005A1800004A1800006B21080084422100844221007B2910004A1800006318 + 00007B2910007B311800631800006B4A4200C6CECE00ADADB5008C8C8C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6CECE00C6C6CE00B5B5B500B5BDBD008C8484005A4200006B5210006B52 + 08005A4200004A3900006B520800846B2100846B21007B6310004A390000634A + 00007B6310007B631800634A000073634200C6CECE00ADADB5008C8C8C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADB5BD00ADADB500BDBDC600526B6300082918000818 + 10000018100000100800084A2900085231000852310008523100001008000018 + 10000821180008392100294A3900BDC6CE00BDC6CE00ADADB500848C94006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADB5BD00ADADB500BDBDC600424A7B00000063000000 + 290000004A000000390018188400212184002121840021217B00000039000000 + 4A00080842001010730018186300B5BDC600BDC6D600ADADB5008C8C94005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600B5B5B500ADADB500BDBDC600734A4A00631800002108 + 08004A180000391000008431180084422100844221007B392100391000004A18 + 0000421808007329100063291800B5BDBD00C6C6D600ADADB5008C8C8C00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600B5B5B500ADADB500BDBDC60073634A00634A00002118 + 08004A39000039310000846B1800846B2100846B21007B6B2100393100004A39 + 000042310800735A100063521800B5BDBD00C6C6D600ADADB5008C8C8C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADADB500A5A5AD00B5B5BD00C6C6CE007B848C002129 + 31001010180000100800084A2900084A2900084A210008523100001008001010 + 18002929310063737300B5BDC600BDBDC600B5B5BD00A5A5AD0084848C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADADB500A5ADB500B5B5BD00BDC6CE0073737B002929 + 3100081021000000290018187B0018187B0018187B0018188400000029000810 + 21002929290052528400ADADB500BDBDCE00B5B5BD00A5A5AD0084848C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADADB500ADA5AD00B5B5BD00BDC6C6007B737B003129 + 310018081000210808007B3118007B3118007B31180084311800210808001808 + 1000292929007B5A5A00ADADB500BDBDCE00B5B5BD00A5A5AD008C848C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADADB500ADADAD00B5B5BD00BDC6C6007B7373003131 + 310018101000211808007B6318007B6318007B631800846B1800211808001810 + 1000292929007B735A00ADADB500BDC6CE00B5B5BD00A5A5AD008C8C8C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD00A5ADC600A5A5AD009CA5B5006B737B009C9CA500B5B5 + BD0073737B001829290008392100003918000039180000391800102921006363 + 7300A5ADB50094949C006B737B00ADADB500ADB5BD009C9CA50084848C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD00ADADB5009CA5B500A5A5AD006B737B009C9CAD00B5B5 + BD006B737B001818390008086B0008086B000808730008087300101042006363 + 63009C9CAD008C94A5006B737B00A5ADB500ADB5BD009C9CA50084848C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD00ADADB500A5A5AD00A5A5AD00736B7300A59CA500B5B5 + BD00736B7300312121006B2108006B2108007321080073210800391818006363 + 6300A59CA50094949C00736B7300ADA5AD00B5B5B5009C9CA5008C848C00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00B5B5BD00ADADB500A5A5AD00A5A5AD0073737300A5A5A500B5B5 + BD0073737300393121006B5208006B5208006B5210006B521000393118006363 + 6300A5A5A5009C949C0073737300ADADAD00B5B5B5009C9C9C008C8C8C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADB5BD00A5A5AD009C9CA5005A5A630042424A003939 + 39006B737B00A5ADB50063737300526B63004A635A00526B6300949CA5006363 + 730042424A00394252005A5A6300ADADB500ADADB500949CA50084848C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600ADB5BD00A5A5AD009C9CAD005A5A630042424A003939 + 42006B737B009C9CAD0052528400424A7B004A4A8400424A7B00848CA5005A63 + 7B0042424A0042424A005A5A6300ADADB500ADADBD00949CA50084848C005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600B5B5B500A5A5AD00A59CA500635A630042424A003939 + 4200736B7300A59CA5007B5A5A00734A4A007B5A5200734A4A00948C94006B63 + 630042424A0042424A00635A6300ADADB500B5ADB5009C949C008C848C00635A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00BDBDC600B5B5B500A5A5AD00A5A5A50063636300424242003939 + 420073737300A5A5A5007B735A0073634A0084734A0073634A00949494006B6B + 6300424242004242420063636300ADADB500B5B5B5009C9C9C008C8C8C006363 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00C6C6CE00ADADB500A5ADB500ADADBD00B5B5BD007B848C005252 + 6B005A637B004A52630052525A005A5A630052525A0052525A0052526B00525A + 6B005A5A63008C8C9400BDBDC600B5BDC600ADADBD00A5A5AD0094949C00636B + 7300000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00C6C6CE00ADADBD00A5ADB500ADADB500B5B5BD007B848C005252 + 6B005A5A84004A52630052525A005A5A630052525A0052525A0052526B005A5A + 6B005A5A6B00848C9400BDBDC600B5BDC600ADADBD00A5A5AD008C9494006B6B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00C6C6CE00B5ADB500ADA5AD00ADADB500B5B5BD00848484005A52 + 5A007B6363005A4A5200525252005A5A5A0052525200525252005A525A00635A + 6300635A63008C8C8C00BDBDC600B5BDBD00B5ADB500A5A5AD00949494006B6B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000C6C6CE00C6C6CE00B5B5B500ADADAD00ADADB500B5B5BD0084848400635A + 5A007B7363005A525200525252005A5A5A005252520052525200635A5A006B63 + 63006B6363008C8C8C00BDBDC600B5BDBD00B5B5B500A5A5AD00949494006B6B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000CECECE00E7E7E700CECED600C6C6CE00C6C6CE00C6C6CE00C6C6CE00BDC6 + CE00A5ADC60084848C007373840084848C0084848C00737384007B848C00A5AD + C600CECECE00CECED600C6CECE00C6C6CE00C6CECE00C6C6CE00C6C6CE008484 + 8C00000000000000000000000000000000000000000000000000000000000000 + 0000CECED600E7E7E700CECED600C6C6CE00C6C6CE00C6C6CE00C6C6CE00BDC6 + CE00A5ADB50084848C007373840084848C0084848C0073737B007B848C00A5AD + C600C6CECE00D6D6D600C6C6CE00C6C6CE00C6CECE00CECECE00C6C6CE008484 + 8C00000000000000000000000000000000000000000000000000000000000000 + 0000CECED600E7E7E700CECED600C6C6CE00C6C6CE00C6C6CE00C6C6CE00BDC6 + C600ADA5AD008C848C007B737B008C848C008C848C007B737B0084848400ADAD + BD00C6CECE00D6D6D600C6C6CE00C6C6CE00C6CECE00CECECE00C6C6CE008C84 + 8C00000000000000000000000000000000000000000000000000000000000000 + 0000CECED600E7E7E700CECED600C6C6CE00C6C6CE00C6C6CE00C6C6CE00BDC6 + C600ADADAD008C8C8C007B7B7B008C8C8C008C8C8C007B73730084848400ADAD + BD00C6CECE00D6D6D600C6C6CE00C6C6CE00C6CECE00CECECE00C6C6CE008C8C + 8C00000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600D6D6DE00DEDEDE00D6D6DE00D6D6DE00D6D6D600CECED600D6D6 + D600D6D6D600CECECE00CECED600CECECE00CECED600CECED600CECED600CECE + D600D6D6DE00D6D6DE00DEDEDE00D6D6D600D6D6DE00D6D6D600C6C6CE006B6B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600D6D6DE00D6D6DE00D6D6DE00D6D6DE00D6D6D600CECED600D6D6 + D600D6D6D600CECED600CECED600CECED600CECED600CECED600CECED600CECE + D600D6D6DE00D6D6DE00DEDEDE00D6D6D600D6D6DE00D6D6D600BDBDC6006B73 + 7B00000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600D6D6DE00D6D6DE00D6D6DE00D6D6DE00D6D6D600CECED600D6D6 + D600D6D6D600CECED600CECED600CECED600CECED600CECED600CECED600CECE + D600D6D6DE00D6D6DE00DEDEDE00D6D6D600D6D6DE00D6D6D600BDBDC600736B + 7300000000000000000000000000000000000000000000000000000000000000 + 0000BDBDC600D6D6DE00D6D6DE00D6D6DE00D6D6DE00D6D6D600CECED600D6D6 + D600D6D6D600CECED600CECED600CECED600CECED600CECED600CECED600CECE + D600D6D6DE00D6D6DE00DEDEDE00D6D6D600D6D6DE00D6D6D600BDBDC6007373 + 7300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000600000000100010000000000000600000000000000000000 + 000000000000000000000000FFFFFF0000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFF00000000 + FFFFFFFFFFFFFFFFFFFFFFFF00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000 + FFFFFFFFFFFFFFFFFFFFFFFF00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000F000000FF000000FF000000F00000000 + F000000FF000000FF000000F00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000 + FFFFFFFFFFFFFFFFFFFFFFFF00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000 + FFFFFFFFFFFFFFFFFFFFFFFF00000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000F + F000000FF000000FF000000FF000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 000000000000} + end + object DoorImg: TImageList + Width = 32 + Left = 128 + Top = 224 + Bitmap = { + 494C010102000400040020001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 0000000000003600000028000000800000001000000001002000000000000020 + 0000000000000000000000000000000000000000000000000000000000007373 + 6B0063635A0052524A004A4A4A00524A42004A4A42004A4A4200424A4200524A + 4200524A42004A4A42004A4A4200524A420052524A00525242004A4A4200524A + 42004A4A4200524A4200524A42004A4A4A004A4A42004A4A42004A4242003939 + 3100292921000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007B73 + 6B00636363005A524A004A4A4200524A4A0052524200524A4A00524A4A004A4A + 420052524200524A4200524A42005A524A0052524200524A4A00524A4200524A + 4200524A4200524A42004A4A420052524A004A4A4A004A4A42004A4A42003939 + 3900292929000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007373 + 7300636363005A524A004A424200524A42005A524A004A4A4A004A4A4200524A + 420052524A0052524A005A524A0052524A005A524A0052524A0052524A00524A + 4A004A524200524A4A00524A4A004A4A4A00524A4A00524A4A004A4A42004239 + 3900312929000000000000000000000000001818180021181800211818001818 + 1800181818002118180018181800211818002118180021181800211818002118 + 1800181818002118180021181800181818001818180021181800181818002118 + 1800211818002118180021181800211818001818180021181800181818002118 + 1800181818002118180018181800211818000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000736B + 6B006B63630052524200524A4A00524A42004A4A4A004A4A42004A5242004A4A + 420052524A0052524A00524A4A005252420052524200524A420052524200524A + 4A004A4A4A004A4242004A4A420052524A004A4A42004A4A42004A4A42003939 + 3900292921000000000000000000000000003931290031313100313129003131 + 3100313129003131290031312900393131003131310039313100313131003131 + 3100313129003131310031312900313131003131290031312900313129003931 + 3100313131003931310031313100313129003131310039313100313131003129 + 2900313129003131310031312900313129000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007373 + 6B0063635A00525242004A524A0052524A004A5242004A4A42004A4A4A004A4A + 42004A4A420052524A004A4A4200524A4A00524242004A524A00524A4A004A4A + 4200524A42004A4A42004A4242004A4A42004A423900524A4A004A4242004239 + 3100292929000000000000000000000000004A4A42004A4A4200424242004A42 + 390042424200424242004A4A42004A4A4200424A39004A4A42004A4242004A4A + 42004A4A42004A4A4200424A39004A42420042424200424242004A4A42004A4A + 4200424A39004A4A42004A4242004A4A42004A4A42004A424200423939004A42 + 3900423939004A4A4200423939004A4242000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000736B + 6B0063635A0052524200525242004A524A004A4A4A00424A42004A4A42004242 + 42004A4A4A00524A4200524A42004A5242004A4A4A0052524A004A4A42004A4A + 4A00524A42004A4A420052524A00524A42004A4A4A004A4242004A4242004239 + 3100292921000000000000000000000000004A52420052524A004A4A4A00424A + 42004A4A4200424242004A4A4200524A4200524A4A004A4A4200525242004A4A + 4A005252420052524A004A4A4A00424242004A4A4200424242004A4A4200524A + 4200524A42004A4A4A00525242004A524A00524A42004A4A4A004A4A4200524A + 420052524A00524A42004A4A4A004A4242000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007373 + 6B0063635A0052524200524A42004A4A42004A4A4A004A4A42004A4A42004A4A + 4A0052524A004A4A42004A424200524A4200524A42004A4A4200524A42004A4A + 4A004A424200524A42004A524200524A42004A52420052524A004A4A42003939 + 310031292900000000000000000000000000524A42004A4A42004A4A4A004A4A + 42004A4A4A004A5242004A524A004A4A42004A424200524A4200524A42004A52 + 42004A4A42004A4A42004A4A4A004A4A42004A4A4A004A4A42004A524A004A4A + 4A004A424200524A4200524A42004A4A42004A4A4A004A4A4A00524242004A4A + 42004A524200524A42004A5242004A524A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007B73 + 6B006363630052524A004A4A42004A4A42004A4A4A004A4A4A004A4A42004A52 + 4A004A4A42004A4A4200524A4A004A4A4200524A4A00525242004A4A42004A4A + 4A0052524200524A4200524A420052524A004A4A4200525242004A4A42003939 + 3100292929000000000000000000000000004A4A42004A4A4A004A4A42004A4A + 4A004A4A42004A4A4A004A4A4A00524A4200524A42004A4A4200524A4A00524A + 42004A4A4200524A4A004A4A42004A4A4A004A4A42004A524A004A4A4A00524A + 4200524A42004A4A4200524A4A00524A42004A4A42004A4A420052524A00524A + 4200524A420052524A00524A42004A5242000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007373 + 6B006B63630052524A004A4A4200524A42004A4A42004A4242004A4A42004A4A + 4200524A4A004A4A4200524A4A0052524A0052524A00524A4200524A4200524A + 42004A4A4A00524A4200524A4A00525242004A4A42004A4242004A4A42004239 + 310029292900000000000000000000000000524A4200524A42004A4A42004A42 + 42004A4A42004A4A4200524A42004A4A4A00524A4A004A524A005A524A00524A + 4200524A42004A4A42004A4A42004A4242004A4A42004A4A4200524A42004A4A + 4A00524A4A004A524A005A524A00524A4200524A4200524A4A004A4A4200524A + 4A00524A4200525242004A4A42004A4A42000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007B73 + 6B006B5A5A0052524A00524A4A00524A42004A4A4A004A4242004A4A42004A4A + 4200524A42004A4A42004A4A420052524A00524A4A0052524200524A42004A52 + 4A00524A42004A4A4200524A4200524A42004A4A42004A4A42004A4242003939 + 3100292921000000000000000000000000004A4A4A00524A42004A4A42004A42 + 42004A4A4200524A4A004A4A4200524A42004A4A4200524A4A00524A4A004A4A + 4200524A4A00524A42004A4A42004A4242004A4A4200524A42004A4A4200524A + 42004A4A4200524A4A00524A4A00525242004A4A4200524A42004A4A42004A4A + 4200524A4A00524A42004A4A4200424A42000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007373 + 73006B6B630052524A004A4A4A00524A4A00524A42004A4242004A4A4A00524A + 4200524A4A00524A4200524A4200525242004A4A4200524A4200524A42004A42 + 42004A4A4200524A4200524A4200524A42004A4A42004A4A42004A4239003931 + 3100292921000000000000000000000000004A4A4A00524A4A004A4A42004A42 + 42004A4A4200524A420052524A004A424200525242004A4A4200524A4200524A + 42004A4A4A00524A4A00524A42004A4242004A4A4A00524A4200524A4A004A4A + 4200524A42004A5242004A4A3900524A4200524A4A004A4A4200524A4200524A + 4200524A4200524A42004A4A42004A4A42000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000007373 + 73006B636300525252004A4A4A00524A42004A4A4200524A42004A4A4200524A + 4200525242004A4242004A4A4200424242004A4A4200524A420052524200524A + 4200524A42004A4A4200524A4A00524A42004A524A0052524A004A4A42003939 + 3900292921000000000000000000000000005A5A52005A5A52005A5252005A5A + 4A005A5252005A525200635A52005A524A0052524A0052524A00525252005A52 + 4A005A5A52005A5A52005A5252005A5A4A005A524A005A525200635A52005A52 + 4A0052524A0052524A005A5252005A5A4A005A5A52005A5252005A5A4A005A5A + 4A005A5A52005A5A52005A5A52005A5A52000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000736B + 6B0063635A00525242004A4A42004A4A4200524A420052524200524A42004A4A + 4200524A42004A4A42004A4A42004A4A39004A424200524A4200524A4A00524A + 42004A424200525242004A524A00524A420052524A0052524A00524A4A004239 + 3100292929000000000000000000000000007B7373007B7B73007B7373007B7B + 73007B7B73007B7B73007B7B73007B7B73007B7373007B7373007B7373007B7B + 73007B737300737373007B7B73007B7B73007B7B7B007B7B73007B7B73007B7B + 73007B7373007B7373007B7373007B7B7300847B73007B7B73007B7373007B7B + 73007B7B7B007B7B73007B7B7300847B7B000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000736B + 6B005A635A00524A42004A423900524A42004A4A4200524A4A004A4A4200524A + 4200524A42004A4A42004A4A4A004A4A42004A4A42004A4A42004A4A4200524A + 42004A4A42004A4A42004A4A42004A4A42004A4A4200524A4200524A42003939 + 3100312929000000000000000000000000009C9C9400A59C94009C9C9C00A59C + 9C009C9C9C00A59C9400A59C9C009C9C9C009C9C9C009C9C94009C9C94009C9C + 94009C9C9400A59C9C009C9C9400A59C9C009C9C9C00A59C9400A59C9C009C9C + 94009C9C9C009C9C9C009C9C94009C9C9C009C9C9400A59C9C009C9C9400A59C + 9C009C9C9C009C9C9C00A59C94009C9C9C000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000736B + 6B005A635A00524A42004A4242004A4242004A4A42004A4A420052524A004A4A + 42004A4A42004A4A42004A424200424A4200424A42004A423900524A42004A4A + 39004A423900524A4200525242004A4A42004A4A4200524A4A004A4A42004239 + 3100292929000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000736B + 6B005A635A00524A4200424A42004A4239004A4A42004A4A42004A4A4A00524A + 42004A4A4A004A4A4200424242004A423900424242004A4242004A4242004A4A + 42004A4A42004A4A3900524A4200524A4200524A4200524A42004A4A39003939 + 3100292929000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000100000000100010000000000000100000000000000000000 + 000000000000000000000000FFFFFF00E0000007FFFFFFFF0000000000000000 + E0000007FFFFFFFF0000000000000000E0000007000000000000000000000000 + E0000007000000000000000000000000E0000007000000000000000000000000 + E0000007000000000000000000000000E0000007000000000000000000000000 + E0000007000000000000000000000000E0000007000000000000000000000000 + E0000007000000000000000000000000E0000007000000000000000000000000 + E0000007000000000000000000000000E0000007000000000000000000000000 + E0000007000000000000000000000000E0000007FFFFFFFF0000000000000000 + E0000007FFFFFFFF000000000000000000000000000000000000000000000000 + 000000000000} + end + object PortalImg: TImageList + Height = 64 + Width = 64 + Left = 168 + Top = 32 + Bitmap = { + 494C010104000900040040004000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 000000000000360000002800000000010000C000000001002000000000000000 + 0300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000636B + 6B006B737300737B7B007B7B840084848C007B7B8400737B7B006B737300636B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000636B + 6B006B737300737B7B007B7B840084848C007B7B8400737B7B006B737300636B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000636B + 6B006B737300737B7B007B7B840084848C007B7B8400737B7B006B737300636B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000636B + 6B006B737300737B7B007B7B840084848C007B7B8400737B7B006B737300636B + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A63 + 630073737B007B7B8400737B8400636B6B00737B84007B7B840073737B005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A63 + 630073737B007B7B8400737B8400636B6B00737B84007B7B840073737B005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A63 + 630073737B007B7B8400737B8400636B6B00737B84007B7B840073737B005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A63 + 630073737B007B7B8400737B8400636B6B00737B84007B7B840073737B005A63 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001818 + 18005A5A6300424A520039394200313942003131390031313900313131004A4A + 52006B6B7300636B7300636B73006B6B7300636B7300636B73006B6B73004A4A + 52003131310031313900313139003139420039394200424A52005A5A63001818 + 1800000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001818 + 18005A5A6300424A520039394200313942003131390031313900313131004A4A + 52006B6B7300636B7300636B73006B6B7300636B7300636B73006B6B73004A4A + 52003131310031313900313139003139420039394200424A52005A5A63001818 + 1800000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001818 + 18005A5A6300424A520039394200313942003131390031313900313131004A4A + 52006B6B7300636B7300636B73006B6B7300636B7300636B73006B6B73004A4A + 52003131310031313900313139003139420039394200424A52005A5A63001818 + 1800000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001818 + 18005A5A6300424A520039394200313942003131390031313900313131004A4A + 52006B6B7300636B7300636B73006B6B7300636B7300636B73006B6B73004A4A + 52003131310031313900313139003139420039394200424A52005A5A63001818 + 1800000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000292931004242 + 4A00424A5200636B7300424A4A0039424A003139390031313900313131003942 + 4A0063636B0063636B00636B6B0073737B00636B6B0063636B0063636B003942 + 4A0031313100313139003139390039424A00424A4A00636B7300424A52004242 + 4A00292931000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000292931004242 + 4A00424A5200636B7300424A4A0039424A003139390031313900313131003942 + 4A0063636B0063636B00636B6B0073737B00636B6B0063636B0063636B003942 + 4A0031313100313139003139390039424A00424A4A00636B7300424A52004242 + 4A00292931000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000292931004242 + 4A00424A5200636B7300424A4A0039424A003139390031313900313131003942 + 4A0063636B0063636B00636B6B0073737B00636B6B0063636B0063636B003942 + 4A0031313100313139003139390039424A00424A4A00636B7300424A52004242 + 4A00292931000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000292931004242 + 4A00424A5200636B7300424A4A0039424A003139390031313900313131003942 + 4A0063636B0063636B00636B6B0073737B00636B6B0063636B0063636B003942 + 4A0031313100313139003139390039424A00424A4A00636B7300424A52004242 + 4A00292931000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000212121004242 + 4A00424A4A006B7373004A5A63004A4A5A0039424A0031393900313139003139 + 310063636B00737B84006B7373007B7B84006B737300737B840063636B003139 + 3100313139003139390039424A004A4A5A004A5A63006B737300424A4A004242 + 4A00212121000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000212121004242 + 4A00424A4A006B7373004A5A63004A4A5A0039424A0031393900313139003139 + 310063636B00737B84006B7373007B7B84006B737300737B840063636B003139 + 3100313139003139390039424A004A4A5A004A5A63006B737300424A4A004242 + 4A00212121000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000212121004242 + 4A00424A4A006B7373004A5A63004A4A5A0039424A0031393900313139003139 + 310063636B00737B84006B7373007B7B84006B737300737B840063636B003139 + 3100313139003139390039424A004A4A5A004A5A63006B737300424A4A004242 + 4A00212121000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000212121004242 + 4A00424A4A006B7373004A5A63004A4A5A0039424A0031393900313139003139 + 310063636B00737B84006B7373007B7B84006B737300737B840063636B003139 + 3100313139003139390039424A004A4A5A004A5A63006B737300424A4A004242 + 4A00212121000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003942 + 4A00424A520063636B00737B8400525A6B004A525A0042424A00393942003939 + 42006B6B6B0073737B007B7B84007B7B84007B7B840073737B006B6B6B003939 + 42003939420042424A004A525A00525A6B00737B840063636B00424A52003942 + 4A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003942 + 4A00424A520063636B00737B8400525A6B004A525A0042424A00393942003939 + 42006B6B6B0073737B007B7B84007B7B84007B7B840073737B006B6B6B003939 + 42003939420042424A004A525A00525A6B00737B840063636B00424A52003942 + 4A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003942 + 4A00424A520063636B00737B8400525A6B004A525A0042424A00393942003939 + 42006B6B6B0073737B007B7B84007B7B84007B7B840073737B006B6B6B003939 + 42003939420042424A004A525A00525A6B00737B840063636B00424A52003942 + 4A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003942 + 4A00424A520063636B00737B8400525A6B004A525A0042424A00393942003939 + 42006B6B6B0073737B007B7B84007B7B84007B7B840073737B006B6B6B003939 + 42003939420042424A004A525A00525A6B00737B840063636B00424A52003942 + 4A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000810 + 08004A525A00636B73006B737B00636B7300525A6B00424A5200394242003942 + 4A00636B6B00636B6B006B6B6B00737373006B6B6B00636B6B00636B6B003942 + 4A0039424200424A5200525A6B00636B73006B737B00636B73004A525A000810 + 0800000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000810 + 08004A525A00636B73006B737B00636B7300525A6B00424A5200394242003942 + 4A00636B6B00636B6B006B6B6B00737373006B6B6B00636B6B00636B6B003942 + 4A0039424200424A5200525A6B00636B73006B737B00636B73004A525A000810 + 0800000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000810 + 08004A525A00636B73006B737B00636B7300525A6B00424A5200394242003942 + 4A00636B6B00636B6B006B6B6B00737373006B6B6B00636B6B00636B6B003942 + 4A0039424200424A5200525A6B00636B73006B737B00636B73004A525A000810 + 0800000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000810 + 08004A525A00636B73006B737B00636B7300525A6B00424A5200394242003942 + 4A00636B6B00636B6B006B6B6B00737373006B6B6B00636B6B00636B6B003942 + 4A0039424200424A5200525A6B00636B73006B737B00636B73004A525A000810 + 0800000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001008100010101000000000000000 + 000008080800000000002931390039424A0039424A0031394A00313939003131 + 3900424A4A0042424A00424A4A00424A4A00424A4A0042424A00424A4A003131 + 39003139390031394A0039424A0039424A002931390000000000080808000000 + 0000000000001010100010081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001008100010101000000000000000 + 000008080800000000002931390039424A0039424A0031394A00313939003131 + 3900424A4A0042424A00424A4A00424A4A00424A4A0042424A00424A4A003131 + 39003139390031394A0039424A0039424A002931390000000000080808000000 + 0000000000001010100010081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001008100010101000000000000000 + 000008080800000000002931390039424A0039424A0031394A00313939003131 + 3900424A4A0042424A00424A4A00424A4A00424A4A0042424A00424A4A003131 + 39003139390031394A0039424A0039424A002931390000000000080808000000 + 0000000000001010100010081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001008100010101000000000000000 + 000008080800000000002931390039424A0039424A0031394A00313939003131 + 3900424A4A0042424A00424A4A00424A4A00424A4A0042424A00424A4A003131 + 39003139390031394A0039424A0039424A002931390000000000080808000000 + 0000000000001010100010081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000002121210029292900424A52004A4A5A00393942001010 + 1000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001010 + 1000393942004A4A5A00424A5200292929002121210000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000002121210029292900424A52004A4A5A00393942001010 + 1000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001010 + 1000393942004A4A5A00424A5200292929002121210000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000002121210029292900424A52004A4A5A00393942001010 + 1000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001010 + 1000393942004A4A5A00424A5200292929002121210000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000002121210029292900424A52004A4A5A00393942001010 + 1000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001010 + 1000393942004A4A5A00424A5200292929002121210000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000039424A004A4A5A004A4A5A0039424A00101818004A4A + 5A0039394200000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000393942004A4A + 5A001018180039424A004A4A5A004A4A5A0039424A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000039424A004A4A5A004A4A5A0039424A00101818004A4A + 5A0039394200000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000393942004A4A + 5A001018180039424A004A4A5A004A4A5A0039424A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000039424A004A4A5A004A4A5A0039424A00101818004A4A + 5A0039394200000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000393942004A4A + 5A001018180039424A004A4A5A004A4A5A0039424A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000039424A004A4A5A004A4A5A0039424A00101818004A4A + 5A0039394200000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000393942004A4A + 5A001018180039424A004A4A5A004A4A5A0039424A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029425200101818004A4A5A004A4A5A0039424A0010181800000000003942 + 4200525A63000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000525A63003942 + 4200000000001018180039424A004A4A5A004A4A5A0010181800294252000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029425200101818004A4A5A004A4A5A0039424A0010181800000000003942 + 4200525A6300000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000525A63003942 + 4200000000001018180039424A004A4A5A004A4A5A0010181800294252000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029425200101818004A4A5A004A4A5A0039424A0010181800000000003942 + 4200525A63000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000525A63003942 + 4200000000001018180039424A004A4A5A004A4A5A0010181800294252000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029425200101818004A4A5A004A4A5A0039424A0010181800000000003942 + 4200525A63000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000525A63003942 + 4200000000001018180039424A004A4A5A004A4A5A0010181800294252000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000182942001884 + C60029B5EF001884C6006B739400636B84005A637B0039425200293139004A52 + 52006B6373000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000006B6373004A52 + 520029313900394252005A637B00636B84006B7394001884C60029B5EF001884 + C600182942000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000182942001884 + C60029B5EF001884C6006B739400636B84005A637B0039425200293139004A52 + 52006B6373000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000006B6373004A52 + 520029313900394252005A637B00636B84006B7394001884C60029B5EF001884 + C600182942000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000182942001884 + C60029B5EF001884C6006B739400636B84005A637B0039425200293139004A52 + 52006B637300000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD000000000000000000000000006B6373004A52 + 520029313900394252005A637B00636B84006B7394001884C60029B5EF001884 + C600182942000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000182942001884 + C60029B5EF001884C6006B739400636B84005A637B0039425200293139004A52 + 52006B6373000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000006B6373004A52 + 520029313900394252005A637B00636B84006B7394001884C60029B5EF001884 + C600182942000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000080810000031BD0029B5EF0029B5 + EF004A5A8C006B7384007373940039425A00393942003139420031313900737B + 840042424A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000042424A00737B + 840031313900313942003939420039425A00737394006B7384004A5A8C0029B5 + EF0029B5EF000031BD0008081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000080810000031BD0029B5EF0029B5 + EF004A5A8C006B7384007373940039425A00393942003139420031313900737B + 840042424A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000042424A00737B + 840031313900313942003939420039425A00737394006B7384004A5A8C0029B5 + EF0029B5EF000031BD0008081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000080810000031BD0029B5EF0029B5 + EF004A5A8C006B7384007373940039425A00393942003139420031313900737B + 840042424A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000042424A00737B + 840031313900313942003939420039425A00737394006B7384004A5A8C0029B5 + EF0029B5EF000031BD0008081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000080810000031BD0029B5EF0029B5 + EF004A5A8C006B7384007373940039425A00393942003139420031313900737B + 840042424A00000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000000000000042424A00737B + 840031313900313942003939420039425A00737394006B7384004A5A8C0029B5 + EF0029B5EF000031BD0008081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000018314A00007BD600007BD6001884C6006B73 + 94006B73940073848C004A5A6300525A63004A525A0042424A0052525A009C9C + AD0018181800000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000181818009C9C + AD0052525A0042424A004A525A00525A63004A5A630073848C006B7394006B73 + 94001884C600007BD600007BD60018314A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000018314A00007BD600007BD6001884C6006B73 + 94006B73940073848C004A5A6300525A63004A525A0042424A0052525A009C9C + AD00181818000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000181818009C9C + AD0052525A0042424A004A525A00525A63004A5A630073848C006B7394006B73 + 94001884C600007BD600007BD60018314A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000018314A00007BD600007BD6001884C6006B73 + 94006B73940073848C004A5A6300525A63004A525A0042424A0052525A009C9C + AD00181818000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000181818009C9C + AD0052525A0042424A004A525A00525A63004A5A630073848C006B7394006B73 + 94001884C600007BD600007BD60018314A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000018314A00007BD600007BD6001884C6006B73 + 94006B73940073848C004A5A6300525A63004A525A0042424A0052525A009C9C + AD00181818000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000181818009C9C + AD0052525A0042424A004A525A00525A63004A5A630073848C006B7394006B73 + 94001884C600007BD600007BD60018314A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000101818001884C600007BD60029B5EF0052BDE700737394007B8C + A5007B8CA500525A6B005A5A6B005A637300525A6300525A63006B6B73008C8C + 9C00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000008C8C + 9C006B6B7300525A6300525A63005A6373005A5A6B00525A6B007B8CA5007B8C + A5007373940052BDE70029B5EF00007BD6001884C60010181800000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000101818001884C600007BD60029B5EF0052BDE700737394007B8C + A5007B8CA500525A6B005A5A6B005A637300525A6300525A63006B6B73008C8C + 9C0000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000008C8C + 9C006B6B7300525A6300525A63005A6373005A5A6B00525A6B007B8CA5007B8C + A5007373940052BDE70029B5EF00007BD6001884C60010181800000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000101818001884C600007BD60029B5EF0052BDE700737394007B8C + A5007B8CA500525A6B005A5A6B005A637300525A6300525A63006B6B73008C8C + 9C00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000008C8C + 9C006B6B7300525A6300525A63005A6373005A5A6B00525A6B007B8CA5007B8C + A5007373940052BDE70029B5EF00007BD6001884C60010181800000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000101818001884C600007BD60029B5EF0052BDE700737394007B8C + A5007B8CA500525A6B005A5A6B005A637300525A6300525A63006B6B73008C8C + 9C00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000008C8C + 9C006B6B7300525A6300525A63005A6373005A5A6B00525A6B007B8CA5007B8C + A5007373940052BDE70029B5EF00007BD6001884C60010181800000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000039527300007BD600007BD60029B5EF008C9CB5008C9CB5008C9CB500A5AD + C600636B7B00636B7B006B7B8400636B7300636B730073737B0073737B006B6B + 7B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006B6B + 7B0073737B0073737B00636B7300636B73006B7B8400636B7B00636B7B00A5AD + C6008C9CB5008C9CB5008C9CB50029B5EF00007BD600007BD600395273000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000039527300007BD600007BD60029B5EF008C9CB5008C9CB5008C9CB500A5AD + C600636B7B00636B7B006B7B8400636B7300636B730073737B0073737B006B6B + 7B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006B6B + 7B0073737B0073737B00636B7300636B73006B7B8400636B7B00636B7B00A5AD + C6008C9CB5008C9CB5008C9CB50029B5EF00007BD600007BD600395273000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000039527300007BD600007BD60029B5EF008C9CB5008C9CB5008C9CB500A5AD + C600636B7B00636B7B006B7B8400636B7300636B730073737B0073737B006B6B + 7B0000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000006B6B + 7B0073737B0073737B00636B7300636B73006B7B8400636B7B00636B7B00A5AD + C6008C9CB5008C9CB5008C9CB50029B5EF00007BD600007BD600395273000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000039527300007BD600007BD60029B5EF008C9CB5008C9CB5008C9CB500A5AD + C600636B7B00636B7B006B7B8400636B7300636B730073737B0073737B006B6B + 7B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006B6B + 7B0073737B0073737B00636B7300636B73006B7B8400636B7B00636B7B00A5AD + C6008C9CB5008C9CB5008C9CB50029B5EF00007BD600007BD600395273000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029B5EF0042BDF700B5BDCE00A5ADC6008C9CB5008C9CB500B5BDCE006B7B + 8C006B738400737B84006B737B006B737B00737B84007B7B84006B6B73006363 + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 6B006B6B73007B7B8400737B84006B737B006B737B00737B84006B7384006B7B + 8C00B5BDCE008C9CB5008C9CB500A5ADC600B5BDCE0042BDF70029B5EF000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029B5EF0042BDF700B5BDCE00A5ADC6008C9CB5008C9CB500B5BDCE006B7B + 8C006B738400737B84006B737B006B737B00737B84007B7B84006B6B73006363 + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 6B006B6B73007B7B8400737B84006B737B006B737B00737B84006B7384006B7B + 8C00B5BDCE008C9CB5008C9CB500A5ADC600B5BDCE0042BDF70029B5EF000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029B5EF0042BDF700B5BDCE00A5ADC6008C9CB5008C9CB500B5BDCE006B7B + 8C006B738400737B84006B737B006B737B00737B84007B7B84006B6B73006363 + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 6B006B6B73007B7B8400737B84006B737B006B737B00737B84006B7384006B7B + 8C00B5BDCE008C9CB5008C9CB500A5ADC600B5BDCE0042BDF70029B5EF000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029B5EF0042BDF700B5BDCE00A5ADC6008C9CB5008C9CB500B5BDCE006B7B + 8C006B738400737B84006B737B006B737B00737B84007B7B84006B6B73006363 + 6B0000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000006363 + 6B006B6B73007B7B8400737B84006B737B006B737B00737B84006B7384006B7B + 8C00B5BDCE008C9CB5008C9CB500A5ADC600B5BDCE0042BDF70029B5EF000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029B5EF0052BDE700BDD6BD00BDD6BD00BDBDCE00BDD6BD00BDBDCE00636B + 8400A5B5BD00B5BDCE00B5BDCE00B5BDCE00636B7300525A5A004A5252006363 + 6B0000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000006363 + 6B004A525200525A5A00636B7300B5BDCE00B5BDCE00B5BDCE00A5B5BD00636B + 8400BDBDCE00BDD6BD00BDBDCE00BDD6BD00BDD6BD0052BDE70029B5EF000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029B5EF0052BDE700BDD6BD00BDD6BD00BDBDCE00BDD6BD00BDBDCE00636B + 8400A5B5BD00B5BDCE00B5BDCE00B5BDCE00636B7300525A5A004A5252006363 + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 6B004A525200525A5A00636B7300B5BDCE00B5BDCE00B5BDCE00A5B5BD00636B + 8400BDBDCE00BDD6BD00BDBDCE00BDD6BD00BDD6BD0052BDE70029B5EF000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029B5EF0052BDE700BDD6BD00BDD6BD00BDBDCE00BDD6BD00BDBDCE00636B + 8400A5B5BD00B5BDCE00B5BDCE00B5BDCE00636B7300525A5A004A5252006363 + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 6B004A525200525A5A00636B7300B5BDCE00B5BDCE00B5BDCE00A5B5BD00636B + 8400BDBDCE00BDD6BD00BDBDCE00BDD6BD00BDD6BD0052BDE70029B5EF000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000029B5EF0052BDE700BDD6BD00BDD6BD00BDBDCE00BDD6BD00BDBDCE00636B + 8400A5B5BD00B5BDCE00B5BDCE00B5BDCE00636B7300525A5A004A5252006363 + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 6B004A525200525A5A00636B7300B5BDCE00B5BDCE00B5BDCE00A5B5BD00636B + 8400BDBDCE00BDD6BD00BDBDCE00BDD6BD00BDD6BD0052BDE70029B5EF000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000007BD60042BDF700B5BDCE00B5BDCE00BDD6BD00BDD6BD00BDD6BD008C9C + B5004A526B006B7B8C00A5ADC600B5BDCE00636B730039424A004A4A52005A63 + 7300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A63 + 73004A4A520039424A00636B7300B5BDCE00A5ADC6006B7B8C004A526B008C9C + B500BDD6BD00BDD6BD00BDD6BD00B5BDCE00B5BDCE0042BDF700007BD6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000007BD60042BDF700B5BDCE00B5BDCE00BDD6BD00BDD6BD00BDD6BD008C9C + B5004A526B006B7B8C00A5ADC600B5BDCE00636B730039424A004A4A52005A63 + 730000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000005A63 + 73004A4A520039424A00636B7300B5BDCE00A5ADC6006B7B8C004A526B008C9C + B500BDD6BD00BDD6BD00BDD6BD00B5BDCE00B5BDCE0042BDF700007BD6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000007BD60042BDF700B5BDCE00B5BDCE00BDD6BD00BDD6BD00BDD6BD008C9C + B5004A526B006B7B8C00A5ADC600B5BDCE00636B730039424A004A4A52005A63 + 7300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A63 + 73004A4A520039424A00636B7300B5BDCE00A5ADC6006B7B8C004A526B008C9C + B500BDD6BD00BDD6BD00BDD6BD00B5BDCE00B5BDCE0042BDF700007BD6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000007BD60042BDF700B5BDCE00B5BDCE00BDD6BD00BDD6BD00BDD6BD008C9C + B5004A526B006B7B8C00A5ADC600B5BDCE00636B730039424A004A4A52005A63 + 7300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A63 + 73004A4A520039424A00636B7300B5BDCE00A5ADC6006B7B8C004A526B008C9C + B500BDD6BD00BDD6BD00BDD6BD00B5BDCE00B5BDCE0042BDF700007BD6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00001884C60029B5EF00A5B5BD005A6B940094A5B500BDD6BD00636B7300636B + 7300A5ADC6006B7B8C00636B73009C9CAD0063636B004A525A0039424A005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A5A + 630039424A004A525A0063636B009C9CAD00636B73006B7B8C00A5ADC600636B + 7300636B7300BDD6BD0094A5B5005A6B9400A5B5BD0029B5EF001884C6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00001884C60029B5EF00A5B5BD005A6B940094A5B500BDD6BD00636B7300636B + 7300A5ADC6006B7B8C00636B73009C9CAD0063636B004A525A0039424A005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A5A + 630039424A004A525A0063636B009C9CAD00636B73006B7B8C00A5ADC600636B + 7300636B7300BDD6BD0094A5B5005A6B9400A5B5BD0029B5EF001884C6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00001884C60029B5EF00A5B5BD005A6B940094A5B500BDD6BD00636B7300636B + 7300A5ADC6006B7B8C00636B73009C9CAD0063636B004A525A0039424A005A5A + 630000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000005A5A + 630039424A004A525A0063636B009C9CAD00636B73006B7B8C00A5ADC600636B + 7300636B7300BDD6BD0094A5B5005A6B9400A5B5BD0029B5EF001884C6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00001884C60029B5EF00A5B5BD005A6B940094A5B500BDD6BD00636B7300636B + 7300A5ADC6006B7B8C00636B73009C9CAD0063636B004A525A0039424A005A5A + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005A5A + 630039424A004A525A0063636B009C9CAD00636B73006B7B8C00A5ADC600636B + 7300636B7300BDD6BD0094A5B5005A6B9400A5B5BD0029B5EF001884C6000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000031BD00007BD600B5BDCE00BDBDCE00A5ADC600BDD6BD007B7B84003139 + 3900394252008C9CB5004A525A00737B8400636B73005A6B7B0039424A004242 + 5200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000004242 + 520039424A005A6B7B00636B7300737B84004A525A008C9CB500394252003139 + 39007B7B8400BDD6BD00A5ADC600BDBDCE00B5BDCE00007BD6000031BD000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000031BD00007BD600B5BDCE00BDBDCE00A5ADC600BDD6BD007B7B84003139 + 3900394252008C9CB5004A525A00737B8400636B73005A6B7B0039424A004242 + 5200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000004242 + 520039424A005A6B7B00636B7300737B84004A525A008C9CB500394252003139 + 39007B7B8400BDD6BD00A5ADC600BDBDCE00B5BDCE00007BD6000031BD000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000031BD00007BD600B5BDCE00BDBDCE00A5ADC600BDD6BD007B7B84003139 + 3900394252008C9CB5004A525A00737B8400636B73005A6B7B0039424A004242 + 5200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000004242 + 520039424A005A6B7B00636B7300737B84004A525A008C9CB500394252003139 + 39007B7B8400BDD6BD00A5ADC600BDBDCE00B5BDCE00007BD6000031BD000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000031BD00007BD600B5BDCE00BDBDCE00A5ADC600BDD6BD007B7B84003139 + 3900394252008C9CB5004A525A00737B8400636B73005A6B7B0039424A004242 + 520000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000004242 + 520039424A005A6B7B00636B7300737B84004A525A008C9CB500394252003139 + 39007B7B8400BDD6BD00A5ADC600BDBDCE00B5BDCE00007BD6000031BD000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000018314A0029B5EF00B5BDCE00B5BDCE00B5BDCE00BDD6BD00BDD6BD007384 + 8C004A4A5A008C9CB500525263007B7B8C00737B84004A5A730039424A003939 + 420000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000003939 + 420039424A004A5A7300737B84007B7B8C00525263008C9CB5004A4A5A007384 + 8C00BDD6BD00BDD6BD00B5BDCE00B5BDCE00B5BDCE0029B5EF0018314A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000018314A0029B5EF00B5BDCE00B5BDCE00B5BDCE00BDD6BD00BDD6BD007384 + 8C004A4A5A008C9CB500525263007B7B8C00737B84004A5A730039424A003939 + 4200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 420039424A004A5A7300737B84007B7B8C00525263008C9CB5004A4A5A007384 + 8C00BDD6BD00BDD6BD00B5BDCE00B5BDCE00B5BDCE0029B5EF0018314A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000018314A0029B5EF00B5BDCE00B5BDCE00B5BDCE00BDD6BD00BDD6BD007384 + 8C004A4A5A008C9CB500525263007B7B8C00737B84004A5A730039424A003939 + 4200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 420039424A004A5A7300737B84007B7B8C00525263008C9CB5004A4A5A007384 + 8C00BDD6BD00BDD6BD00B5BDCE00B5BDCE00B5BDCE0029B5EF0018314A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000018314A0029B5EF00B5BDCE00B5BDCE00B5BDCE00BDD6BD00BDD6BD007384 + 8C004A4A5A008C9CB500525263007B7B8C00737B84004A5A730039424A003939 + 4200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 420039424A004A5A7300737B84007B7B8C00525263008C9CB5004A4A5A007384 + 8C00BDD6BD00BDD6BD00B5BDCE00B5BDCE00B5BDCE0029B5EF0018314A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000029B5EF0084FFF700B5BDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD00636B7B0094A5B5004A52630073848C007B848C004A5A8C0039424A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 390039424A004A5A8C007B848C0073848C004A52630094A5B500636B7B00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00B5BDCE0084FFF70029B5EF00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000029B5EF0084FFF700B5BDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD00636B7B0094A5B5004A52630073848C007B848C004A5A8C0039424A003939 + 390000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000003939 + 390039424A004A5A8C007B848C0073848C004A52630094A5B500636B7B00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00B5BDCE0084FFF70029B5EF00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000029B5EF0084FFF700B5BDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD00636B7B0094A5B5004A52630073848C007B848C004A5A8C0039424A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 390039424A004A5A8C007B848C0073848C004A52630094A5B500636B7B00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00B5BDCE0084FFF70029B5EF00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000029B5EF0084FFF700B5BDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD00636B7B0094A5B5004A52630073848C007B848C004A5A8C0039424A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 390039424A004A5A8C007B848C0073848C004A52630094A5B500636B7B00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00B5BDCE0084FFF70029B5EF00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001884C60052BDE700BDBDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD009C9CAD009CA5B500525A6B00848C9400848C94004A5A8C0039424A003939 + 4200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 420039424A004A5A8C00848C9400848C9400525A6B009CA5B5009C9CAD00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00BDBDCE0052BDE7001884C600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001884C60052BDE700BDBDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD009C9CAD009CA5B500525A6B00848C9400848C94004A5A8C0039424A003939 + 4200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 420039424A004A5A8C00848C9400848C9400525A6B009CA5B5009C9CAD00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00BDBDCE0052BDE7001884C600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001884C60052BDE700BDBDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD009C9CAD009CA5B500525A6B00848C9400848C94004A5A8C0039424A003939 + 420000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000003939 + 420039424A004A5A8C00848C9400848C9400525A6B009CA5B5009C9CAD00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00BDBDCE0052BDE7001884C600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001884C60052BDE700BDBDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD009C9CAD009CA5B500525A6B00848C9400848C94004A5A8C0039424A003939 + 4200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 420039424A004A5A8C00848C9400848C9400525A6B009CA5B5009C9CAD00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00BDBDCE0052BDE7001884C600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000394A5A00BDBDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD00BDD6BD0094A5B500636B73007B7B8C008C949C005A63840039424A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 390039424A005A6384008C949C007B7B8C00636B730094A5B500BDD6BD00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00BDBDCE00394A5A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000394A5A00BDBDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD00BDD6BD0094A5B500636B73007B7B8C008C949C005A63840039424A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 390039424A005A6384008C949C007B7B8C00636B730094A5B500BDD6BD00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00BDBDCE00394A5A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000394A5A00BDBDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD00BDD6BD0094A5B500636B73007B7B8C008C949C005A63840039424A003939 + 3900000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000003939 + 390039424A005A6384008C949C007B7B8C00636B730094A5B500BDD6BD00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00BDBDCE00394A5A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000394A5A00BDBDCE00BDBDCE00BDD6BD00BDD6BD00BDD6 + BD00BDD6BD0094A5B500636B73007B7B8C008C949C005A63840039424A003939 + 390000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000003939 + 390039424A005A6384008C949C007B7B8C00636B730094A5B500BDD6BD00BDD6 + BD00BDD6BD00BDD6BD00BDBDCE00BDBDCE00394A5A0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000010101000BDD6BD00BDBDCE00BDD6BD00BDBDCE00B5BD + CE00BDBDCE00BDBDCE00737B8C006B7384008C949C00636B840039424A005252 + 630000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000005252 + 630039424A00636B84008C949C006B738400737B8C00BDBDCE00BDBDCE00B5BD + CE00BDBDCE00BDD6BD00BDBDCE00BDD6BD001010100000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000010101000BDD6BD00BDBDCE00BDD6BD00BDBDCE00B5BD + CE00BDBDCE00BDBDCE00737B8C006B7384008C949C00636B840039424A005252 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005252 + 630039424A00636B84008C949C006B738400737B8C00BDBDCE00BDBDCE00B5BD + CE00BDBDCE00BDD6BD00BDBDCE00BDD6BD001010100000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000010101000BDD6BD00BDBDCE00BDD6BD00BDBDCE00B5BD + CE00BDBDCE00BDBDCE00737B8C006B7384008C949C00636B840039424A005252 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005252 + 630039424A00636B84008C949C006B738400737B8C00BDBDCE00BDBDCE00B5BD + CE00BDBDCE00BDD6BD00BDBDCE00BDD6BD001010100000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000010101000BDD6BD00BDBDCE00BDD6BD00BDBDCE00B5BD + CE00BDBDCE00BDBDCE00737B8C006B7384008C949C00636B840039424A005252 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000005252 + 630039424A00636B84008C949C006B738400737B8C00BDBDCE00BDBDCE00B5BD + CE00BDBDCE00BDD6BD00BDBDCE00BDD6BD001010100000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000005A5A5A00BDD6BD00BDD6BD00BDD6BD00B5BD + CE009494B500A5ADC6008C9CAD005A637300848C9C005A638400424A5A006363 + 7300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 7300424A5A005A638400848C9C005A6373008C9CAD00A5ADC6009494B500B5BD + CE00BDD6BD00BDD6BD00BDD6BD005A5A5A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000005A5A5A00BDD6BD00BDD6BD00BDD6BD00B5BD + CE009494B500A5ADC6008C9CAD005A637300848C9C005A638400424A5A006363 + 730000000000000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000000000006363 + 7300424A5A005A638400848C9C005A6373008C9CAD00A5ADC6009494B500B5BD + CE00BDD6BD00BDD6BD00BDD6BD005A5A5A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000005A5A5A00BDD6BD00BDD6BD00BDD6BD00B5BD + CE009494B500A5ADC6008C9CAD005A637300848C9C005A638400424A5A006363 + 7300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 7300424A5A005A638400848C9C005A6373008C9CAD00A5ADC6009494B500B5BD + CE00BDD6BD00BDD6BD00BDD6BD005A5A5A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000005A5A5A00BDD6BD00BDD6BD00BDD6BD00B5BD + CE009494B500A5ADC6008C9CAD005A637300848C9C005A638400424A5A006363 + 7300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000006363 + 7300424A5A005A638400848C9C005A6373008C9CAD00A5ADC6009494B500B5BD + CE00BDD6BD00BDD6BD00BDD6BD005A5A5A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000029292900BDD6BD00BDBDCE00A5AD + C600A5ADBD00636B7B005A6B7B00636B7B008C949C00525A7300525A7300636B + 7B00181818000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000018181800636B + 7B00525A7300525A73008C949C00636B7B005A6B7B00636B7B00A5ADBD00A5AD + C600BDBDCE00BDD6BD0029292900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000029292900BDD6BD00BDBDCE00A5AD + C600A5ADBD00636B7B005A6B7B00636B7B008C949C00525A7300525A7300636B + 7B00181818000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000018181800636B + 7B00525A7300525A73008C949C00636B7B005A6B7B00636B7B00A5ADBD00A5AD + C600BDBDCE00BDD6BD0029292900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000029292900BDD6BD00BDBDCE00A5AD + C600A5ADBD00636B7B005A6B7B00636B7B008C949C00525A7300525A7300636B + 7B0018181800000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000000000000018181800636B + 7B00525A7300525A73008C949C00636B7B005A6B7B00636B7B00A5ADBD00A5AD + C600BDBDCE00BDD6BD0029292900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000029292900BDD6BD00BDBDCE00A5AD + C600A5ADBD00636B7B005A6B7B00636B7B008C949C00525A7300525A7300636B + 7B00181818000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000018181800636B + 7B00525A7300525A73008C949C00636B7B005A6B7B00636B7B00A5ADBD00A5AD + C600BDBDCE00BDD6BD0029292900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018294200BDBDCE003952 + 7300525A7300424252005A5A6B003939420073848C00636B73005A637B005A63 + 7300313139000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000313139005A63 + 73005A637B00636B730073848C00393942005A5A6B0042425200525A73003952 + 7300BDBDCE001829420000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018294200BDBDCE003952 + 7300525A7300424252005A5A6B003939420073848C00636B73005A637B005A63 + 7300313139000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000313139005A63 + 73005A637B00636B730073848C00393942005A5A6B0042425200525A73003952 + 7300BDBDCE001829420000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018294200BDBDCE003952 + 7300525A7300424252005A5A6B003939420073848C00636B73005A637B005A63 + 7300313139000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000313139005A63 + 73005A637B00636B730073848C00393942005A5A6B0042425200525A73003952 + 7300BDBDCE001829420000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018294200BDBDCE003952 + 7300525A7300424252005A5A6B003939420073848C00636B73005A637B005A63 + 730031313900000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000313139005A63 + 73005A637B00636B730073848C00393942005A5A6B0042425200525A73003952 + 7300BDBDCE001829420000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018314A0052BDE7006B73 + 9400A5ADC6004A5263006363730042424A00525A6B006B738400525A73006B73 + 7B005A5A6300000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD000000000000000000000000005A5A63006B73 + 7B00525A73006B738400525A6B0042424A00636373004A526300A5ADC6006B73 + 940052BDE70018314A0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018314A0052BDE7006B73 + 9400A5ADC6004A5263006363730042424A00525A6B006B738400525A73006B73 + 7B005A5A63000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005A5A63006B73 + 7B00525A73006B738400525A6B0042424A00636373004A526300A5ADC6006B73 + 940052BDE70018314A0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018314A0052BDE7006B73 + 9400A5ADC6004A5263006363730042424A00525A6B006B738400525A73006B73 + 7B005A5A63000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005A5A63006B73 + 7B00525A73006B738400525A6B0042424A00636373004A526300A5ADC6006B73 + 940052BDE70018314A0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018314A0052BDE7006B73 + 9400A5ADC6004A5263006363730042424A00525A6B006B738400525A73006B73 + 7B005A5A63000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000005A5A63006B73 + 7B00525A73006B738400525A6B0042424A00636373004A526300A5ADC6006B73 + 940052BDE70018314A0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000001884C60042BDF700A5AD + C6005A6B94006B7394006B6B7B00636B7300424252007B8C9400525A73007373 + 8400737384000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000737384007373 + 8400525A73007B8C940042425200636B73006B6B7B006B7394005A6B9400A5AD + C60042BDF7001884C60000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000001884C60042BDF700A5AD + C6005A6B94006B7394006B6B7B00636B7300424252007B8C9400525A73007373 + 840073738400000000000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000000000000737384007373 + 8400525A73007B8C940042425200636B73006B6B7B006B7394005A6B9400A5AD + C60042BDF7001884C60000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000001884C60042BDF700A5AD + C6005A6B94006B7394006B6B7B00636B7300424252007B8C9400525A73007373 + 8400737384000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000737384007373 + 8400525A73007B8C940042425200636B73006B6B7B006B7394005A6B9400A5AD + C60042BDF7001884C60000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000001884C60042BDF700A5AD + C6005A6B94006B7394006B6B7B00636B7300424252007B8C9400525A73007373 + 8400737384000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000737384007373 + 8400525A73007B8C940042425200636B73006B6B7B006B7394005A6B9400A5AD + C60042BDF7001884C60000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000042BDF70029B5EF0052BD + E7004A527B007B8CA5005A6384008C949C00424A4A00737B8400525A6B006B73 + 7B00737394001818180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018181800737394006B73 + 7B00525A6B00737B8400424A4A008C949C005A6384007B8CA5004A527B0052BD + E70029B5EF0042BDF70000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000042BDF70029B5EF0052BD + E7004A527B007B8CA5005A6384008C949C00424A4A00737B8400525A6B006B73 + 7B00737394001818180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018181800737394006B73 + 7B00525A6B00737B8400424A4A008C949C005A6384007B8CA5004A527B0052BD + E70029B5EF0042BDF70000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000042BDF70029B5EF0052BD + E7004A527B007B8CA5005A6384008C949C00424A4A00737B8400525A6B006B73 + 7B0073739400181818000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD00000000000000000018181800737394006B73 + 7B00525A6B00737B8400424A4A008C949C005A6384007B8CA5004A527B0052BD + E70029B5EF0042BDF70000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000042BDF70029B5EF0052BD + E7004A527B007B8CA5005A6384008C949C00424A4A00737B8400525A6B006B73 + 7B00737394001818180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000018181800737394006B73 + 7B00525A6B00737B8400424A4A008C949C005A6384007B8CA5004A527B0052BD + E70029B5EF0042BDF70000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039527300007BD60029B5 + EF00395273004A6384005A6384007B8C94005A5A6B00424A52005A5A6B00737B + 840073737B003131390000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000003131390073737B00737B + 84005A5A6B00424A52005A5A6B007B8C94005A6384004A6384003952730029B5 + EF00007BD6003952730000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039527300007BD60029B5 + EF00395273004A6384005A6384007B8C94005A5A6B00424A52005A5A6B00737B + 840073737B003131390000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000003131390073737B00737B + 84005A5A6B00424A52005A5A6B007B8C94005A6384004A6384003952730029B5 + EF00007BD6003952730000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039527300007BD60029B5 + EF00395273004A6384005A6384007B8C94005A5A6B00424A52005A5A6B00737B + 840073737B003131390000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000003131390073737B00737B + 84005A5A6B00424A52005A5A6B007B8C94005A6384004A6384003952730029B5 + EF00007BD6003952730000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039527300007BD60029B5 + EF00395273004A6384005A6384007B8C94005A5A6B00424A52005A5A6B00737B + 840073737B00313139000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000003131390073737B00737B + 84005A5A6B00424A52005A5A6B007B8C94005A6384004A6384003952730029B5 + EF00007BD6003952730000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000008080800007BD60029B5 + EF001884C600294252004A6384004A5263005A6B7B0039424A006B6B73006B6B + 7300737B84005A5A63000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000005A5A6300737B84006B6B + 73006B6B730039424A005A6B7B004A5263004A638400294252001884C60029B5 + EF00007BD6000808080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000008080800007BD60029B5 + EF001884C600294252004A6384004A5263005A6B7B0039424A006B6B73006B6B + 7300737B84005A5A630000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000005A5A6300737B84006B6B + 73006B6B730039424A005A6B7B004A5263004A638400294252001884C60029B5 + EF00007BD6000808080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000008080800007BD60029B5 + EF001884C600294252004A6384004A5263005A6B7B0039424A006B6B73006B6B + 7300737B84005A5A630000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000005A5A6300737B84006B6B + 73006B6B730039424A005A6B7B004A5263004A638400294252001884C60029B5 + EF00007BD6000808080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000008080800007BD60029B5 + EF001884C600294252004A6384004A5263005A6B7B0039424A006B6B73006B6B + 7300737B84005A5A630000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000005A5A6300737B84006B6B + 73006B6B730039424A005A6B7B004A5263004A638400294252001884C60029B5 + EF00007BD6000808080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000018314A00007B + D60029B5EF0039527300395273004A5A8C0039527300636B84006B6B73005A63 + 730084848C007373840000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007373840084848C005A63 + 73006B6B7300636B8400395273004A5A8C00395273003952730029B5EF00007B + D60018314A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000018314A00007B + D60029B5EF0039527300395273004A5A8C0039527300636B84006B6B73005A63 + 730084848C00737384000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000007373840084848C005A63 + 73006B6B7300636B8400395273004A5A8C00395273003952730029B5EF00007B + D60018314A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000018314A00007B + D60029B5EF0039527300395273004A5A8C0039527300636B84006B6B73005A63 + 730084848C007373840000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007373840084848C005A63 + 73006B6B7300636B8400395273004A5A8C00395273003952730029B5EF00007B + D60018314A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000018314A00007B + D60029B5EF0039527300395273004A5A8C0039527300636B84006B6B73005A63 + 730084848C007373840000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007373840084848C005A63 + 73006B6B7300636B8400395273004A5A8C00395273003952730029B5EF00007B + D60018314A000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000052 + D600007BD6001884C60031426300314252004A52630042425200525263003939 + 4200737B8C007B7B8C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007B7B8C00737B8C003939 + 420052526300424252004A52630031425200314263001884C600007BD6000052 + D600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000052 + D600007BD6001884C60031426300314252004A52630042425200525263003939 + 4200737B8C007B7B8C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007B7B8C00737B8C003939 + 420052526300424252004A52630031425200314263001884C600007BD6000052 + D600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000052 + D600007BD6001884C60031426300314252004A52630042425200525263003939 + 4200737B8C007B7B8C000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000007B7B8C00737B8C003939 + 420052526300424252004A52630031425200314263001884C600007BD6000052 + D600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000052 + D600007BD6001884C60031426300314252004A52630042425200525263003939 + 4200737B8C007B7B8C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007B7B8C00737B8C003939 + 420052526300424252004A52630031425200314263001884C600007BD6000052 + D600000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000808 + 1000007BD600007BD60073848C007B8494006B738C004A525A00393942007B84 + 8C006B737B008C8C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C8C94006B737B007B84 + 8C00393942004A525A006B738C007B84940073848C00007BD600007BD6000808 + 1000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000808 + 1000007BD600007BD60073848C007B8494006B738C004A525A00393942007B84 + 8C006B737B008C8C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C8C94006B737B007B84 + 8C00393942004A525A006B738C007B84940073848C00007BD600007BD6000808 + 1000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000808 + 1000007BD600007BD60073848C007B8494006B738C004A525A00393942007B84 + 8C006B737B008C8C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C8C94006B737B007B84 + 8C00393942004A525A006B738C007B84940073848C00007BD600007BD6000808 + 1000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000808 + 1000007BD600007BD60073848C007B8494006B738C004A525A00393942007B84 + 8C006B737B008C8C94000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000008C8C94006B737B007B84 + 8C00393942004A525A006B738C007B84940073848C00007BD600007BD6000808 + 1000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000018314A00007BD6001884C60031314200424A5A006B6B7B00424A52004A52 + 63005A637300848C94000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD000000000000000000848C94005A6373004A52 + 6300424A52006B6B7B00424A5A00313142001884C600007BD60018314A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000018314A00007BD6001884C60031314200424A5A006B6B7B00424A52004A52 + 63005A637300848C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000848C94005A6373004A52 + 6300424A52006B6B7B00424A5A00313142001884C600007BD60018314A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000018314A00007BD6001884C60031314200424A5A006B6B7B00424A52004A52 + 63005A637300848C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000848C94005A6373004A52 + 6300424A52006B6B7B00424A5A00313142001884C600007BD60018314A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000018314A00007BD6001884C60031314200424A5A006B6B7B00424A52004A52 + 63005A637300848C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000848C94005A6373004A52 + 6300424A52006B6B7B00424A5A00313142001884C600007BD60018314A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000007BD60029B5EF0042526B006B7394008494A50031394200636B + 7B00636B6B008C949C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C949C00636B6B00636B + 7B00313942008494A5006B73940042526B0029B5EF00007BD600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000007BD60029B5EF0042526B006B7394008494A50031394200636B + 7B00636B6B008C949C000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000008C949C00636B6B00636B + 7B00313942008494A5006B73940042526B0029B5EF00007BD600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000007BD60029B5EF0042526B006B7394008494A50031394200636B + 7B00636B6B008C949C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C949C00636B6B00636B + 7B00313942008494A5006B73940042526B0029B5EF00007BD600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000007BD60029B5EF0042526B006B7394008494A50031394200636B + 7B00636B6B008C949C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000008C949C00636B6B00636B + 7B00313942008494A5006B73940042526B0029B5EF00007BD600000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001829420029B5EF001884C60039424A004A526B00525A63007373 + 84006B6B7B007B8C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007B8C94006B6B7B007373 + 8400525A63004A526B0039424A001884C60029B5EF0018294200000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001829420029B5EF001884C60039424A004A526B00525A63007373 + 84006B6B7B007B8C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007B8C94006B6B7B007373 + 8400525A63004A526B0039424A001884C60029B5EF0018294200000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001829420029B5EF001884C60039424A004A526B00525A63007373 + 84006B6B7B007B8C94000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD0000000000000000007B8C94006B6B7B007373 + 8400525A63004A526B0039424A001884C60029B5EF0018294200000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001829420029B5EF001884C60039424A004A526B00525A63007373 + 84006B6B7B007B8C940000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000007B8C94006B6B7B007373 + 8400525A63004A526B0039424A001884C60029B5EF0018294200000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000003952730029B5EF003142520039425A00394252004A5A + 73004A526B00ADADBD0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000ADADBD004A526B004A5A + 73003942520039425A003142520029B5EF003952730000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000003952730029B5EF003142520039425A00394252004A5A + 73004A526B00ADADBD0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000ADADBD004A526B004A5A + 73003942520039425A003142520029B5EF003952730000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000003952730029B5EF003142520039425A00394252004A5A + 73004A526B00ADADBD0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000ADADBD004A526B004A5A + 73003942520039425A003142520029B5EF003952730000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000003952730029B5EF003142520039425A00394252004A5A + 73004A526B00ADADBD000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD000000000000000000ADADBD004A526B004A5A + 73003942520039425A003142520029B5EF003952730000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000080808001884C60052BDE70029425200395273005A6B + 94004A527B00525A73000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD000000000000000000525A73004A527B005A6B + 9400395273002942520052BDE7001884C6000808080000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000080808001884C60052BDE70029425200395273005A6B + 94004A527B00525A73000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD000000000000000000525A73004A527B005A6B + 9400395273002942520052BDE7001884C6000808080000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000080808001884C60052BDE70029425200395273005A6B + 94004A527B00525A73000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD000000000000000000525A73004A527B005A6B + 9400395273002942520052BDE7001884C6000808080000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000080808001884C60052BDE70029425200395273005A6B + 94004A527B00525A73000000000000000000ADADAD00ADADAD00C6C6C600C6C6 + C600DED6DE00DED6DE00EFEFEF00EFEFEF00EFEFEF00DED6DE00DED6DE00C6C6 + C600C6C6C600ADADAD00ADADAD000000000000000000525A73004A527B005A6B + 9400395273002942520052BDE7001884C6000808080000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000018314A0029B5EF004A527300182942001829 + 420018314A0039426300848C9C00080808000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000008080800848C9C003942630018314A001829 + 4200182942004A52730029B5EF0018314A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000018314A0029B5EF004A527300182942001829 + 420018314A0039426300848C9C00080808000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000008080800848C9C003942630018314A001829 + 4200182942004A52730029B5EF0018314A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000018314A0029B5EF004A527300182942001829 + 420018314A0039426300848C9C00080808000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000008080800848C9C003942630018314A001829 + 4200182942004A52730029B5EF0018314A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000018314A0029B5EF004A527300182942001829 + 420018314A0039426300848C9C00080808000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000008080800848C9C003942630018314A001829 + 4200182942004A52730029B5EF0018314A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039425200313142005A63 + 630010181800525A630039393900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000039393900525A6300101818005A63 + 6300313142003942520000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039425200313142005A63 + 630010181800525A630039393900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000039393900525A6300101818005A63 + 6300313142003942520000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039425200313142005A63 + 630010181800525A630039393900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000039393900525A6300101818005A63 + 6300313142003942520000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000039425200313142005A63 + 630010181800525A630039393900000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000039393900525A6300101818005A63 + 6300313142003942520000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000080808009494A5008C94 + A5009C9CAD001818180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000181818009C9CAD008C94 + A5009494A5000808080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000080808009494A5008C94 + A5009C9CAD001818180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000181818009C9CAD008C94 + A5009494A5000808080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000080808009494A5008C94 + A5009C9CAD001818180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000181818009C9CAD008C94 + A5009494A5000808080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000080808009494A5008C94 + A5009C9CAD001818180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000181818009C9CAD008C94 + A5009494A5000808080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000393942003939 + 3900212121000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000212121003939 + 3900393942000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000393942003939 + 3900212121000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000212121003939 + 3900393942000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000393942003939 + 3900212121000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000212121003939 + 3900393942000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000393942003939 + 3900212121000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000212121003939 + 3900393942000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000000010000C00000000100010000000000001800000000000000000000 + 000000000000000000000000FFFFFF0000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00FFFFFFFFFFFFFE00FFFFFFF + FFFFFFE00FFFFFFFFFFFFFE00FFFFFFFFFFFFFE00FFFFFFFFFFFFFE00FFFFFFF + FFFFFFE00FFFFFFFFFFFFFE00FFFFFFFFFFFE000000FFFFFFFFFE000000FFFFF + FFFFE000000FFFFFFFFFE000000FFFFFFFFFC0000007FFFFFFFFC0000007FFFF + FFFFC0000007FFFFFFFFC0000007FFFFFFFFC0000007FFFFFFFFC0000007FFFF + FFFFC0000007FFFFFFFFC0000007FFFFFFFFE000000FFFFFFFFFE000000FFFFF + FFFFE000000FFFFFFFFFE000000FFFFFFFFFE000000FFFFFFFFFE000000FFFFF + FFFFE000000FFFFFFFFFE000000FFFFFFFFF34000059FFFFFFFF34000059FFFF + FFFF34000059FFFFFFFF34000059FFFFFFFC0FFFFFE07FFFFFFC0FFFFFE07FFF + FFFC0FFFFFE07FFFFFFC0FFFFFE07FFFFFFC070001C07FFFFFFC070001C07FFF + FFFC070001C07FFFFFFC070001C07FFFFFF007FFFFC01FFFFFF0070001C01FFF + FFF007FFFFC01FFFFFF007FFFFC01FFFFFC007FFFFC007FFFFC007FFFFC007FF + FFC0070001C007FFFFC007FFFFC007FFFF0007FFFFC001FFFF0007FFFFC001FF + FF0007FFFFC001FFFF00070001C001FFFE00070001C000FFFE0007FFFFC000FF + FE0007FFFFC000FFFE0007FFFFC000FFF8000FFFFFE0003FF8000F0001E0003F + F8000FFFFFE0003FF8000FFFFFE0003FF0000FFFFFE0001FF0000FFFFFE0001F + F0000F0001E0001FF0000FFFFFE0001FF0000FFFFFE0001FF0000FFFFFE0001F + F0000FFFFFE0001FF0000F0001E0001FF0000F0001E0001FF0000FFFFFE0001F + F0000FFFFFE0001FF0000FFFFFE0001FF0000FFFFFE0001FF0000F0001E0001F + F0000FFFFFE0001FF0000FFFFFE0001FF0000FFFFFE0001FF0000FFFFFE0001F + F0000F0001E0001FF0000FFFFFE0001FF0000FFFFFE0001FF0000FFFFFE0001F + F0000FFFFFE0001FF0000F0001E0001FF0000F0001E0001FF0000FFFFFE0001F + F0000FFFFFE0001FF0000FFFFFE0001FF8000FFFFFE0003FF8000F0001E0003F + F8000FFFFFE0003FF8000FFFFFE0003FF8000FFFFFE0003FF8000FFFFFE0003F + F8000F0001E0003FF8000FFFFFE0003FFC000FFFFFE0007FFC000FFFFFE0007F + FC000FFFFFE0007FFC000F0001E0007FFC000F0001E0007FFC000FFFFFE0007F + FC000FFFFFE0007FFC000FFFFFE0007FFE000FFFFFE000FFFE000F0001E000FF + FE000FFFFFE000FFFE000FFFFFE000FFFF0007FFFFC001FFFF0007FFFFC001FF + FF00070001C001FFFF0007FFFFC001FFFF8007FFFFC003FFFF8007FFFFC003FF + FF8007FFFFC003FFFF80070001C003FFFF80070001C003FFFF8007FFFFC003FF + FF8007FFFFC003FFFF8007FFFFC003FFFF8007FFFFC003FFFF80070001C003FF + FF8007FFFFC003FFFF8007FFFFC003FFFF8003FFFF8003FFFF8003FFFF8003FF + FF800300018003FFFF8003FFFF8003FFFF8003FFFF8003FFFF8003FFFF8003FF + FF8003FFFF8003FFFF800300018003FFFF800300018003FFFF8003FFFF8003FF + FF8003FFFF8003FFFF8003FFFF8003FFFFC003FFFF8007FFFFC00300018007FF + FFC003FFFF8007FFFFC003FFFF8007FFFFE003FFFF800FFFFFE003FFFF800FFF + FFE0030001800FFFFFE003FFFF800FFFFFE003FFFF800FFFFFE003FFFF800FFF + FFE003FFFF800FFFFFE0030001800FFFFFF0030001801FFFFFF003FFFF801FFF + FFF003FFFF801FFFFFF003FFFF801FFFFFF803FFFF803FFFFFF8030001803FFF + FFF803FFFF803FFFFFF803FFFF803FFFFFF803FFFF803FFFFFF803FFFF803FFF + FFF8030001803FFFFFF803FFFF803FFFFFFC03FFFF807FFFFFFC03FFFF807FFF + FFFC03FFFF807FFFFFFC030001807FFFFFFC030001807FFFFFFC030001807FFF + FFFC030001807FFFFFFC030001807FFFFFFE00FFFE00FFFFFFFE00FFFE00FFFF + FFFE00FFFE00FFFFFFFE00FFFE00FFFFFFFF81FFFF03FFFFFFFF81FFFF03FFFF + FFFF81FFFF03FFFFFFFF81FFFF03FFFFFFFF83FFFF83FFFFFFFF83FFFF83FFFF + FFFF83FFFF83FFFFFFFF83FFFF83FFFFFFFFC7FFFFC7FFFFFFFFC7FFFFC7FFFF + FFFFC7FFFFC7FFFFFFFFC7FFFFC7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 000000000000} + end + object SargeImg: TImageList + Height = 48 + Width = 32 + Left = 168 + Top = 64 + Bitmap = { + 494C010102000400040020003000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 0000000000003600000028000000800000003000000001002000000000000060 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000001029840010399C000000290000001800000810000000 + 1800000008000000080000000000000000000000000000083100000018000818 + 4A00000018000000080000001800000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000001800000008000000 + 180008184A000000180000083100000000000000000000000000000008000000 + 08000000180000081000000018000000290010399C0010298400000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000008083100001039000000290008101800000029000000 + 290008081000000042000808180000000000000000000818310008185A000008 + 4200000029000008310008000800000029000808100000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000080810000000290008000800000831000000 + 29000008420008185A0008183100000000000000000008081800000042000808 + 1000000029000000290008101800000029000010390008083100000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000842000010520008185A00182984000000 + 2900000029000000080000084200000000000000000000000000000042000010 + 520008185A00000018000000180000085A000008310008081800000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000080818000008310000085A0000001800000018000818 + 5A00001052000000420000000000000000000000000000084200000008000000 + 2900000029001829840008185A00001052000008420000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000008185A00001052000008 + 4200080831000000080000002900000000000000000000000000000000000000 + 0000001042001010520000002900000018000000180000002900000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000002900000018000000180000002900101052000010 + 4200000000000000000000000000000000000000000000002900000008000808 + 3100000842000010520008185A00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000008186B000000 + 2900000018000000080000000000000000000000000000000000000000000000 + 0000000000000000420000002900000029000800080000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000080008000000290000002900000042000000 + 0000000000000000000000000000000000000000000000000000000008000000 + 18000000290008186B0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000080810000000 + 0800000029000000290000000000000000000000000000000000000000000000 + 0000080008000000080000004200080810000000290000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000029000808100000004200000008000800 + 0800000000000000000000000000000000000000000000000000000029000000 + 2900000008000808100000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000029000000 + 080000085A000800080000000000000000000000000000000000080008000000 + 1800000029000000080000002900080008000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000800080000002900000008000000 + 2900000018000800080000000000000000000000000000000000080008000008 + 5A00000008000000290000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000010080800000008000000 + 2900081831000000290000000000000000000800080000000800000008000000 + 2900000008000000080000000800000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000800000008000000 + 0800000029000000080000000800080008000000000000000000000029000818 + 3100000029000000080010080800000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000010103100081018001829 + 4A00000008000000000000000000000000000839520000000800000008000800 + 0800000008000800080000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000080008000000 + 0800080008000000080000000800083952000000000000000000000000000000 + 080018294A000810180010103100000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424A73001010180008081000424A + 7300080810000000000000000000103142001031420008426300000008000000 + 2900001052000808100000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000080810000010 + 5200000029000000080008426300103142001031420000000000000000000808 + 1000424A73000808100010101800424A73000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000395273000000080029395A000000 + 08000808180000000000084A73000839520008314A0010182900181831001829 + 4A00212131000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000002121 + 310018294A00181831001018290008314A0008395200084A7300000000000808 + 18000000080029395A0000000800395273000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000008395A0010213100102121000808 + 31000808180000000000106BAD00101018000829390008293900101010003939 + 5200000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00003939520010101000082939000829390010101800106BAD00000000000808 + 180008083100102121001021310008395A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000085284000052840008395A000831 + 4A001029390000000000005A9C00102939001021210010182900084263000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000008426300101829001021210010293900005A9C00000000001029 + 390008314A0008395A0000528400085284000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000084A6B0010294A00005284001021 + 29000000000000000000085A9C000839520008314A0010314200102129000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000102129001031420008314A0008395200085A9C00000000000000 + 0000102129000052840010294A00084A6B000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000008395A0010293900004A7300004A + 7300004A7B0010212100004A730010314200004A7300085A9C0008395A000842 + 6300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000842630008395A00085A9C00004A730010314200004A730010212100004A + 7B00004A7300004A73001029390008395A000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000106BA50008293900003952000052 + 8C000042630008395A0008395A0008395A00084263000842630008395200084A + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000084A6B0008395200084263000842630008395A0008395A0008395A000042 + 630000528C000039520008293900106BA5000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000106BA500004A73000010 + 1000002139001018290008395200005284000863AD00084A6B0008395A000852 + 8400000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000852840008395A00084A6B000863AD000052840008395200101829000021 + 390000101000004A7300106BA500000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000101818001021 + 290008528400083952000842630008314A00084A7300086BA500084A7300084A + 6B00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000084A6B00084A7300086BA500084A730008314A0008426300083952000852 + 8400102129001018180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000102129000839 + 5A0008395A00004A7300085A9C0010314A00005A940008528400005A94001021 + 2900106BAD000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000106B + AD0010212900005A940008528400005A940010314A00085A9C00004A73000839 + 5A0008395A001021290000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000842 + 630008395200085A9C00005A9C00085A8C001021210008182900085A9C000842 + 6300102939000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001029 + 390008426300085A9C000818290010212100085A8C00005A9C00085A9C000839 + 5200084263000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000084A + 6B00005A9C002173AD00086BA500397BA500085A8C0000528400085284000042 + 6300085A8C000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000085A + 8C00004263000852840000528400085A8C00397BA500086BA5002173AD00005A + 9C00084A6B000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000085A9C00005A9C002173AD00106BBD001873B50008528400005A9C00084A + 6B00005AA500085A9C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000085A9C00005A + A500084A6B00005A9C00085284001873B500106BBD002173AD00005A9C00085A + 9C00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000106BBD00106BC600106BBD00297BC6001873B500005284002173 + AD00085A9C002173B50000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000002173B500085A + 9C002173AD00005284001873B500297BC600106BBD00106BC600106BBD000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000316BC6005A73AD0008395A00106BA500085A + 9C00005A94001873B50000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000001873B500005A + 9400085A9C00106BA50008395A005A73AD00316BC60000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000081000085A9C00085A9C0008395A00005A9C002173 + AD00083952003173A50000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000003173A5000839 + 52002173AD00005A9C0008395A00085A9C00085A9C0000081000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000001008080010181800086BA5000852840008395200084A + 6B00084A6B00084A730000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000084A7300084A + 6B00084A6B000839520008528400086BA5001018180010080800000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000010101000101018000810180010080800081018000008 + 1000292942001010210000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000101021002929 + 4200000810000810180010080800081018001010180010101000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000031395200212129001008080008081800101829001029 + 3900394252000818310000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000081831003942 + 5200102939001018290008081800100808002121290031395200000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000181831005A6B9C001010180010102100181831001818 + 290029396B0010182900314A7300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000314A7300101829002939 + 6B00181829001818310010102100101018005A6B9C0018183100000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000004A526300315A7B00395A8400636B8C0042425A001018 + 2900101018000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001010 + 18001018290042425A00636B8C00395A8400315A7B004A526300000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000063739C006373AD002118210018213100080810000000 + 0800000008000808180000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000080818000000 + 0800000008000808100018213100211821006373AD0063739C00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000063739C006373AD004239390008182900101010000800 + 0800000008002931390000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000293139000000 + 0800080008001010100008182900423939006373AD0063739C00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000424A73000839520063739C0063739C00525A7B0063738C00082939000818 + 29001018290042425A005A5A7B00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000005A5A7B0042425A001018 + 2900081829000829390063738C00525A7B0063739C0063739C0008395200424A + 7300000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000010294A00005A94005A6B9C0063739C00738CBD005A6B9C00085284000839 + 5200101021001818290000081000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000081000181829001010 + 210008395200085284005A6B9C00738CBD0063739C005A6B9C00005A94001029 + 4A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00002173AD00084263007B8CB5006373AD00849CD60094ADE70008314A000829 + 39001029390008395A0029294200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000002929420008395A001029 + 39000829390008314A0094ADE700849CD6006373AD007B8CB500084263002173 + AD00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000008395A00005AA500ADC6F7007384BD00395A8400083952001010 + 180010080800102121008C9CAD00084A73000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000084A73008C9CAD00102121001008 + 08001010180008395200395A84007384BD00ADC6F700005AA50008395A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000084A7B0010080800080008001008080008000800100808001010 + 100010212100004A730010080800000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000010080800004A73001021 + 2100101010001008080008000800100808000800080010080800084A7B000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000008395A0008395A00082939001021210008395A000052 + 8400106BA5002173AD0008395A00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000008395A002173AD00106B + A5000052840008395A00102121000829390008395A0008395A00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000528400085284000052840000528C00005A9C002173 + AD000863A500084A730000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000084A73000863 + A5002173AD00005A9C0000528C00005284000852840000528400000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000021213100106BA5000863A5003173A5004284BD00397B + A500427BAD00005A9C0000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000005A9C00427B + AD00397BA5004284BD003173A5000863A500106BA50021213100000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000008185A00081018004A5273005263840008395A0000528400084A73000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000084A73000052840008395A00526384004A527300081018000818 + 5A00000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000006B7BBD00424A630052639C0000000800084A7B00080818000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000008081800084A7B000000080052639C00424A63006B7BBD000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000029395A004A528C006B84B50000001800101021000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000010102100000018006B84B5004A528C0029395A00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000424A7B0052639C0018315A0010294A00182939000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001829390010294A0018315A0052639C00424A7B00000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000425A73000000080018295A0021394A0031425A000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000031425A0021394A0018295A0000000800425A7300000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000300000000100010000000000000300000000000000000000 + 000000000000000000000000FFFFFF00FC0381FFFF81C03F0000000000000000 + FC01807FFE01803F0000000000000000FE01C03FFC03807F0000000000000000 + FF81F03FFC0F81FF0000000000000000FFC3F87FFE1FC3FF0000000000000000 + FFC3F07FFE0FC3FF0000000000000000FFC3C0FFFF03C3FF0000000000000000 + FF8301FFFF80C1FF0000000000000000FF8703FFFFC0E1FF0000000000000000 + FF0603FFFFC060FF0000000000000000FF0407FFFFE020FF0000000000000000 + FF040FFFFFF020FF0000000000000000FF041FFFFFF820FF0000000000000000 + FF0C1FFFFFF830FF0000000000000000FF000FFFFFF000FF0000000000000000 + FF000FFFFFF000FF0000000000000000FF800FFFFFF001FF0000000000000000 + FFC00FFFFFF003FF0000000000000000FFC007FFFFE003FF0000000000000000 + FFE007FFFFE007FF0000000000000000FFE007FFFFE007FF0000000000000000 + FFF003FFFFC00FFF0000000000000000FFF803FFFFC01FFF0000000000000000 + FFFE03FFFFC07FFF0000000000000000FFFC03FFFFC03FFF0000000000000000 + FFFC03FFFFC03FFF0000000000000000FFFC03FFFFC03FFF0000000000000000 + FFFC03FFFFC03FFF0000000000000000FFFC01FFFF803FFF0000000000000000 + FFFC07FFFFE03FFF0000000000000000FFFC03FFFFC03FFF0000000000000000 + FFFC03FFFFC03FFF0000000000000000FFF001FFFF800FFF0000000000000000 + FFF001FFFF800FFF0000000000000000FFF001FFFF800FFF0000000000000000 + FFF800FFFF001FFF0000000000000000FFF801FFFF801FFF0000000000000000 + FFFC01FFFF803FFF0000000000000000FFFC03FFFFC03FFF0000000000000000 + FFFC03FFFFC03FFF0000000000000000FFF01FFFFFF80FFF0000000000000000 + FFF81FFFFFF81FFF0000000000000000FFFC1FFFFFF83FFF0000000000000000 + FFFC1FFFFFF83FFF0000000000000000FFFC1FFFFFF83FFF0000000000000000 + FFFFFFFFFFFFFFFF0000000000000000FFFFFFFFFFFFFFFF0000000000000000 + FFFFFFFFFFFFFFFF000000000000000000000000000000000000000000000000 + 000000000000} + end + object JumppadImg: TImageList + Width = 32 + Left = 168 + Top = 96 + Bitmap = { + 494C010110001300040020001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 00000000000036000000280000008000000050000000010020000000000000A0 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C00948C9400847B84008C84 + 8C00948C8C009C949C00ADA5AD00ADA5A5009C949C00948C94009C949400A59C + 9C00ADA5A500ADA5AD00A59C9C00A59C9C00A59C9C00948C94009C9494009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C00949494008C8484008C84 + 8C00948C8C009C949C00ADA5AD00ADA5A5009C949C00948C94009C949400A5A5 + A500ADA5A500ADA5AD00A5A5A500A59C9C00A59C9C009C949400948C94009C94 + 9400A59C9C00A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C0094949400847B84008C84 + 8C00948C8C009C949C00ADA5AD00ADA5A500A59C9C00948C9400948C9400ADA5 + A500ADA5A500ADA5AD00A59C9C00A59C9C00ADA5A500948C94009C9494009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A500A59C9C009C949C00A59C9C00ADA5A500A59C9C00949494008C8484008C84 + 8400948C8C00A59C9C00ADA5A500ADA5A500A59C9C00948C8C009C949400A5A5 + A500ADA5A500ADA5AD00ADA5A500A59C9C00ADA5A500948C94009C9494009C94 + 9400A5A5A500A59C9C00ADA5A5007373730000000000847B84007B7373007B73 + 73007B737300847B7B008C848400847B84008C8484007B737300847B8400847B + 7B00847B8400948C9400948C8C00949494008C8484008C8484008C848C00948C + 8C00948C9400847B8400847B8400736B6B00736B7300736B73007B7373007B73 + 7B007B737B007B737B007B737B000000000000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737B007B7B7B00847B + 7B00847B8400948C8C00948C8C00949494008C848C008C8484008C848400948C + 8C00948C9400847B8400847B8400736B6B00736B6B00736B73007B737300847B + 7B007B737B007B7B7B007B737B000000000000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737B00847B7B007B7B + 7B00847B8400948C9400948C8C00949494008C8484008C848C008C8484008C84 + 8C00948C9400847B8400847B8400736B6B00736B6B00737373007B7373007B73 + 7B007B737B007B737B007B737B000000000000000000847B8400737373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737B00847B7B00847B + 7B00847B8400948C9400948C8C00949494008C8484008C848C008C8484008C84 + 8C009C949400847B8400847B8400736B6B00736B6B007B73730073737300847B + 7B007B7373007B737B007B737B00000000000000000000000000736B73006B63 + 6B006B636B00736B73007B7B8400737373007B7B7B00736B73007B7B7B007373 + 73007B7B7B007B737B0073737300737373006B6B6B007B737B00736B73007373 + 7300737373007B73730073737300737373007B737B00736B73007B7B7B006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B6B6B00736B7300847B8400737373007B737B00736B7300847B84007373 + 73007B737B007B737B00737373007B7373006B6B6B007B737B00736B73007373 + 7300737373007B73730073737300737373007B737B00736B73007B7B84006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B636B00736B7300847B8400737373007B737B00736B73007B7B84007B73 + 73007B737B007B737B0073737300737373006B6B6B007B737B00736B73007B73 + 7B0073737300737373007B737300737373007B737B00736B73007B7B7B006B6B + 6B007B7373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B636B00736B7300847B8400737373007B737B00736B73007B7B7B007B73 + 7B007B737B007373730073737300737373006B6B6B007B737B00736B73007B73 + 7B00737373007373730073737300737373007B737B006B6B6B007B7B7B006B6B + 6B00737373007B737B0000000000000000000000000000000000000000007B7B + 7B00DEDEDE00FFFFFF00DEDEDE00A5A5A5004284FF004284FF004284FF004284 + FF004A84FF004A84FF004A84FF004A84FF004A84FF004A84FF004284FF004284 + FF004284FF004284FF004284FF004284FF00A5A5A500DEDEDE00FFFFFF00DEDE + DE00736B73000000000000000000000000000000000000000000000000007B7B + 8400FFFFFF00DEDEDE00A5A5A5004284FF004284FF004284FF004284FF004284 + FF004A84FF004A84FF004A84FF004A84FF004A84FF004A84FF004284FF004284 + FF004284FF004284FF004284FF004284FF004A84FF00A5A5A500DEDEDE00FFFF + FF00736B73000000000000000000000000000000000000000000000000007B7B + 7B00DEDEDE00A5A5A500528CFF004284FF004284FF004284FF004284FF004284 + FF004284FF004A84FF004A84FF004A84FF004A84FF004A84FF004284FF004284 + FF004284FF004284FF004284FF004284FF004A84FF00528CFF00A5A5A500DEDE + DE00736B73000000000000000000000000000000000000000000000000007B7B + 8400A5A5A5004A84FF00528CFF004284FF004284FF004284FF004284FF004284 + FF004A84FF004A84FF004A84FF004A84FF004A84FF004A84FF004284FF004284 + FF004284FF004284FF004284FF004284FF004A84FF00528CFF004A84FF00A5A5 + A500736B73000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C00949494008C8484008C84 + 8400948C8C009C949C00ADA5AD00ADA5A500A59C9C00948C94009C949400A59C + 9C00ADA5AD00ADA5AD00ADA5A500A59C9C00A59C9C009C949400948C94009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C00949494008C8484008C84 + 8C008C8C8C00A59C9C00ADA5AD00ADA5A5009C949C00948C94009C949400A59C + 9C00ADA5AD00ADA5AD00ADA5A500A59C9C00A59C9C00948C94009C9494009C94 + 9400A59C9C00A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C00949494008C8484008C84 + 8C00948C8C009C949C00ADA5AD00ADA5A500A59C9C00948C94009C949400A59C + 9C00ADA5A500ADA5AD00A59C9C00A59C9C00ADA5A500948C94009C9494009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C00949494008C8484008C84 + 8C00948C8C009C949C00ADA5AD00ADA5A5009C949C00948C94009C949400A5A5 + A500ADA5A500ADA5AD00A5A5A500A59C9C00A59C9C00948C94009C9494009C94 + 9400ADA5A500A59C9C00ADA5A5007B73730000000000847B8400737373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737300847B7B007B7B + 7B00847B8400948C9400948C8C00949494008C8484008C8484008C848C00948C + 8C00948C8C00847B8400847B8400736B6B00736B6B00736B73007B7373007B73 + 7B007B737B007B737B007B737B000000000000000000847B84007B7373007373 + 73007B737300847B7B00847B8400847B84008C8484007B737300847B7B007B7B + 7B00847B8400948C9400948C8C00948C94008C8484008C8484008C848C008C84 + 8C00948C8C00847B8400847B8400736B6B00736B7300737373007B7373007B73 + 7B007B737B00847B7B007B737B000000000000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737B00847B7B007B7B + 7B00847B8400948C9400948C8C00949494008C8484008C8484008C848C008C8C + 8C00948C9400847B8400847B8400736B6B00736B6B00736B73007B7373007B73 + 7B007B737B007B737B007B737B000000000000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737B00847B7B007B7B + 7B00847B8400948C8C00948C8C00948C94008C848C008C8484008C848400948C + 8C00948C9400847B8400847B8400736B6B00736B6B00737373007B7373007B73 + 7B007B737B007B737B007B737B00000000000000000000000000736B73006B63 + 6B006B636B00736B73007B7B8400737373007B737B00736B73007B7B84007B73 + 7B007B737B007B737B0073737300737373006B6B6B007B737B00736B73007B73 + 7B00737373007B73730073737300737373007B737B00736B73007B7B7B006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B636B00736B7300847B8400737373007B737B00736B73007B7B84007B73 + 73007B737B007B737B007373730073737300736B6B007B737B00736B73007B73 + 7B00737373007373730073737300737373007B737B00736B73007B7B7B006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B636B00736B7300847B8400737373007B737B00736B6B007B7B84007B73 + 73007B737B007B737B0073737300737373006B6B6B007B737B00736B73007B73 + 7B00737373007B73730073737300737373007B737B00736B73007B7B7B006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B636B00736B73007B7B8400737373007B737B00736B73007B7B7B007B73 + 7B007B737B007B737B007373730073737300736B6B007B737B00736B73007373 + 7300737373007B73730073737300737373007B737B00736B73007B7B7B006B6B + 6B00737373007B737B0000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF00A5A5A500DEDEDE00FFFFFF00DEDEDE00A5A5 + A5004A84FF004A84FF004A84FF004A84FF004A84FF004A84FF004284FF004284 + FF00A5A5A500DEDEDE00FFFFFF00DEDEDE00A5A5A500528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00A59C9C00DEDEDE00FFFFFF00DEDEDE00A5A5A5004284 + FF004A84FF004284FF004A84FF004A84FF004284FF004A84FF004284FF004284 + FF004284FF00A5A5A500DEDEDE00FFFFFF00DEDEDE00A5A5A5004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 84004284FF00A5A5A500DEDEDE00FFFFFF00DEDEDE00A5A5A5004284FF004284 + FF004A84FF004A84FF004A84FF004A84FF004A84FF004A84FF004284FF004284 + FF004284FF004284FF00A5A5A500DEDEDE00FFFFFF00DEDEDE00A5A5A5004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 7B00A5A5A500DEDEDE00FFFFFF00DEDEDE00A5A5A5004284FF004284FF004284 + FF004284FF004A84FF004A84FF004A84FF004284FF004A84FF004284FF004284 + FF004284FF004284FF004284FF00A5A5A500DEDEDE00FFFFFF00DEDEDE00A5A5 + A500736B73000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A500A59C9C00948C94008C8484008C84 + 8400948C8C009C949C00ADA5A500ADA5A5009C949C00948C9400948C8C00ADA5 + A500ADA5A500ADA5AD00ADA5A500A59C9C00A59C9C00948C94009C9494009C94 + 9400A59C9C00A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C00949494008C8484008C84 + 8400948C8C009C949C00ADA5A500ADA5A500A59C9C00948C9400948C9400ADA5 + A500ADA5A500ADA5AD00ADA5A500A59C9C00A59C9C009C949400948C94009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A500A59C9C00948C8C008C8484008C84 + 8400948C8C009C949C00ADA5AD00ADA5A5009C949C00948C9400948C9400ADA5 + A500ADA5A500ADA5AD00ADA5A500A59C9C00A59C9C009C949400948C94009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00ADA5A500ADA5A5009C949C009C9494008C8484008C84 + 8400948C8C009C949C00ADA5AD00ADA5A500A59C9C00948C9400948C9400ADA5 + A500ADA5A500ADA5AD00ADA5A500A59C9C00A59C9C009C949400948C94009C94 + 9400ADA5A500A59C9C00ADA5A5007B73730000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B8400847B84007B737B00847B7B007B7B + 7B00847B8400948C94008C8C8C009C9494008C8484008C8484008C848C008C8C + 8C00948C9400847B8400847B8400736B6B00736B7300737373007B7373007B73 + 7B007B737B00847B7B007B737B000000000000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B8400847B8400847B7B007B7B7B00847B + 7B00847B8400948C9400948C8C00949494008C8484008C8484008C8484008C84 + 8C00948C9400847B8400847B8400736B6B00736B6B00737373007B7373007B73 + 7B007B737B007B7B7B007B737B000000000000000000847B84007B7373007B73 + 73007B7373007B737B008C848400847B8400847B84007B737B00847B7B007B7B + 7B00847B8400948C8C00948C8C00948C94008C8484008C848C008C8484008C84 + 8C00948C8C00847B8400847B8400736B6B00736B6B00737373007B7373007B73 + 7B007B737B007B7B7B007B737B000000000000000000847B84007B7373007B73 + 73007B737300847B7B00847B8400847B84008C8484007B737300847B7B007B7B + 7B00847B8400948C9400948C8C00948C94008C8484008C8484008C848C008C84 + 8C00948C8C00847B8400847B8400736B6B00736B6B00736B73007B7373007B73 + 7B007B737B007B7B7B007B737B00000000000000000000000000736B73006B63 + 6B006B6B6B00736B7300847B8400737373007B7B7B00736B73007B7B84007B73 + 7B007B737B007B737B0073737300737373006B6B6B007B737B00736B73007B73 + 7B00737373007B73730073737300737373007B737B00736B73007B737B006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B6B6B00736B7300847B8400737373007B737B00736B73007B7B7B007B73 + 7B007B7373007B737B0073737300737373006B6B6B007B737B00736B73007B73 + 7B00737373007373730073737300737373007B737B00736B73007B7B84006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B636B0073737300847B8400737373007B737B00736B73007B7B84007B73 + 7B007B737B007B737B007373730073737300736B6B007B737B00736B73007B73 + 7B00737373007B73730073737300737373007B737B00736B73007B7B84006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B636B00736B73007B7B7B00737373007B737B00736B73007B7B84007B73 + 73007B737B007B737B0073737300737373006B6B6B007B737B00736B73007B73 + 7B00737373007373730073737300737373007B737B00736B73007B7B7B006B6B + 6B00737373007B737B0000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF004284FF004284FF004284FF004284FF00A59C + 9C00DEDEDE00FFFFFF00DEDEDE00A5A5A500A5A5A500DEDEDE00FFFFFF00DEDE + DE00A5A5A5004284FF004284FF004284FF004A84FF00528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF004284FF004284FF004284FF00A5A5A500DEDE + DE00FFFFFF00DEDEDE00A5A5A5004A84FF004A84FF00A5A5A500DEDEDE00FFFF + FF00DEDEDE00A5A5A5004284FF004284FF004A84FF00528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF004A84FF004284FF00A5A5A500DEDEDE00FFFF + FF00DEDEDE00A5A5A5004A84FF004A84FF004284FF004A84FF00A5A5A500DEDE + DE00FFFFFF00DEDEDE00A5A5A5004284FF004A84FF00528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF004A84FF00A5A5A500DEDEDE00FFFFFF00DEDE + DE00A5A5A5004A84FF004A84FF004A84FF004A84FF004A84FF004A84FF00A5A5 + A500DEDEDE00FFFFFF00DEDEDE00A5A5A5004A84FF00528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C0094949400847B84008C84 + 8C008C8C8C009C949C00ADA5A500ADA5A5009C949C00948C8C00948C9400A59C + 9C00ADA5A500ADA5AD00A59C9C00A59C9C00A59C9C009C949400948C94009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C0094949400847B84008C84 + 8C008C8C8C009C949C00ADA5A500ADA5A500A59C9C00948C9400948C9400A5A5 + A500ADA5A500ADA5AD00A5A5A500A59C9C00A59C9C009C949400948C94009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A5009C949C0094949400847B84008C84 + 8C00948C8C009C949C00ADA5A500ADA5A5009C949C00948C8C009C949400A59C + 9C00ADA5A500ADA5AD00A59C9C00A59C9C00A59C9C009C949400948C94009C94 + 9400ADA5A500A59C9C00ADA5A5007B7373006B636B00A59C9C00ADA5A500ADA5 + A5009C949C009C949C00A59C9C00ADA5A500A59C9C00948C94008C8484008C8C + 8C00948C8C009C949C00ADA5A500ADA5A500A59C9C00948C8C00948C9400ADA5 + A500ADA5A500ADA5AD00A5A5A500A59C9C00A59C9C009C949400948C94009C94 + 9400ADA5A500A59C9C00ADA5A5007B73730000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737B00847B7B00847B + 7B00847B8400948C9400948C8C00949494008C8484008C848C008C848C00948C + 8C00948C9400847B8400847B8400736B6B00736B6B00737373007B7373007B73 + 7B007B737B007B7B7B007B737B000000000000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737B00847B7B00847B + 7B00847B8400948C9400948C8C00949494008C8484008C848C008C8484008C84 + 8C00948C9400847B8400847B8400736B6B00736B6B00737373007B7373007B73 + 7B007B737B007B7B7B007B737B000000000000000000847B84007B7373007B73 + 73007B7373007B7B7B008C848400847B84008C8484007B737B00847B7B00847B + 7B00847B8400948C9400948C8C00948C94008C8484008C848C008C848400948C + 8C00948C9400847B8400847B8400736B6B00736B6B00736B73007B7373007B73 + 7B007B737B007B7B7B007B737B000000000000000000847B8400737373007B73 + 73007B737300847B7B008C848400847B8400847B84007B737B00847B7B007B7B + 7B00847B8400948C9400948C8C00948C94008C8484008C848C008C8484008C84 + 8C00948C8C00847B8400847B8400736B6B00736B7300736B73007B7373007B73 + 7B007B737B007B7B7B007B737B00000000000000000000000000736B73006B63 + 6B006B6B6B00736B7300847B8400737373007B737B00736B73007B7B84007B73 + 73007B737B007B737B007373730073737300736B6B007B737B00736B73007B73 + 7B0073737300737373007B737300737373007B737B00736B73007B7B84006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B6B6B00736B7300847B8400737373007B737B00736B73007B7B84007B73 + 73007B737B007B737B0073737300737373006B6B6B007B737B00736B73007B73 + 7B00737373007373730073737300737373007B737B00736B73007B7B84006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B636B00736B7300847B8400737373007B737B00736B73007B7B7B007B73 + 7B007B7373007B737B007373730073737300736B6B007B737B006B6B6B007B73 + 7B00737373007B73730073737300737373007B737B00736B73007B7B84006B6B + 6B00737373007B737B0000000000000000000000000000000000736B73006B63 + 6B006B6B6B00736B73007B7B84007B7373007B737B00736B73007B7B7B007B73 + 7B007B7373007B737B0073737300737373006B6B6B007B737B00736B73007B73 + 7B00737373007373730073737300737373007B737B00736B73007B7B7B006B6B + 6B00737373007B737B0000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF004284FF004284FF004284FF004284FF004284 + FF004284FF004284FF004284FF004284FF004284FF004284FF004284FF004284 + FF004284FF004284FF004284FF004284FF004A84FF00528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF004284FF004284FF004284FF004284FF004284 + FF004284FF004284FF00A5A5A500DEDEDE00DEDEDE00A5A5A5004284FF004284 + FF004284FF004284FF004284FF004284FF004A84FF00528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF004284FF004284FF004284FF004284FF004284 + FF004284FF00A5A5A500DEDEDE00FFFFFF00FFFFFF00DEDEDE00A5A5A5004284 + FF004284FF004284FF004284FF004284FF004A84FF00528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000007B7B + 84004284FF004A84FF00528CFF004284FF004284FF004284FF004284FF004284 + FF00A5A5A500DEDEDE00FFFFFF00DEDEDE00DEDEDE00FFFFFF00DEDEDE00A5A5 + A5004284FF004284FF004284FF004284FF004A84FF00528CFF004A84FF004284 + FF00736B73000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000500000000100010000000000000500000000000000000000 + 000000000000000000000000FFFFFF0000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 80000001800000018000000180000001C0000003C0000003C0000003C0000003 + E0000007E0000007E0000007E0000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 80000001800000018000000180000001C0000003C0000003C0000003C0000003 + E0000007E0000007E0000007E0000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 80000001800000018000000180000001C0000003C0000003C0000003C0000003 + E0000007E0000007E0000007E0000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 80000001800000018000000180000001C0000003C0000003C0000003C0000003 + E0000007E0000007E0000007E0000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF + FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 + 000000000000} + end + object CustomImg: TImageList + Width = 32 + Left = 32 + Top = 136 + end + object PopupMenu1: TPopupMenu + Left = 72 + Top = 72 + object Add1: TMenuItem + Caption = '&Add' + object riangle1: TMenuItem + Tag = 15 + Caption = 'Triangle' + OnClick = Add1Click + end + object Elevator1: TMenuItem + Tag = 14 + Caption = 'Elevator' + OnClick = Add1Click + end + object N3: TMenuItem + Caption = '-' + end + object Respawn1: TMenuItem + Tag = 1 + Caption = 'Respawn' + OnClick = Add1Click + end + object Button1: TMenuItem + Tag = 9 + Caption = 'Button' + OnClick = Add1Click + end + object rigger1: TMenuItem + Tag = 11 + Caption = 'Trigger' + OnClick = Add1Click + end + object NFKDoor1: TMenuItem + Tag = 10 + Caption = 'NFK Door' + OnClick = Add1Click + end + object eleport1: TMenuItem + Tag = 8 + Caption = 'Teleport' + OnClick = Add1Click + end + object N1: TMenuItem + Caption = '-' + end + object Armor1: TMenuItem + Tag = 2 + Caption = 'Armor' + object Shard1: TMenuItem + Tag = 100 + Caption = 'Shard +5' + OnClick = Add1Click + end + object Armor501: TMenuItem + Tag = 101 + Caption = 'Yellow +50' + OnClick = Add1Click + end + object Armor1001: TMenuItem + Tag = 102 + Caption = 'Red +100' + OnClick = Add1Click + end + end + object Health1: TMenuItem + Tag = 3 + Caption = 'Health' + object H51: TMenuItem + Tag = 200 + Caption = '+5' + OnClick = Add1Click + end + object N101: TMenuItem + Tag = 201 + Caption = '+25' + OnClick = Add1Click + end + object N501: TMenuItem + Tag = 202 + Caption = '+50' + OnClick = Add1Click + end + object megahealth1: TMenuItem + Tag = 203 + Caption = 'mega +100' + OnClick = Add1Click + end + end + object WeaponObj1: TMenuItem + Tag = 6 + Caption = 'Weapon' + object Shotgun1: TMenuItem + Tag = 302 + Caption = 'Shotgun' + OnClick = Add1Click + end + object Grenade1: TMenuItem + Tag = 303 + Caption = 'Grenade' + OnClick = Add1Click + end + object Roocket1: TMenuItem + Tag = 304 + Caption = 'Rocket' + OnClick = Add1Click + end + object Shaft1: TMenuItem + Tag = 305 + Caption = 'Shaft' + OnClick = Add1Click + end + object Railgun1: TMenuItem + Tag = 306 + Caption = 'Railgun' + OnClick = Add1Click + end + object Plazmagun1: TMenuItem + Tag = 307 + Caption = 'Plazma' + OnClick = Add1Click + end + object BFG1: TMenuItem + Tag = 308 + Caption = 'BFG' + OnClick = Add1Click + end + end + object Ammo1: TMenuItem + Tag = 7 + Caption = 'Ammo' + object Machinegun1: TMenuItem + Tag = 401 + Caption = 'Machinegun' + OnClick = Add1Click + end + object Shotgun2: TMenuItem + Tag = 402 + Caption = 'Shotgun' + OnClick = Add1Click + end + object Grenade2: TMenuItem + Tag = 403 + Caption = 'Grenade' + OnClick = Add1Click + end + object Rocket1: TMenuItem + Tag = 404 + Caption = 'Rocket' + OnClick = Add1Click + end + object Shaft2: TMenuItem + Tag = 405 + Caption = 'Shaft' + OnClick = Add1Click + end + object Railgun2: TMenuItem + Tag = 406 + Caption = 'Railgun' + OnClick = Add1Click + end + object Plazma1: TMenuItem + Tag = 407 + Caption = 'Plazma' + OnClick = Add1Click + end + object BFG2: TMenuItem + Tag = 408 + Caption = 'BFG' + OnClick = Add1Click + end + end + object PowerUp1: TMenuItem + Tag = 5 + Caption = 'PowerUp' + object Regeneration1: TMenuItem + Tag = 600 + Caption = 'Regeneration' + OnClick = Add1Click + end + object Battlesuit1: TMenuItem + Tag = 601 + Caption = 'Battlesuit' + OnClick = Add1Click + end + object Haste1: TMenuItem + Tag = 602 + Caption = 'Haste' + OnClick = Add1Click + end + object Quad1: TMenuItem + Tag = 603 + Caption = 'Quaddamage' + OnClick = Add1Click + end + object Flight1: TMenuItem + Tag = 604 + Caption = 'Flight' + OnClick = Add1Click + end + object Invisibility1: TMenuItem + Tag = 605 + Caption = 'Invisibility' + OnClick = Add1Click + end + end + object Jumppad1: TMenuItem + Tag = 2 + Caption = 'Jumppad' + object Small1: TMenuItem + Tag = 500 + Caption = 'Small' + OnClick = Add1Click + end + object Large1: TMenuItem + Tag = 501 + Caption = 'Large' + OnClick = Add1Click + end + end + object Areapush1: TMenuItem + Tag = 16 + Caption = 'Area push' + OnClick = Add1Click + end + object N6: TMenuItem + Caption = '-' + end + object areapain1: TMenuItem + Tag = 17 + Caption = 'Area pain' + OnClick = Add1Click + end + object ArenaEnd1: TMenuItem + Tag = 19 + Caption = 'Arena end' + OnClick = Add1Click + end + object AreaTeleport1: TMenuItem + Tag = 20 + Caption = 'Area teleport' + OnClick = Add1Click + end + object eleportway1: TMenuItem + Tag = 21 + Caption = 'Teleport way' + OnClick = Add1Click + end + object DeathLine1: TMenuItem + Tag = 12 + Caption = 'DeathLine' + OnClick = Add1Click + end + object LightLine1: TMenuItem + Tag = 24 + Caption = 'LightLine' + OnClick = Add1Click + end + object Water1: TMenuItem + Tag = 13 + Caption = 'Water' + OnClick = Add1Click + end + object N4: TMenuItem + Caption = '-' + end + object LightLine2: TMenuItem + Tag = 25 + Caption = 'Blood Generator' + OnClick = Add1Click + end + object BloodGenerator1: TMenuItem + Tag = 26 + Caption = 'Wheather' + OnClick = Add1Click + end + end + object AddLight1: TMenuItem + Caption = 'Add &Light' + OnClick = AddLight1Click + end + object Delete2: TMenuItem + Action = EditDelete1 + end + object N5: TMenuItem + Caption = '-' + end + object Delete1: TMenuItem + Action = EditCopy1 + end + object Cut1: TMenuItem + Action = EditCut1 + end + object Paste1: TMenuItem + Action = EditPaste1 + end + object N7: TMenuItem + Caption = '-' + end + object WayPoint1: TMenuItem + Action = wpact_Move + end + object WayPoint2: TMenuItem + Tag = 1 + Action = wpact_Crouch + end + object WayPoint3: TMenuItem + Tag = 2 + Action = wpact_Stay + end + object RemoveLinks: TMenuItem + Action = wpact_RemoveLink + end + end + object ActionImages: TImageList + Left = 240 + Top = 32 + end + object LoadPalDlg: TOpenPictureDialog + DefaultExt = 'bmp' + Filter = 'Bitmaps (*.bmp)|*.bmp' + Left = 672 + Top = 472 + end + object SavePalDlg: TSavePictureDialog + DefaultExt = 'bmp' + Filter = 'Bitmaps (*.bmp)|*.bmp' + Left = 672 + Top = 504 + end + object ColorDlg: TColorDialog + Left = 272 + Top = 32 + end + object WPImages: TImageList + Width = 32 + Left = 240 + Top = 96 + Bitmap = { + 494C010104000900040020001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 0000000000003600000028000000800000003000000001002000000000000060 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000303 + 03001F1F1F0070707000818181007F7F7F007878780072727200666666001B1B + 1B00030303000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000303 + 03001F1F1F0070707000818181007F7F7F007878780072727200666666001B1B + 1B00030303000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000303 + 03001F1F1F0070707000818181007F7F7F007878780072727200666666001B1B + 1B00030303000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000303 + 03001F1F1F0070707000818181007F7F7F007878780072727200666666001B1B + 1B00030303000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000030303000F0F0F002020 + 20006B6B6B00858585008C8C8C0087878700848484007C7C7C00727272005454 + 54001D1D1D000E0E0E0002020200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000030303000F0F0F002020 + 20006B6B6B00858585008C8C8C0087878700848484007C7C7C00727272005454 + 54001D1D1D000E0E0E0002020200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000030303000F0F0F002020 + 20006B6B6B00858585008C8C8C0087878700848484007C7C7C00727272005454 + 54001D1D1D000E0E0E0002020200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000030303000F0F0F002020 + 20006B6B6B00858585008C8C8C0087878700848484007C7C7C00727272005454 + 54001D1D1D000E0E0E0002020200000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000F0F0F00606060007F7F + 7F00AEAEAE00B7B7B700B8B8B800B7B7B700B6B6B600B3B3B300A3A3A3007D7D + 7D0065656500535353000E0E0E00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000F0F0F00606060007F7F + 7F00AEAEAE00B7B7B700B8B8B800B7B7B700B6B6B600B3B3B300A3A3A3007D7D + 7D0065656500535353000E0E0E00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000F0F0F00606060007F7F + 7F00AEAEAE00B7B7B700B8B8B800B7B7B700B6B6B600B3B3B300A3A3A3007D7D + 7D0065656500535353000E0E0E00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000F0F0F00606060007F7F + 7F00AEAEAE00B7B7B700B8B8B800B7B7B700B6B6B600B3B3B300A3A3A3007D7D + 7D0065656500535353000E0E0E00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000003030300202020007F7F7F005400 + 000054000000C0C0C000C0C0C0005400000054000000C0C0C000BDBDBD005400 + 000054000000686868001C1C1C00020202000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000003030300202020007F7F7F005400 + 000054000000C0C0C000C0C0C0005400000054000000C0C0C000BDBDBD005400 + 000054000000686868001C1C1C00020202000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000003030300202020007F7F7F00B3B3 + B300BFBFBF00C0C0C00054000000540000005400000054000000BDBDBD00AFAF + AF0085858500686868001C1C1C00020202000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000003030300202020007F7F7F00B3B3 + B300BFBFBF005400000054000000540000005400000054000000BDBDBD00AFAF + AF0085858500686868001C1C1C00020202000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000030303001E1E1E0072727200AFAFAF005400 + 000054000000C0C0C000C0C0C0005400000054000000C0C0C000C0C0C0005400 + 000054000000828282005B5B5B001B1B1B000303030000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000030303001E1E1E0072727200AFAFAF005400 + 000054000000C0C0C000C0C0C0005400000054000000C0C0C000C0C0C0005400 + 000054000000828282005B5B5B001B1B1B000303030000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000030303001E1E1E0072727200AFAFAF00BFBF + BF00C0C0C0005400000054000000C0C0C000C0C0C0005400000054000000BFBF + BF00AFAFAF00828282005B5B5B001B1B1B000303030000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000030303001E1E1E0072727200AFAFAF00BFBF + BF005400000054000000C0C0C000C0C0C000C0C0C0005400000054000000BFBF + BF00AFAFAF00828282005B5B5B001B1B1B000303030000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000F0F0F0069696900AEAEAE00BFBFBF005400 + 000054000000C0C0C00054000000540000005400000054000000C0C0C0005400 + 0000540000009B9B9B00717171005E5E5E000F0F0F0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000F0F0F0069696900AEAEAE00BFBFBF005400 + 000054000000C0C0C00054000000540000005400000054000000C0C0C0005400 + 0000540000009B9B9B00717171005E5E5E000F0F0F0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000F0F0F0069696900AEAEAE00BFBFBF00C0C0 + C0005400000054000000C0C0C000C0C0C000C0C0C000C0C0C00054000000C0C0 + C000BBBBBB009B9B9B00717171005E5E5E000F0F0F0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000F0F0F0069696900AEAEAE00BFBFBF00C0C0 + C0005400000054000000C0C0C000C0C0C000C0C0C0005400000054000000C0C0 + C000BBBBBB009B9B9B00717171005E5E5E000F0F0F0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000001212120078787800BBBBBB00C2C2C2005400 + 000054000000C0C0C00054000000540000005400000054000000C0C0C0005400 + 000054000000B1B1B1007D7D7D006A6A6A001212120000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000001212120078787800BBBBBB00C2C2C2005400 + 000054000000C0C0C00054000000540000005400000054000000C0C0C0005400 + 000054000000B1B1B1007D7D7D006A6A6A001212120000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000001212120078787800BBBBBB00C2C2C200C0C0 + C0005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0 + C000BFBFBF00B1B1B1007D7D7D006A6A6A001212120000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000001212120078787800BBBBBB00C2C2C200C0C0 + C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C0005400000054000000C0C0 + C000BFBFBF00B1B1B1007D7D7D006A6A6A001212120000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007C7C7C00D0D0D000CDCDCD005400 + 0000540000005400000054000000C0C0C000C0C0C00054000000540000005400 + 000054000000B5B5B5007F7F7F00676767001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007C7C7C00D0D0D000CDCDCD005400 + 0000540000005400000054000000C0C0C000C0C0C00054000000540000005400 + 000054000000B5B5B5007F7F7F00676767001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007C7C7C00D0D0D000CDCDCD00C2C2 + C2005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0 + C000C0C0C000B5B5B5007F7F7F00676767001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007C7C7C00D0D0D000CDCDCD00C2C2 + C200C0C0C000C0C0C000C0C0C000540000005400000054000000C0C0C000C0C0 + C000C0C0C000B5B5B5007F7F7F00676767001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007E7E7E00DBDBDB00D5D5D5005400 + 0000540000005400000054000000C0C0C000C0C0C00054000000540000005400 + 000054000000B6B6B60082828200676767001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007E7E7E00DBDBDB00D5D5D5005400 + 0000540000005400000054000000C0C0C000C0C0C00054000000540000005400 + 000054000000B6B6B60082828200676767001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007E7E7E00DBDBDB00D5D5D500C4C4 + C4005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0 + C000C0C0C000B6B6B60082828200676767001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007E7E7E00DBDBDB00D5D5D500C4C4 + C400C0C0C000540000005400000054000000C0C0C000C0C0C000C0C0C000C0C0 + C000C0C0C000B6B6B60082828200676767001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007E7E7E00DDDDDD00D9D9D9005400 + 00005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000540000005400 + 000054000000B6B6B60086868600686868001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007E7E7E00DDDDDD00D9D9D9005400 + 00005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000540000005400 + 000054000000B6B6B60086868600686868001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007E7E7E00DDDDDD00D9D9D900C5C5 + C5005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0 + C000C0C0C000B6B6B60086868600686868001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000121212007E7E7E00DDDDDD00D9D9D900C5C5 + C5005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0 + C000C0C0C000B6B6B60086868600686868001111110000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000F0F0F006F6F6F00D4D4D400EBEBEB005400 + 00005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000540000005400 + 000054000000B8B8B8008C8C8C005F5F5F000F0F0F0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000F0F0F006F6F6F00D4D4D400EBEBEB005400 + 00005400000054000000C0C0C000C0C0C000C0C0C000C0C0C000540000005400 + 000054000000B8B8B8008C8C8C005F5F5F000F0F0F0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000F0F0F006F6F6F00D4D4D400EBEBEB00D5D5 + D5005400000054000000C0C0C000C0C0C000C0C0C000C0C0C00054000000C0C0 + C000C0C0C000B8B8B8008C8C8C005F5F5F000F0F0F0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000F0F0F006F6F6F00D4D4D400EBEBEB00D5D5 + D5005400000054000000C0C0C000C0C0C000C0C0C0005400000054000000C0C0 + C000C0C0C000B8B8B8008C8C8C005F5F5F000F0F0F0000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000030303001F1F1F007E7E7E00DBDBDB005400 + 000054000000C4C4C400C0C0C000C0C0C000C0C0C000C0C0C000C0C0C0005400 + 000054000000AEAEAE006A6A6A001F1F1F000303030000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000030303001F1F1F007E7E7E00DBDBDB005400 + 000054000000C4C4C400C0C0C000C0C0C000C0C0C000C0C0C000C0C0C0005400 + 000054000000AEAEAE006A6A6A001F1F1F000303030000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000030303001F1F1F007E7E7E00DBDBDB00EBEB + EB00D4D4D4005400000054000000C0C0C000C0C0C0005400000054000000C0C0 + C000BFBFBF00AEAEAE006A6A6A001F1F1F000303030000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000030303001F1F1F007E7E7E00DBDBDB00EBEB + EB005400000054000000C0C0C000C0C0C000C0C0C0005400000054000000C0C0 + C000BFBFBF00AEAEAE006A6A6A001F1F1F000303030000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000003030300212121008C8C8C005400 + 000054000000D0D0D000C5C5C500C1C1C100C0C0C000C0C0C000C0C0C0005400 + 0000540000007F7F7F0020202000030303000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000003030300212121008C8C8C005400 + 000054000000D0D0D000C5C5C500C1C1C100C0C0C000C0C0C000C0C0C0005400 + 0000540000007F7F7F0020202000030303000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000003030300212121008C8C8C00DBDB + DB00E6E6E600D0D0D00054000000540000005400000054000000C0C0C000BFBF + BF00B3B3B3007F7F7F0020202000030303000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000003030300212121008C8C8C00DBDB + DB00E6E6E6005400000054000000540000005400000054000000C0C0C000BFBF + BF00B3B3B3007F7F7F0020202000030303000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000F0F0F00616161008A8A + 8A00D2D2D200E6E6E600DADADA00C9C9C900C4C4C400C1C1C100BFBFBF00AFAF + AF007F7F7F00606060000F0F0F00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000F0F0F00616161008A8A + 8A00D2D2D200E6E6E600DADADA00C9C9C900C4C4C400C1C1C100BFBFBF00AFAF + AF007F7F7F00606060000F0F0F00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000F0F0F00616161008A8A + 8A00D2D2D200E6E6E600DADADA00C9C9C900C4C4C400C1C1C100BFBFBF00AFAF + AF007F7F7F00606060000F0F0F00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000F0F0F00616161008A8A + 8A00D2D2D200E6E6E600DADADA00C9C9C900C4C4C400C1C1C100BFBFBF00AFAF + AF007F7F7F00606060000F0F0F00000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000030303000F0F0F002121 + 21007D7D7D00D6D6D600E2E2E200D9D9D900CDCDCD00BBBBBB00AEAEAE007272 + 7200202020000F0F0F0003030300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000030303000F0F0F002121 + 21007D7D7D00D6D6D600E2E2E200D9D9D900CDCDCD00BBBBBB00AEAEAE007272 + 7200202020000F0F0F0003030300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000030303000F0F0F002121 + 21007D7D7D00D6D6D600E2E2E200D9D9D900CDCDCD00BBBBBB00AEAEAE007272 + 7200202020000F0F0F0003030300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000030303000F0F0F002121 + 21007D7D7D00D6D6D600E2E2E200D9D9D900CDCDCD00BBBBBB00AEAEAE007272 + 7200202020000F0F0F0003030300000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000303 + 0300212121007F7F7F00919191008F8F8F008D8D8D008A8A8A00787878002020 + 2000030303000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000303 + 0300212121007F7F7F00919191008F8F8F008D8D8D008A8A8A00787878002020 + 2000030303000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000303 + 0300212121007F7F7F00919191008F8F8F008D8D8D008A8A8A00787878002020 + 2000030303000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000303 + 0300212121007F7F7F00919191008F8F8F008D8D8D008A8A8A00787878002020 + 2000030303000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000424D3E000000000000003E000000 + 2800000080000000300000000100010000000000000300000000000000000000 + 000000000000000000000000FFFFFF0000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000FFE007FFFFE007FFFFE007FFFFE007FF + FF8001FFFF8001FFFF8001FFFF8001FFFF8001FFFF8001FFFF8001FFFF8001FF + FF0000FFFF0000FFFF0000FFFF0000FFFE00007FFE00007FFE00007FFE00007F + FE00007FFE00007FFE00007FFE00007FFE00007FFE00007FFE00007FFE00007F + FE00007FFE00007FFE00007FFE00007FFE00007FFE00007FFE00007FFE00007F + FE00007FFE00007FFE00007FFE00007FFE00007FFE00007FFE00007FFE00007F + FE00007FFE00007FFE00007FFE00007FFF0000FFFF0000FFFF0000FFFF0000FF + FF8001FFFF8001FFFF8001FFFF8001FFFF8001FFFF8001FFFF8001FFFF8001FF + FFE007FFFFE007FFFFE007FFFFE007FF00000000000000000000000000000000 + 000000000000} + end +end diff --git a/Radiant_037b/main.pas b/Radiant_037b/main.pas new file mode 100644 index 0000000..3c5c7f7 --- /dev/null +++ b/Radiant_037b/main.pas @@ -0,0 +1,2388 @@ +unit main; + +(***************************************) +(* TFK Radiant mainform version 1.0.1 *) +(***************************************) +(* Created by Neoff *) +(* mail : neoff@fryazino.net *) +(* site : http://tfk.mirgames.ru *) +(***************************************) + +//Небольшой хелп: +//Скроллинг - WSAD либо зажать M и путешествовать минимапой +//Изменение свойств объекта - двойной клик по синей рамке объекта или по его точке. +//Перемещение/ресайз объекта - просто переносите точки объекта, отвечающие за это. +//Выделение нескольких объектов - (shift или без него) выделение области мышой +//Выделить/отменить выделение объекта - ctrl+mouse +//Если один объект находится под другим, то помогает alt+mouse :))) + +//Всей этой фигни вы не увидите в данном модуле - большая часть фигни лежит в ClickPs + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ActnList, BandActn, ExtActns, StdActns, XPStyleActnCtrls, + ActnMan, ToolWin, ActnCtrls, ActnMenus, ExtCtrls, ImgList, + TFKEntries, NFKMap_Lib, MapObj_Lib, MyScroll, ClickPs, LightMap_Lib, StdCtrls, Menus, + CustomizeDlg, Buttons, ExtDlgs, ComCtrls, ShellApi, WP; + +const + DefaultExt = '.tm'; + + MinimapKey = Ord('M'); + +type + TEditorMode = (emObjects, emBricks, emLights, emLinks); + +type + TMap = class(TTFKMap1) + constructor Create; + public + Scroll, AdvScroll: TMyScroll; + public + procedure ClearPal; + procedure AfterLoad;override; + procedure BeforeLoad;override; + end; + + TMainForm = class(TForm) + ActionManager1: TActionManager; + FileOpen1: TFileOpen; + FileSaveAs1: TFileSaveAs; + FileExit1: TFileExit; + CustomizeActionBars1: TCustomizeActionBars; + MenuBar: TActionMainMenuBar; + NewFile1: TAction; + FileSave1: TAction; + Paint1: TPaintBox; + Box1: TImageList; + RefreshTimer: TTimer; + WeaponImg: TImageList; + AmmoImg: TImageList; + PowerUpImg: TImageList; + HealthImg: TImageList; + ArmorImg: TImageList; + ButtonImg: TImageList; + DoorImg: TImageList; + PortalImg: TImageList; + SargeImg: TImageList; + JumppadImg: TImageList; + CustomImg: TImageList; + RightPnl: TPanel; + ScrollBrk: TScrollBox; + PaintBrk: TPaintBox; + Splitter1: TSplitter; + PopupMenu1: TPopupMenu; + //menuitems + Delete1: TMenuItem; + EditDelete1: TEditDelete; + Add1: TMenuItem; + Respawn1: TMenuItem; + Jumppad1: TMenuItem; + Armor1: TMenuItem; + Health1: TMenuItem; + PowerUp1: TMenuItem; + WeaponObj1: TMenuItem; + Ammo1: TMenuItem; + eleport1: TMenuItem; + Button1: TMenuItem; + NFKDoor1: TMenuItem; + rigger1: TMenuItem; + DeathLine1: TMenuItem; + Water1: TMenuItem; + Elevator1: TMenuItem; + riangle1: TMenuItem; + Shard1: TMenuItem; + Armor501: TMenuItem; + Armor1001: TMenuItem; + H51: TMenuItem; + N101: TMenuItem; + N501: TMenuItem; + megahealth1: TMenuItem; + Shotgun1: TMenuItem; + Grenade1: TMenuItem; + Roocket1: TMenuItem; + Shaft1: TMenuItem; + Railgun1: TMenuItem; + Plazmagun1: TMenuItem; + BFG1: TMenuItem; + Shotgun2: TMenuItem; + Grenade2: TMenuItem; + Rocket1: TMenuItem; + Shaft2: TMenuItem; + Railgun2: TMenuItem; + Plazma1: TMenuItem; + BFG2: TMenuItem; + Machinegun1: TMenuItem; + Small1: TMenuItem; + Large1: TMenuItem; + Regeneration1: TMenuItem; + Battlesuit1: TMenuItem; + Haste1: TMenuItem; + Quad1: TMenuItem; + Flight1: TMenuItem; + Invisibility1: TMenuItem; + N1: TMenuItem; + N3: TMenuItem; + ObjMode: TAction; + LightsMode: TAction; + MapProps1: TAction; + ActionImages: TImageList; + EditCopy1: TEditCopy; + EditPaste1: TEditPaste; + EditCut1: TEditCut; + Areapush1: TMenuItem; + areapain1: TMenuItem; + ArenaEnd1: TMenuItem; + AreaTeleport1: TMenuItem; + eleportway1: TMenuItem; + N4: TMenuItem; + LoadPalDlg: TOpenPictureDialog; + SavePalDlg: TSavePictureDialog; + Panel1: TPanel; + BrowsePalBtn: TBitBtn; + ClearPalBtn: TBitBtn; + SavePalBtn: TBitBtn; + LightLine1: TMenuItem; + Cut1: TMenuItem; + Paste1: TMenuItem; + Delete2: TMenuItem; + N5: TMenuItem; + StatusBar: TStatusBar; + Action1: TAction; + RunAct: TAction; + LightLine2: TMenuItem; + BrkMode: TAction; + AddLight1: TMenuItem; + ColorDlg: TColorDialog; + GenMap: TAction; + ActionToolBar1: TActionToolBar; + BrickBlAct: TAction; + BrickFrontAct: TAction; + EditUndo: TEditUndo; + EditRedo: TEditUndo; + BloodGenerator1: TMenuItem; + WPImages: TImageList; + N6: TMenuItem; + WayPoint1: TMenuItem; + WayPoint2: TMenuItem; + WayPoint3: TMenuItem; + RemoveLinks: TMenuItem; + N7: TMenuItem; + wpact_Move: TAction; + wpact_Crouch: TAction; + wpact_Stay: TAction; + wpact_RemoveLink: TAction; + LinkMode: TAction; + //end menuitems + procedure NewFile1Execute(Sender: TObject); + procedure FileSave1Execute(Sender: TObject); + procedure FileSaveAs1BeforeExecute(Sender: TObject); + procedure FileSaveAs1Accept(Sender: TObject); + procedure FileOpen1Accept(Sender: TObject); + procedure FormCreate(Sender: TObject); + procedure Paint1Paint(Sender: TObject); + procedure PaintBrkPaint(Sender: TObject); + procedure FormResize(Sender: TObject); + procedure ScrollBrkResize(Sender: TObject); + procedure Splitter1Moved(Sender: TObject); + procedure RefreshTimerTimer(Sender: TObject); + procedure FormKeyPress(Sender: TObject; var Key: Char); + procedure Paint1MouseDown(Sender: TObject; Button: TMouseButton; + Shift: TShiftState; X, Y: Integer); + procedure Paint1MouseMove(Sender: TObject; Shift: TShiftState; X, + Y: Integer); + procedure Paint1MouseUp(Sender: TObject; Button: TMouseButton; + Shift: TShiftState; X, Y: Integer); + procedure FormKeyDown(Sender: TObject; var Key: Word; + Shift: TShiftState); + procedure FormKeyUp(Sender: TObject; var Key: Word; + Shift: TShiftState); + procedure EditDelete1Execute(Sender: TObject); + procedure Add1Click(Sender: TObject); + procedure BrkModeExecute(Sender: TObject); + procedure MapProps1Execute(Sender: TObject); + procedure EditCopy1Execute(Sender: TObject); + procedure EditPaste1Execute(Sender: TObject); + procedure EditCut1Execute(Sender: TObject); + procedure PaintBrkMouseMove(Sender: TObject; Shift: TShiftState; X, + Y: Integer); + procedure PaintBrkMouseDown(Sender: TObject; Button: TMouseButton; + Shift: TShiftState; X, Y: Integer); + procedure BrowsePalBtnClick(Sender: TObject); + procedure ClearPalBtnClick(Sender: TObject); + procedure SavePalBtnClick(Sender: TObject); + procedure FormCloseQuery(Sender: TObject; var CanClose: Boolean); + procedure Action1Execute(Sender: TObject); + procedure RunActExecute(Sender: TObject); + procedure AddLight1Click(Sender: TObject); + procedure GenLightExecute(Sender: TObject); + procedure GenMapExecute(Sender: TObject); + procedure BrickBlActExecute(Sender: TObject); + procedure EditUndoExecute(Sender: TObject); + procedure AddWPClick(Sender: TObject); + procedure wpact_RemoveLinkExecute(Sender: TObject); + private + //переменные связанные с сохранением и загрузкой карт. + file_name: string; + workdir, mapdir, menufile, tfkpath: string; + newfile, fmodified: boolean; + function MapName: string; + function SaveQuery: boolean; + procedure SetInitialDirs; + procedure SetModified(value: boolean); + property modified: boolean read fmodified write SetModified; + private + //графические переменные + dbuffer: TBitmap; //doublebuffer :) + drawnow: boolean;//так что, надо еще раз прорисовать??? + minimap, minidrag: boolean;//рисуется или нет минимапа? + + procedure DrawMouseRect(canvas: TCanvas); + procedure StartDrag; + procedure EndDrag; + private + drag, select: boolean; + dx, dy: integer; //точка за которую взялась мышка + dragpoints: TClickPoints; + //Rect для селекта + rx1, ry1, rx2, ry2: integer; + //мышиные координаты, пригодятся еще... + mousex, mousey, absmousex, absmousey: integer; + MouseShift: TShiftState; + pos_x, pos_y: integer;//позиция на карте + function Multiselect: boolean; + procedure CheckMouseRect; + procedure SetMouseCoord(x1, y1: integer); + private + // БРИКИ И ОБЪЕКТЫ + dbufferBrk: TBitmap; + BrkWidth, BrkHeight: smallint; + selbrk: word; + //маска бриков + brkblock: boolean; + brkfront: boolean; + + drawbrknow: boolean; + //брики в буффере + brkbuf, brksel: TBricksEntry; + + procedure CheckObjs; + procedure SetBrk(brk: word);//установить активный брик + procedure GetBrk; //взять с карты брики + procedure PlaceBrk; + + procedure SetBrkMask(block, front: boolean); + procedure SetDefBrkMask; + private + UndoBuf: array [1..100] of TBricksEntry; + UndoCount, UndoInd : integer; + procedure UndoClear; + procedure UndoPut; + procedure UndoSet(ind: integer);//ставим i-ый Undo + procedure UndoDeleteNext;//уничтожаем следующие undo - буферы + private + //light'ы + light: TLightClickPoint; + lightbuf: TLightObj; + procedure ShowLightProps(lightobj: TLightObj); + private + //копирование объектов + cobjs: array of TCustomMapObj; + procedure ClearObjsBuffer; + procedure CopyObjs(cut: boolean); + procedure PasteObjs; + //копирование бриков + procedure CopyBrk(cut: boolean); + procedure PasteBrk; + private + //LINKи + procedure link_Delete(cp: TClickPoint); + procedure link_Double(cp: TClickPoint); + procedure link_OneWay(cp: TClickPoint); + + private + Mode: TEditorMode; + procedure ShowObjProps(Obj: TCustomMapObj); + end; + +var + MainForm: TMainForm; + Map: TMap; + +implementation + +{$R *.dfm} + +uses MyPalette, Constants_Lib, ObjectProps, ObjButtonProps, ObjRespawnProps, + ObjJumppadProps, ObjElevatorProps, MapProps, ObjDeathlineProps, + ObjItemProps, ObjAreaPainProps, ObjAreaPushProps, ObjBGProps, + ObjLightLineProps, about, ObjBloodGenProps, LightMapGen, MapGen, + ObjWeatherProps; + +{ TMap } + +procedure TMap.AfterLoad; +var + i, x0, y0: integer; +begin + inherited; + Scroll.MaxI:=Brk.Width; + Scroll.MaxJ:=Brk.Height; + AdvScroll.MaxI:=Brk.Width; + AdvScroll.MaxJ:=Brk.Height; + + with MainForm do + begin + selbrk:=1; + Mode:=emObjects; + if BrkTex<>nil then + LoadPaletteFromBitmap(BrkTex.Bitmap, CustomImg, clBlue, 0, 0); + FormResize(self); + ScrollBrkResize(self); + Paint1Paint(self); + PaintBrkPaint(self); + + BrowsePalBtn.enabled:=BrkTex=nil; + ClearPalBtn.enabled:=BrkTex<>nil; + SavePalBtn.enabled:=BrkTex<>nil; + + drawnow:=true; + end; + + //хитрость - ищем ближайший респаун и центрируем по нему + x0:=map.Width div 2; + y0:=map.Height div 2; + for i:=0 to Obj.Count-1 do + if Obj[i].ObjType=otRespawn then + begin + if (map.Width>20) then x0:=Obj[i].x; + if (map.Height>30) then y0:=Obj[i].y; + Break; + end; + Scroll.CenterToIJ(x0, y0); + MainForm.UndoPut; +end; + +procedure TMap.BeforeLoad; +begin + inherited; + ClearPoints; + ClearLPoints; + MainForm.dragpoints:=nil; + MainForm.light:=nil; + MainForm.SetBrkMask(false, false); + MainForm.UndoClear; +end; + +procedure TMap.ClearPal; +begin + if BrkTex<>nil then + begin + Entries.Delete(Entries.IndexOf(BrkTex)); + BrkTex.Free; + BrkTex:=nil; + end; +end; + +constructor TMap.Create; +begin + inherited; + Scroll:=TMyScroll.Create; + Scroll.ZoomX:=32; + Scroll.ZoomY:=16; + AdvScroll:=TMyScroll.Create; +end; + +{ TMainForm } + +function TMainForm.MapName: string; +var + i: integer; +begin +//имя карты + if newfile then + Result:='newmap' + else + begin + Result:=ExtractFileName(File_Name); + i:=pos('.mapa', result); + if i=Length(result)-4 then + Delete(Result, Length(result)-4, 5); + end; +end; + +function TMainForm.SaveQuery: boolean; +var + res: integer; +begin + //спрашиваем надо ли сохранять файл + Result:=not modified; + if Result then Exit; + res:=Application.MessageBox( + PChar('Save changes to map "'+MapName+'"'), + 'Query', + mb_YesNoCancel); + if res=mrYes then + begin + if FileSave1.Execute then + Result:=not modified; + end + else Result:=res=mrNo; +end; + +procedure TMainForm.SetInitialDirs; +begin + if not newfile then + begin + with FileOpen1.Dialog do + begin + InitialDir:=ExtractFilePath(file_name); + filename:=file_name; + end; + with FileSaveAs1.Dialog do + begin + InitialDir:=ExtractFilePath(file_name); + filename:=file_name; + end; + end; +end; + +procedure TMainForm.SetModified(value: boolean); +begin + StatusBar.Panels[0].Text:=''; + fmodified:=value; + if fmodified then + StatusBar.Panels[0].Text:='modified'; +end; + +procedure TMainForm.NewFile1Execute(Sender: TObject); +begin + if not SaveQuery then Exit; + Map.NewMap; + newfile:=true; + modified:=false; +end; + +procedure TMainForm.FileSaveAs1BeforeExecute(Sender: TObject); +begin + if newfile then + with FileSaveAs1.Dialog do + filename:=InitialDir+'\'+MapName+DefaultExt; +end; + +procedure TMainForm.FileSaveAs1Accept(Sender: TObject); +begin +//Finalize Work + PlaceBrk; + + file_name:=FileSaveAs1.Dialog.FileName; + newfile:=false; + SetInitialDirs; + FileSave1.Execute; +end; + +procedure TMainForm.FileSave1Execute(Sender: TObject); +begin +//Если файлик неизвестен то вызываем FileSaveAs; иначе грузим карту... + if (file_name='') or + (ExtractFileExt(file_name)<>DefaultExt) then + begin + FileSaveAs1.Execute; + Exit; + end; +//сохранение файла + Map.SaveToFile(file_name); + modified:=false; +end; + +procedure TMainForm.FileOpen1Accept(Sender: TObject); +var + res: integer; +begin +//загружаем карту + with FileOpen1.Dialog do + begin + if not FileExists(filename) or + not SaveQuery then Exit; + PlaceBrk; +//сама загрузка + res:=Map.LoadFromFile(filename); + case res of + -2: begin ShowMessage('Unknown map format!');exit;end; + -1: begin ShowMessage('Invalid map format!');exit;end; + end; + +//в случае удачи меняем имя файла и получаем ссылку на загрю карту, старую карту выгружаем. + newfile:=false; + file_name:=filename; + modified:=false; + if pos('.mapa', filename)=Length(file_name)-4 then + Delete(file_name, Length(file_name)-4, 5); + SetInitialDirs; + end; +end; + +//КОНЕЦ ОПЕРАЦИЙ С ФАЙЛАМИ +//************************* + +procedure TMainForm.FormCreate(Sender: TObject); +begin + WorkDir:=ExtractFilePath(ParamStr(0)); + mapDir:=WorkDir+'..\maps\'; + menufile:=WorkDir+'menu.dat'; + tfkpath:=WorkDir+'..\..\'; + if FileExists(menufile) then ActionManager1.FileName:=menufile; +//ГЛАВНАЯ ПРОЦЕДУРА - СОЗДАНИЕ ВСЕХ ОБЪЕКТОВ + Map:=TMap.Create; +//загрузка текстур по-умолчанию + try + LoadPaletteFromFileMasked(WorkDir+'..\textures\box.bmp', Box1, clBlue, 0, 0); + except + try + LoadPaletteFromFileMasked(WorkDir+'textures\box.bmp', Box1, clBlue, 0, 0); + except + try + LoadPaletteFromFileMasked(WorkDir+'TA\box.bmp', Box1, clBlue, 0, 0); + except + try + LoadPaletteFromFileMasked(WorkDir+'box.bmp', Box1, clBlue, 0, 0); + except + ShowMessage('Box.bmp not found!'); + end; + end; + end; + end; +//установка параметров по-умолчанию + FileOpen1.Dialog.InitialDir:=mapDir; + FileSaveAs1.Dialog.InitialDir:=mapDir; + LoadPalDlg.InitialDir:=mapDir; + SavePalDlg.InitialDir:=mapDir; + + NewFile1Execute(Self); + FormResize(Self); + + //а теперь подгрузка параметров + if ParamStr(1)<>'' then + begin + FileOpen1.Dialog.FileName:=ParamStr(1); + FileOpen1.OnAccept(Self); + end; + + //обнуление переменных редактора + selbrk:=1; + Mode:=emObjects; + brksel:=nil;brkbuf:=nil; + SetBrkMask(true, false); + CheckObjs; +end; + +//************************************ +//ПРОРИСОВКА КАРТЫ!!!!! +procedure TMainForm.Paint1Paint(Sender: TObject); +var + i, j, k, x, y: integer; + cp: TClickPoint; + cpl: TLightClickPoint; + Arrow: TPoint; + + procedure DrawArrow(bx, by, cx, cy: integer); + type + TPointf = record X, Y: double; end; + + function Normalize(p: TPointf): TPointf; + begin + Result.X:=p.X/sqrt(sqr(p.X)+sqr(p.Y)); + Result.Y:=p.Y/sqrt(sqr(p.X)+sqr(p.Y)); + end; + + var + A, B: TPointf; + P: array [0..1] of TPoint; + begin + //Draw arrow + A.X := cx - bx; + A.Y := cy - by; + B.X := - A.Y; + B.Y := A.X; + + A := Normalize(A); + B := Normalize(B); + + A.X := A.X * Arrow.X; + A.Y := A.Y * Arrow.X; + + B.X := B.X * Arrow.Y; + B.Y := B.Y * Arrow.Y; + + P[0].X := trunc(bx - A.X + B.X); + P[0].Y := trunc(by - A.Y + B.Y); + P[1].X := trunc(bx - A.X - B.X); + P[1].Y := trunc(by - A.Y - B.Y); + with dbuffer, Canvas do + begin + Polygon([P[0], P[1], Point(bx, by)]); + PenPos := P[0]; + LineTo(bx, by); + LineTo(P[1].X, P[1].Y); + end; + end; + + function RectIntersect(rect1, rect2: TRect): boolean; +//проверка ПЕРЕСЕЧЕНИЯ ректов + function Intersect0(x1, x2, y1, y2: SmallInt): boolean; + begin + //проверка пересечения отрезков + Result := (x1 >= y1) and (x1 <= y2) or + (x2 >= y1) and (x2 <= y2) or + (x1 <= y1) and (y2 <= x2) or + (x1 >= y1) and (y2 >= x2); + end; + + begin + Result := InterSect0(rect1.Left, rect1.Right, rect2.Left, rect2.Right) and + InterSect0(rect1.Top, rect1.Bottom, rect2.Top, rect2.Bottom); + end; + +begin + if dbuffer=nil then + begin + dbuffer:=TBitmap.Create; + dbuffer.Width:=Paint1.Width; + dbuffer.Height:=Paint1.Height; + end; + with dbuffer, canvas do + begin + Pen.Color:=clBlack; + Pen.Mode:=pmCopy; + Brush.Color:=clBlack; + Brush.Style:=bsSolid; + Rectangle(0, 0, width, height); + + Brush.Style:=bsClear; + Font.Color:=clWhite; + Pen.color:=clNavy; + Pen.Width:=3; + //рисуем брики + with Map, Scroll do + for j:=ScreenRect.Top to ScreenRect.Bottom do + for i:=ScreenRect.Left to ScreenRect.Right do + begin + if Brk[i, j]>0 then + begin + if (BrkTex<>nil) and (BrkTex.Head.TEXCount>=Brk[i, j]) then + CustomImg.Draw(Canvas, GetX(i), GetY(j), Brk[i, j]-1) + else Box1.Draw(Canvas, GetX(i), GetY(j), Brk[i, j]-1); + if not Brk.blocked[i, j] then + if Brk.Front[i, j] then + TextOut(i*32+16-TextWidth('Front') div 2-gx, j*16+8-TextHeight('Front')div 2-gy, 'Front'); +// else +// TextOut(i*32+16-TextWidth('Back') div 2-gx, j*16+8-TextHeight('Back')div 2-gy, 'Back'); + end else + if Brk.Blocked[i, j] then + TextOut(i*32+16-TextWidth('Empty') div 2-gx, j*16+8-TextHeight('Empty')div 2-gy, 'Empty'); + //рисуется левая граница + if Brk.Blocked[i, j]<>Brk.Blocked[i-1, j] then + begin + MoveTo(i*32-gx, j*16-gy);LineTo(i*32-gx, (j+1)*16-gy); + end; + //рисуется верхняя граница + if Brk.Blocked[i, j]<>Brk.Blocked[i, j-1] then + begin + MoveTo(i*32-gx, j*16-gy);LineTo((i+1)*32-gx, j*16-gy); + end; + end; + Pen.Width:=1; + //рисуем объекты + with Map, Scroll do + for i:=0 to Obj.Count-1 do + begin + if not RectIntersect(Obj[i].GraphRect, ScreenRect) then + Continue; + + x:=GetX(Obj[i].x); + y:=GetY(Obj[i].y); + case Obj[i].ObjType of + otRespawn: SargeImg.Draw(Canvas, x, y-32, Ord(Obj[i].Struct.orient)); + otJumppad: JumpPadImg.Draw(Canvas, x, y, TJumpPadObj(Obj[i]).GetJumpHeight div 8 mod 16); + otTeleport: PortalImg.Draw(Canvas, x-16, y-32, (Obj[i].Struct.gotox+Obj[i].Struct.gotoy) mod PortalImg.count); + otButton: ButtonImg.Draw(Canvas, x, y-8, Obj[i].Struct.color); + otNFKDoor: begin + for k:=0 to Obj[i].height-1 do + for j:=0 to Obj[i].width-1 do + DoorImg.Draw(Canvas, x+j*32, y+k*16, Obj[i].Struct.orient div 2); + if Obj[i].Struct.opened then + begin + Font.Color:=clLime; + Font.Size:=8; + TextOut(x, y+Obj[i].height*16-16, 'opened'); + end; + end; + otHealth: HealthImg.Draw(Canvas, x, y, Obj[i].Struct.itemID-Health5_ID); + otArmor: ArmorImg.Draw(Canvas, x, y, Obj[i].Struct.itemID-Shard_ID); + otWeapon: WeaponImg.Draw(Canvas, x, y, Obj[i].Struct.weaponID-2); + otAmmo: AmmoImg.Draw(Canvas, x, y, Obj[i].Struct.weaponID-1); + otPowerUp: PowerUpImg.Draw(Canvas, x, y, Obj[i].Struct.ItemID-Regen_ID); + otDeathLine: begin + Pen.Color:=clPurple; + MoveTo(x+16, y+8); + LineTo(x+16+TDeathLine(Obj[i]).dx, y+8+TDeathLine(Obj[i]).dy); + end; + otLightLine: begin + Pen.Color:=clWhite; + MoveTo(x+16, y+8); + LineTo(x+16+TDeathLine(Obj[i]).dx, y+8+TDeathLine(Obj[i]).dy); + end; + otBloodGen: begin + Pen.Color:=clMaroon; + MoveTo(x+16, y+8); + LineTo(x+16+TDeathLine(Obj[i]).dx, y+8+TDeathLine(Obj[i]).dy); + end; + otWeather: + begin + Font.Color:=clWhite; + TextOut(x+Obj[i].Width*16-TextWidth('Wheather') div 2, y+Obj[i].height*8- TextHeight('Wheather') div 2, 'Wheather'); + end; + otWater: + begin + Pen.Color:=clBlue; + Brush.Color:=clBlue; + Brush.Style:=bsBDiagonal; + Rectangle(x, y, x+Obj[i].width*32, y+Obj[i].height*16); + end; +{ otEmptyBricks: + begin + Font.Color:=clAqua; + for j:=0 to Obj[i].Width-1 do + for k:=0 to Obj[i].Height-1 do + TextOut(x+j*32+16-TextWidth('Empty') div 2, y+k*16+8-TextHeight('Empty')div 2, 'Empty'); + end; + otBackBricks: + begin + Font.Color:=clAqua; + for j:=0 to Obj[i].Width-1 do + for k:=0 to Obj[i].Height-1 do + TextOut(x+j*32+16-TextWidth('Back') div 2, y+k*16+8-TextHeight('Back')div 2, 'Back'); + end;} + otElevator: + begin + Pen.Color:=clGray; + Brush.Color:=clGray; + Brush.Style:=bsBDiagonal; + Rectangle(x, y, x+Obj[i].width*32, y+Obj[i].height*16); + end; + otAreaPain: + begin + Font.Color:=clGreen; + TextOut(x+obj[i].width*16-TextWidth('PAIN') div 2, y+obj[i].height*8-TextHeight('PAIN')div 2, 'PAIN'); + end; + otArenaEnd: + begin + Font.Color:=clAqua; + TextOut(x+obj[i].width*16-TextWidth('ARENA END') div 2, y+obj[i].height*8-TextHeight('ARENA END')div 2, 'ARENA END'); + end; + end; + if Obj[i].ObjType in [otLava, otAreaPush, otAreapain] then + Pen.Color:=clRed + else Pen.Color:=clBlue; + Brush.Style:=bsClear; + if not (Obj[i] is TItemObj) then + Rectangle(GetX(Obj[i].x), GetY(Obj[i].y), GetX(Obj[i].x+Obj[i].width), GetY(Obj[i].y+Obj[i].height)); + end; + + //телепорты + with Map, Scroll do + for i:=0 to Obj.Count-1 do + if Obj[i].ObjType in [otTeleport, otAreaTeleport, otTeleportWay] then + begin + x:=GetX(Obj[i].x); + y:=GetY(Obj[i].y); + + Pen.Color:=clRed; + MoveTo(x-5, y-25);LineTo(x+37, y-25); + MoveTo(x-5, y-35);LineTo(x+37, y-35); + Pen.Color:=clMaroon; + Brush.Color:=clRed; + Arrow.X := -10; + Arrow.Y := 5; + DrawArrow(x+37, y-35, x-5, y-35); + if Obj[i].Struct.orient=1 then + DrawArrow(x-5, y-25, x+37, y-25) + else + DrawArrow(x+37, y-25, x-5, y-25); + + Pen.Color:=clRed; + if Obj[i].ObjType=otTeleport then + MoveTo(x+16, y-16) else + MoveTo(x+Obj[i].Width*16, y+Obj[i].Height*8); + x:=GetX(Obj[i].struct.gotox); + y:=GetY(Obj[i].struct.gotoy); + if Obj[i].ObjType=otTeleportWay then + LineTo(x+16, y+8) + else + LineTo(x+16, y-16); + Pen.Color:=clMaroon; + Brush.Style:=bsClear; + if Obj[i].ObjType=otTeleportWay then + Rectangle(x, y, x+Obj[i].Width*32, y+Obj[i].Height*16) + else + Rectangle(x, y-32, x+32, y+16); + end; + //элеваторы + with Map, Scroll do + for i:=0 to Obj.Count-1 do + if Obj[i].ObjType in [otElevator] then + begin + x:=GetX(Obj[i].x); + y:=GetY(Obj[i].y); + Pen.Color:=clYellow; + MoveTo(x+16, y+8); + x:=GetX(Obj[i].struct.elevx+Obj[i].x); + y:=GetY(Obj[i].struct.elevy+Obj[i].y); + LineTo(x+16, y+8); + Pen.Color:=clMaroon; + Brush.Style:=bsClear; + Rectangle(x, y, x+Obj[i].width*32, y+Obj[i].height*16); + end; + //треугольники + with Map, Scroll do + for i:=0 to Obj.Count-1 do + if Obj[i].ObjType in [otTriangle] then + with Obj[i] do + begin + Pen.Color:=clWhite; + Brush.Style:=bsClear; + case struct.orient of + 0: + begin + MoveTo(GetX(x), GetY(y)); + LineTo(GetX(x+width), GetY(y+height)); + LineTo(GetX(x), GetY(y+height)); + LineTo(GetX(x), GetY(y)); + end; + 1: + begin + MoveTo(GetX(x+width), GetY(y)); + LineTo(GetX(x), GetY(y+height)); + LineTo(GetX(x), GetY(y)); + LineTo(GetX(x+width), GetY(y)); + end; + 2: + begin + MoveTo(GetX(x), GetY(y)); + LineTo(GetX(x+width), GetY(y+height)); + LineTo(GetX(x+width), GetY(y)); + LineTo(GetX(x), GetY(y)); + end; + 3: + begin + MoveTo(GetX(x), GetY(y+height)); + LineTo(GetX(x+width), GetY(y)); + LineTo(GetX(x+width), GetY(y+height)); + LineTo(GetX(x), GetY(y+height)); + end; + end; + end; + + //ну а теперь можно и Waypoint'ы + with Map, Scroll do + if (mode=emLinks) and (WP<>nil) then + begin + Arrow.X := -20; + Arrow.Y := 3; + Pen.Color:=clSilver; + Brush.Color:=clSilver; + for i:=0 to WP.Count-1 do + with WP[i] do + for j:=0 to way_Count-1 do + begin + Pen.Color:=clGray; + MoveTo(fx*32+16-GX, fy*16+8-GY); + LineTo(Ways[j].fx*32+16-GX, Ways[j].fy*16+8-GY); + Pen.Color:=clSilver; + DrawArrow(Ways[j].fx*32+16-GX, Ways[j].fy*16+8-GY, + fx*32+16-GX, fy*16+8-GY,); + end; + for i:=0 to WP.Count-1 do + with WP[i] do + begin + if wp_type='M' then j:=1 + else if wp_type='C' then j:=2 + else if wp_type='S' then j:=3 + else j:=0; + WPImages.Draw(Canvas, X*32-GX, Y*16-GY, j); + end; + end; + + //а теперь лайты %) + with Map, Scroll do + if mode=emLights then + if Lights<>nil then + begin + for i:=0 to Lights.Count-1 do + with Lights[i] do + begin + Brush.Color:=Lights[i].WColor; + if (Light=nil) or (Light.Obj<>Lights[i]) then + Brush.Style:=bsClear + else Brush.Style:=bsCross; + Pen.Color:=Lights[i].WColor; + Canvas.Ellipse(x-gx - Radius, y-gy-radius, + x-gx + Radius, y-gy+radius); + end; + end; + + //а теперь кликпойнты + j:=GetPointsCount; + if (Mode=emObjects) or (Mode=emLinks) then + with Map.Scroll do + for i:=0 to j-1 do + begin + cp:=GetPoint(i); + if (ptLink in cp.pType)<>(Mode=emLinks) then Continue; + if ptInvisible in cp.pType then Continue; + x:=cp.x-GX;y:=cp.y-GY; + Pen.Color:=cp.Color; + + Brush.Style:=bsSolid; + if IsSelectedPoint(cp, dragpoints) then + Brush.Color:=clRed + else if IsSelectedObj(cp.Obj, dragpoints) then + Brush.Color:=clAqua + else Brush.Style:=bsClear; + Rectangle(x-3, y-3, x+3, y+3); + end; + + //а теперь кликпойнты для light'ов + j:=GetLPointsCount; + if Mode=emLights then + with Map.Scroll do + for i:=0 to j-1 do + begin + cpl:=GetLPoint(i); + x:=cpl.x-GX;y:=cpl.y-GY; + Pen.Color:=cpl.Color; + Brush.Style:=bsSolid; + if cpl=light then + Brush.Color:=clAqua + else Brush.Style:=bsClear; + Rectangle(x-3, y-3, x+3, y+3); + end; + + Brush.Style:=bsClear; + Font.Color:=clWhite; + TextOut(10, 10, Map.head.Name); + + Pen.Color:=clLime; + if (mode=emBricks) and (select or (brksel<>nil)) then + begin + //рисуем выделенные брики + if brksel<>nil then + with Map, Scroll do + begin + Font.Color:=clWhite; + Pen.color:=clNavy; + Pen.Width:=3; + for i:=0 to BrkSel.Width-1 do + for j:=0 to BrkSel.Height-1 do + begin + if BrkSel[i, j]>0 then + begin + if (BrkTex<>nil) and (BrkTex.Head.TEXCount>=BrkSel[i, j]) then + CustomImg.Draw(Canvas, (i+rx1)*32-GX, (j+ry1)*16-GY, BrkSel[i, j]-1) + else Box1.Draw(Canvas, (i+rx1)*32-GX, (j+ry1)*16-GY, BrkSel[i, j]-1); + if not BrkSel.blocked[i, j] then + if BrkSel.Front[i, j] then + TextOut((i+rx1)*32+16-TextWidth('Front') div 2-gx, (j+ry1)*16+8-TextHeight('Front')div 2-gy, 'Front'); + + end else + if BrkSel.Blocked[i, j] then + TextOut((i+rx1)*32+16-TextWidth('Empty') div 2-gx, (j+ry1)*16+8-TextHeight('Empty')div 2-gy, 'Empty'); + //рисуется левая граница + if BrkSel.Blocked[i, j]<>BrkSel.Blocked[i-1, j] then + begin + MoveTo((i+rx1)*32-gx, (j+ry1)*16-gy);LineTo((i+rx1)*32-gx, (j+ry1+1)*16-gy); + end; + //рисуется верхняя граница + if BrkSel.Blocked[i, j]<>BrkSel.Blocked[i, j-1] then + begin + MoveTo((i+rx1)*32-gx, (j+ry1)*16-gy);LineTo((i+rx1+1)*32-gx, (j+ry1)*16-gy); + end; + end; + Pen.Width:=1; + end;//with + Pen.Color:=clLime; + //рамочка + if rx10) then + begin + x:=GetX(i); + y:=GetY(j); + Pen.Color:=clWhite; + Brush.Style:=bsClear; + if ZoomX>2 then + Rectangle(x, y, x+ZoomX+1, y+ZoomY+1) + else + begin + Pixels[x, y]:=Pen.Color; + Pixels[x+1, y]:=Pen.Color; + end; + end; + //рамочка + Pen.Color:=clRed; + Brush.Style:=bsClear; + Rectangle(-GX, -GY, GetMaxX-GX+1, GetMaxY-GY+1); + //рисуем текущее положение нашего окна + Pen.Color:=clBlue; + + Scroll.ClipOff:=true; + Rectangle(RectIJToXY(Scroll.ScreenRect)); + Scroll.ClipOff:=false; + end else if not drag then + DrawMouseRect(Canvas); //if minimap + Pen.Color:=clWhite; + Brush.Style:=bsClear; + with Map, Scroll do + Rectangle(GetX(0)-1, GetY(0)-1, GetX(Width), GetY(Height)); + //вывод на экран + Paint1.Canvas.CopyRect(Rect(0, 0, width, height), canvas, Rect(0, 0, width, height)); + end; +end; + +procedure TMainForm.FormResize(Sender: TObject); +begin +//resize + if dbuffer<>nil then + begin + dbuffer.Width:=Paint1.Width; + dbuffer.Height:=Paint1.Height; + end; + with Map.Scroll do + begin + ScreenWidth:=Paint1.Width; + ScreenHeight:=Paint1.Height; + GX:=GX; + GY:=GY; + end; + with Map.AdvScroll do + begin + ClipOff:=false; + ScreenWidth:=Paint1.Width; + ScreenHeight:=Paint1.Height; + ZoomX:=trunc(2*ScreenWidth/(3*Map.Width)); + ZoomY:=trunc(ScreenHeight*3/(4*Map.Height)); + if ZoomX>2*ZoomY then ZoomX:=2*ZoomY + else ZoomY:=ZoomX div 2; + if (ZoomX<=0) or (ZoomY<=0) then + begin + ZoomX:=2; + ZoomY:=1; + end; + + GX:=0; + GY:=0; + end; +end; + +procedure TMainForm.RefreshTimerTimer(Sender: TObject); +begin + CheckMouseRect; + if drawnow then + Paint1Paint(Self); + if drawbrknow then + PaintBrkPaint(Self); + drawnow:=false; + drawbrknow:=false; +end; + +procedure TMainForm.FormKeyPress(Sender: TObject; var Key: Char); +const + ScrX=16; + ScrY=16; +var + drawold: boolean; +begin +//обработка клавиатуры + drawold:=drawnow; + drawnow:=true; + case UpCase(Key) of + 'A': Map.Scroll.GX:=Map.Scroll.GX-ScrX; + 'D': Map.Scroll.GX:=Map.Scroll.GX+ScrX; + 'W': Map.Scroll.GY:=Map.Scroll.GY-ScrY; + 'S': Map.Scroll.GY:=Map.Scroll.GY+ScrY; + else drawnow:=drawold; + end; + Paint1MouseMove(self, mouseshift, mousex, mousey); +end; + +procedure TMainForm.FormKeyDown(Sender: TObject; var Key: Word; + Shift: TShiftState); +begin + if (Key=minimapKey) and + not minimap then + begin + minimap:=true; + drawnow:=true; + end; +// +end; + +procedure TMainForm.FormKeyUp(Sender: TObject; var Key: Word; + Shift: TShiftState); +begin + if (Key=minimapKey) and + minimap then + begin + minimap:=false; + Paint1MouseMove(self, mouseshift, mousex, mousey); + drawnow:=true; + end; +// +end; + +procedure TMainForm.SetMouseCoord(x1, y1: integer); +begin + mousex:=x1; + mousey:=y1; +// mousex:=mouse.CursorPos.X-Self.Left-Self.ClientRect.Left-Paint1.Left-4; +// mousey:=mouse.CursorPos.Y-Self.Top-Self.ClientRect.Top-Paint1.Top-22; + absmousex:=x1+Map.Scroll.GX; + absmousey:=y1+Map.Scroll.GY; + + StatusBar.Panels[1].Text:='X:'+IntToStr(pos_x)+' Y:'+IntToStr(pos_Y); +end; + +procedure TMainForm.CheckMouseRect; +var + px, py: integer; +begin + px:=Map.Scroll.GetI(mousex); + py:=Map.Scroll.GetJ(mousey); + if (px<>pos_x) or + (py<>pos_y) then + //стираем mouserect со старого места, рисуем на новом... + if not drawnow and + not minimap and + not drag then + begin + DrawMouseRect(Paint1.Canvas); + pos_x:=px; pos_y:=py; + DrawMouseRect(Paint1.Canvas); + end else + begin + pos_x:=px;pos_y:=py; + end; +end; + +procedure TMainForm.DrawMouseRect(canvas: TCanvas); +const + ellipsew = 8; + ellipseh = 8; + penwidth = 1; +begin + with Canvas, Map.Scroll do + begin + Pen.Mode:=pmXor; + Pen.Color:=clWhite; + Pen.Width:=penwidth; + Brush.Style:=bsClear; + RoundRect(GetX(pos_x), GetY(pos_y), GetX(pos_x)+32, GetY(pos_y)+16, ellipsew, ellipseh); + end; +end; + +function TMainForm.Multiselect: boolean; +begin + Result:=(dragpoints<>nil) and (High(dragpoints)>=1); +end; + +procedure TMainForm.Paint1MouseDown(Sender: TObject; Button: TMouseButton; + Shift: TShiftState; X, Y: Integer); +var + cp : TClickPoint; +begin + MouseShift:=Shift; + + SetMouseCoord(x, y); + select:=false; + drag:=false; + if Button<>mbLeft then Exit; + if minimap then + begin + with Map, AdvScroll do + begin + if Scroll.CenterToIJ(GetI(X), GetJ(Y)) then + drawnow:=true; + end; + minidrag:=true; + end else + if (Shift-[ssLeft, ssShift]=[]) and (Mode in [emObjects, emLinks]) then + begin + if ssShift in Shift then + begin + cp:=GetPointByXY(absmousex, absmousey, false, true, Mode=emLinks); + if (cp<>nil) and ((dragpoints=nil) or (ptSelective in dragpoints[0].pType)) then + begin + TogglePoint(cp, dragpoints); + SelectPoints(absmousex, absmousey, dragpoints); + drawnow:=true; + end; + end else + if multiselect then + begin + if (IsPointInXY(absmousex, absmousey, dragpoints)<>nil) or + IsSelectedPoint(GetPointByXY(absmousex, absmousey, ssAlt in Shift, true, Mode=emLinks), dragpoints) + then StartDrag + else + begin + dragpoints:=nil; + drawnow:=true; + end; + end else + begin + SetLength(dragpoints, 1); + dragpoints[0]:=GetPointByXY(absmousex, absmousey, ssAlt in Shift, false, Mode=emLinks); + if dragpoints[0]<>nil then + begin + StartDrag; + drawnow:=true; + {if ptMove in DragPoints[0].pType then + Screen.Cursor:=crSizeAll;} + end else + begin + dragpoints:=nil;//вообще ничего не выделили - значит надо начать выделение + select:=true; + rx1:=absmousex; + ry1:=absmousey; + rx2:=rx1;ry2:=ry1; + end; + end;//if ... else multiselect + end; //ТОЛЬКО ЛЕВАЯ КНОПКА + case Mode of + emObjects: + if ssDouble in Shift then + begin + //двойной щелчок по одному объекту + cp:=GetPointByXY(absmousex, absmousey, false, Mode=emLinks); + if (dragpoints<>nil) and (cp=dragpoints[0]) and + (cp.obj is TCustomMapObj) then + ShowObjProps(TCustomMapObj(dragpoints[0].Obj)); + end else + //ВЫДЕЛЕНИЕ И НАЧАЛО ПЕРЕНОСА ОДНОГО ОБЪЕКТА + if ssCtrl in Shift then + begin + //ВЫДЕЛЕНИЕ НЕСКОЛЬКИХ ОБЪЕКТОВ + select:=true; + rx1:=absmousex; + ry1:=absmousey; + rx2:=rx1;ry2:=ry1; + dragpoints:=nil; +// dragpoints:=GetPointsInRect(rx1, ry1, rx2, ry2); + end; + emLinks: + if (ssCtrl in Shift) then + begin + //emLinks + cp:=GetPointByXY(absmousex, absmousey, false, true, Mode=emLinks); + if (cp<>nil) then + begin + if (dragpoints<>nil) then + link_OneWay(cp); + SetLength(dragpoints, 1); + dragpoints[0]:=cp; + end; + end else + if (ssAlt in Shift) then + begin + cp:=GetPointByXY(absmousex, absmousey, false, true, Mode=emLinks); + if (cp<>nil) then + begin + if (dragpoints<>nil) then + link_Delete(cp); + SetLength(dragpoints, 1); + dragpoints[0]:=cp; + end; + end; + emBricks: + if (brksel<>nil) and (ssLeft in Shift) then + begin + if (pos_x>=rx1) and (pos_x<=rx2) and + (pos_y>=ry1) and (pos_y<=ry2) then + StartDrag + else + begin + PlaceBrk; + drawnow:=true; + end; + end + else + if Shift-[ssShift, ssAlt, ssDouble]=[ssLeft] then + begin + if ssAlt in Shift then + SetBrk(Map.Brk[pos_x, pos_y]) + else + if ssShift in Shift then + begin + if not Map.Brk.Cleared[pos_x, pos_y] then + begin + Map.Brk[pos_x, pos_y]:=0; + modified:=true; + drawnow:=true; + end; + end + else begin + Map.Brk[pos_x, pos_y]:=selbrk; + if brkBlock then + Map.brk.Blocked[pos_x, pos_y]:=true + else + if brkFront then Map.brk.Front[pos_x, pos_y]:=true; + drawnow:=true; + modified:=true; + end; + end else + if Shift-[ssCtrl]=[ssLeft] then + begin + PlaceBrk;//вообще ничего не выделели - значит надо начать выделение + select:=true; + rx1:=absmousex div 32; + ry1:=absmousey div 16; + rx2:=rx1;ry2:=ry1; + drawnow:=true; + end; + emLights: + if Map.Lights<>nil then + begin + if ssDouble in Shift then + begin + //двойной щелчок по одному объекту + light:=GetLPointByXY(absmousex, absmousey); + if light<>nil then + ShowLightProps(light.obj); + end else + if Shift-[ssLeft]=[] then + begin + light:=GetLPointByXY(absmousex, absmousey); + if light<>nil then + begin + drag:=true; + drawnow:=true; + light.Select(absmousex, absmousey); + end; + end else + begin + light:=nil; + drawnow:=true; + end; + end; + end; //case + CheckObjs; +end; + +procedure TMainForm.Paint1MouseMove(Sender: TObject; Shift: TShiftState; X, + Y: Integer); +begin +// + MouseShift:=Shift; + SetMouseCoord(x, y); + CheckMouseRect; + + if minimap then + begin + if (Shift=[ssLeft]) then + with Map, AdvScroll do + begin + if Scroll.CenterToIJ(GetI(X), GetJ(Y)) then + drawnow:=true; + end; + end else + case Mode of + emObjects, emLinks: + begin + if select and (ssLeft in Shift) then + begin + rx2:=absMousex;ry2:=absMousey; + drawnow:=true; + end else select:=false; + + if drag then + if (ssLeft in Shift) then + begin + if MovePoints(absmousex, absmousey, dragpoints) then + begin + drawnow:=true; + modified:=true; + end; + end else EndDrag; + end;//emObjects + emBricks: + if (Shift-[ssShift, ssAlt, ssDouble, ssCtrl]=[ssLeft]) then + begin + if select then + begin + rx2:=absmousex div 32; ry2:=absmousey div 16; + drawnow:=true; + end else + if drag then + begin + drawnow:=drawnow or ((rx1<>pos_x-dx) or (ry1<>pos_y-dy)); + rx1:=pos_x-dx; + ry1:=pos_y-dy; + rx2:=rx1+BrkSel.Width-1; + ry2:=ry1+BrkSel.Height-1; + modified:=true; + end else + if not minidrag then + begin + if ssAlt in Shift then + SetBrk(Map.Brk[pos_x, pos_y]) + else + if ssShift in Shift then + begin + if not Map.Brk.Cleared[pos_x, pos_y] then + begin + Map.Brk[pos_x, pos_y]:=0; + drawnow:=true; + end; + end + else + begin + Map.Brk[pos_x, pos_y]:=selbrk; + if brkBlock then + Map.brk.Blocked[pos_x, pos_y]:=true + else + if brkFront then Map.brk.Front[pos_x, pos_y]:=true; + drawnow:=true; + modified:=true; + end; + end; + end; + emLights: + begin + if Shift=[ssLeft] then + begin + if drag and (light<>nil) then + begin + light.ChangeFXY(absmousex, absmousey); + drawnow:=true; + end; + end; + end; + end;//case +end; + +procedure TMainForm.Paint1MouseUp(Sender: TObject; Button: TMouseButton; + Shift: TShiftState; X, Y: Integer); +begin + MouseShift:=Shift; + + SetMouseCoord(x, y); + if Button<>mbLeft then Exit; + minidrag:=false; + case Mode of + emObjects, emLinks: + begin + if select then + begin + if rx1>rx2 then + begin + x:=rx1; + rx1:=rx2; + rx2:=x; + end; + if ry1>ry2 then + begin + y:=ry1; + ry1:=ry2; + ry2:=y; + end; + dragpoints:=GetPointsInRect(rx1, ry1, rx2, ry2, Mode=emLinks); + select:=false; + drawnow:=true; + end; + + if drag then + EndDrag; + end;//emObjects + emBricks: + if Select then + begin + if rx1>rx2 then + begin + x:=rx1; + rx1:=rx2; + rx2:=x; + end; + if ry1>ry2 then + begin + y:=ry1; + ry1:=ry2; + ry2:=y; + end; + GetBrk; + CheckObjs; + select:=false; + drawnow:=true; + end else + if (Shift-[ssShift, ssDouble, ssCtrl]=[]) then + UndoPut; + emLights: + begin + if drag then + EndDrag; + end; + end; //case + CheckObjs; +end; + +procedure TMainForm.ShowObjProps(Obj: TCustomMapObj); +var + objFrm: TObjPropFrm; +begin + case Obj.ObjType of + otWeapon, otAmmo, otPowerUp, otArmor, otHealth: ObjFrm:=ObjItemProp; + otRespawn: ObjFrm:=ObjRespawnProp; + otButton, otTrigger: ObjFrm:=ObjButtonProp; + otJumppad: ObjFrm:=ObjJumppadProp; + otElevator: ObjFrm:=ObjElevatorProp; + otDeathLine: ObjFrm:=ObjDeathLineProp; + otAreaPain: ObjFrm:=ObjAreaPainProp; + otAreaPush: ObjFrm:=ObjAreaPushProp; + otBackBricks: ObjFrm:=ObjBGProp; + otLightLine: ObjFrm:=ObjLightLineProp; + otBloodGen: ObjFrm:=ObjBloodGenProp; + otWeather: ObjFrm:=ObjWeatherProp; + else ObjFrm:=ObjPropFrm; + end; + ObjFrm.Obj:=Obj; + if ObjFrm.ShowModal=mrOk then modified:=true; + drawnow:=true; +end; + +procedure TMainForm.MapProps1Execute(Sender: TObject); +begin + if MapPropsFrm.ShowModal=mrOk then modified:=true; + //на случай ресайза карты :) + with Map do + begin + Scroll.MaxI:=Brk.Width; + Scroll.MaxJ:=Brk.Height; + FormResize(Self); + AdvScroll.MaxI:=Brk.Width; + AdvScroll.MaxJ:=Brk.Height; + Scroll.GX:=Scroll.gx; + Scroll.GY:=Scroll.gy; + end; + drawnow:=true; +end; + +procedure TMainForm.EndDrag; +begin + drag:=false; + if Mode in [emObjects, emLinks] then + begin + drawnow:=true; + modified:=true; + MovePoints(absmousex, absmousey, dragpoints); + UnSelectPoints(dragpoints); + end; +end; + +procedure TMainForm.StartDrag; +begin + case Mode of + emObjects, emLinks: + begin + drag:=dragpoints<>nil; + SelectPoints(absmousex, absmousey, dragpoints); + drawnow:=true; + end; + emBricks: + begin + drag:=brksel<>nil; + dx:=pos_x-rx1; + dy:=pos_y-ry1; + drawnow:=true; + end; + end; +end; + +procedure TMainForm.ScrollBrkResize(Sender: TObject); +var + max: integer; +begin + BrkWidth:=(PaintBrk.Width-1) div 33; + max:=0; + if Map.BrkTex<>nil then + max:=CustomImg.Count; + if maxnil then + begin + dbufferbrk.Width:=PaintBrk.Width; + dbufferbrk.Height:=PaintBrk.Height; + end; +end; + +procedure TMainForm.PaintBrkPaint(Sender: TObject); +var + i, j: integer; + img: integer; +begin + if dbufferbrk=nil then + begin + dbufferbrk:=TBitmap.Create; + dbufferbrk.Width:=PaintBrk.Width; + dbufferbrk.Height:=PaintBrk.Height; + end; + with dbufferbrk, canvas do + begin + Pen.Color:=clGray; + Brush.Color:=clGray; + Brush.Style:=bsSolid; + Rectangle(0, 0, Width, Height); + for i:=0 to BrkWidth-1 do + for j:=0 to BrkHeight-1 do + begin + img:=i+j*BrkWidth; + if img=selbrk then + begin + Pen.Color:=clRed; + Brush.Color:=clRed; + Brush.Style:=bsSolid; + Rectangle(i*33, j*17, (i+1)*33+1, (j+1)*17+1); + end; + if img>0 then + if (Map.BrkTex<>nil) and (img<=CustomImg.Count) then + CustomImg.Draw(canvas, 1+i*33, 1+j*17, img-1) + else if img<=Box1.Count then + Box1.Draw(canvas, 1+i*33, 1+j*17, img-1) + else Break; + end; + PaintBrk.Canvas.CopyRect(Rect(0, 0, width, height), canvas, Rect(0, 0, width, height)); + end; +end; + +procedure TMainForm.Splitter1Moved(Sender: TObject); +begin + FormResize(sender); + drawnow:=true; +end; + +procedure TMainForm.PaintBrkMouseDown(Sender: TObject; + Button: TMouseButton; Shift: TShiftState; X, Y: Integer); +begin + PaintBrkMouseMove(Sender, Shift, X, Y); +end; + +procedure TMainForm.PaintBrkMouseMove(Sender: TObject; Shift: TShiftState; + X, Y: Integer); +var + sel: word; +begin + if Shift=[ssLeft] then + begin + if Mode<>emBricks then + BrkModeExecute(BrkMode); + sel:=X div 33+(Y div 17)*BrkWidth; + if selnil) or + (Mode=emBricks) and (brksel<>nil) or + (Mode=emLights) and (light<>nil); + EditCut1.Enabled:=EditCopy1.Enabled; + EditPaste1.Enabled:=(Mode=emObjects) and (cobjs<>nil) or + (Mode=emBricks) and (brkbuf<>nil) or + (Mode=emLights) and (lightbuf<>nil); + EditDelete1.Enabled:=(Mode in [emObjects, emLinks]) and (dragpoints<>nil) or + (Mode=emBricks) and (brksel<>nil) or + (Mode=emLights) and (light<>nil); + if Mode=emObjects then PlaceBrk; + + wpact_RemoveLink.Enabled:=(high(dragpoints)=1) and + (dragpoints[0].obj is TWPObj) and + (dragpoints[1].obj is TWPObj); + + //а теперь обновление статусбара + StatusBar.Panels[2].Text:=''; + if (Mode=emBricks) and (brksel<>nil) then + StatusBar.Panels[2].Text:='Selected bricks: '+IntToStr(BrkSel.Width)+'x'+IntToStr(BrkSel.Height); + if (Mode=emObjects) and (dragpoints<>nil) then + StatusBar.Panels[2].Text:='Selected objects: '+IntToStr(length(dragpoints)); + if (Mode=emLights) and (light<>nil) then + StatusBar.Panels[2].Text:='Selected lights: 1'; + + StatusBar.Panels[3].Text:=''; + if (dragpoints<>nil) then + StatusBar.Panels[3].Text:=HelpObj(TCustomMapObj(dragpoints[0].obj)); + + StatusBar.Panels[4].Text:=''; + if brkbuf<>nil then + StatusBar.Panels[4].Text:='Bricks buffer: '+IntToStr(BrkBuf.Width)+'x'+IntToStr(BrkBuf.Height); + StatusBar.Panels[5].Text:=''; + if cobjs<>nil then + StatusBar.Panels[5].Text:='Objects buffer: '+IntToStr(length(cobjs)) + else + begin + if lightbuf<>nil then + StatusBar.Panels[5].Text:='Light buffer: 1'; + end; +end; + +procedure TMainForm.SetBrk(brk: word); +begin + drawbrknow:=true; + if brk>0 then + begin + if (selbrk=0) then + SetBrkMask(true, false) + end else SetBrkMask(false, false); + + selbrk:=brk; +end; + +procedure TMainForm.EditDelete1Execute(Sender: TObject); +var + i: integer; +begin + if drag then + EndDrag; + case Mode of + emObjects, emLinks: + if dragpoints<>nil then + begin + for i:=Low(dragpoints) to High(dragpoints) do + begin + with Map do + if dragpoints[i].Obj is TCustomMapObj then + Obj.Delete(Obj.IndexOf(TCustomMapObj(dragpoints[i].Obj))) + else if dragpoints[i].Obj is TWPObj then + begin + WP.Delete(dragpoints[i].Obj); + if WP.Count=0 then + begin + Entries.Delete(Entries.IndexOf(WP)); + WP.Free; + WP:=nil; + end; + end; + DeletePoints(dragpoints[i].Obj); + end; + drawnow:=true; + dragpoints:=nil; + end; //Objects + emBricks: + if BrkSel<>nil then + begin + BrkSel.Free; + BrkSel:=nil; + drawnow:=true; + end; //Bricks + emLights: + if light<>nil then + begin + DeleteLPoints(light.Obj); + Map.Lights.Delete(map.Lights.IndexOf(light.Obj)); + light:=nil; + drawnow:=true; + end; + end; //case + CheckObjs; +end; + +procedure TMainForm.Add1Click(Sender: TObject); +var + struct: TMapObjStruct; + tag: integer; +begin + Mode:=emObjects; + ObjMode.Checked:=true; + FillChar(struct, sizeof(struct), 0); + with struct do + begin + x:=absmousex div 32; + y:=absmousey div 16; + target:=0; + width:=1; + height:=1; + tag:=TMenuItem(sender).Tag; + case tag of + 0..99: + objtype:=TObjType(tag); + 100..102: objtype:=otArmor; + 200..203: objtype:=otHealth; + 300..309: objtype:=otWeapon; + 400..409: objtype:=otAmmo; + 500..501: objtype:=otJumppad; + 600..606: objtype:=otPowerUp; + end; + case objtype of + otRespawn, otTriangle: begin end; + otButton: begin wait:=25; end; + otTeleport: begin gotox:=x+2; gotoy:=y; end; + otJumpPad: if tag mod 100=0 then jumpspeed:=jump1 else jumpspeed:=jump2; + otArmor: ItemID:=16+tag mod 100; + otHealth: ItemID:=19+tag mod 100; + otPowerUp: ItemID:=23+tag mod 100; + otWeapon, otAmmo: begin WeaponID:=tag mod 100;end; + otNFKDoor: begin wait:=100; opened:=false; active:=1; height:=4; end; + otTrigger: begin width:=3; height:=3; end; + otWater:begin width:=6; height:=3; end; + otDeathLine: begin angle:=0; maxlen:=64; end; + otElevator: begin elevx:=0; elevy:=2; end; + otAreaPush: begin pushspeedx:=10; pushspeedy:=0; pushwait:=10; width:=2; height:=3; end; + otAreaPain: begin paindamage:=10; painwait:=10; height:=2;end; + otArenaEnd: begin height:=2;end; + otAreaTeleport, otTeleportWay: begin gotox:=x+4; gotoy:=y; width:=2; height:=3; end; + otEmptyBricks, otBackBricks: begin width:=4; height:=3; end; + otLightLine: begin angle:=0; maxlen:=64; orient:=5; end; + otBloodGen: begin angle:=0; maxlen:=64; bloodtype:=0; bloodwait:=7;bloodcount:=1; end; + otWeather: begin bloodtype:=0; bloodwait:=5; bloodcount:=1; end; + else Exit; + end; + Map.Obj.Add(struct).SetDefValues; + drawnow:=true; + end; +end; + +procedure TMainForm.AddWPClick(Sender: TObject); +var + w: TWPObj; +begin + Mode:=emLinks; + LinkMode.Checked:=true; + with Map do + begin + if WP=nil then + begin + WP:=TWPEntry.Create; + Entries.Add(WP); + end; + w:=WP.Add(pos_x, pos_y, ' '); + SetLength(dragpoints, 1); + dragpoints[0]:=w.mainpoint; + case TComponent(Sender).tag of + 0: w.wp_type:='M'; + 1: w.wp_type:='C'; + 2: w.wp_type:='S'; + end; + drawnow:=true; + end; +end; + +procedure TMainForm.BrkModeExecute(Sender: TObject); +begin + select:=false; + if drag then EndDrag; + Mode:=TEditorMode(TAction(Sender).Tag); + if Mode=emLinks then + dragpoints:=nil; + TAction(Sender).Checked:=true; + SetDefBrkMask; + drawnow:=true; + CheckObjs; +end; + +procedure TMainForm.ClearObjsBuffer; +var + i: integer; +begin + if cobjs<>nil then + begin + for i:=low(cobjs) to high(cobjs) do + cobjs[i].Free; + cobjs:=nil; + end; +end; + +procedure TMainForm.CopyObjs(cut: boolean); +var + i, j, l: integer; + x, y: word; +begin + if dragpoints<>nil then + begin + if cut and drag then EndDrag; + if cut then modified:=true; + if cobjs<>nil then ClearObjsBuffer; + l:=high(dragpoints)+1; + SetLength(cobjs, l); + j:=0; + x:=Map.Width; + y:=Map.Height; + for i:=0 to l-1 do + if not (ptNoCopy in dragpoints[i].pType) and + (dragpoints[i].Obj is TCustomMapObj) then + begin + cobjs[j]:=TCustomMapObj.Create(TCustomMapObj(dragpoints[i].Obj).Struct); + if cobjs[j].x0 then + SetLength(cobjs, j) + else cobjs:=nil; + for i:=0 to j-1 do + begin + cobjs[i].SetX(cobjs[i].x-x); + cobjs[i].SetY(cobjs[i].y-y); + end; + end; + if cut then dragpoints:=nil; + drawnow:=drawnow or cut; + CheckObjs; +end; + +procedure TMainForm.PasteObjs; +var + i, j: integer; + ob: TCustomMapObj; +begin + if drag then + EndDrag; + if cobjs<>nil then + begin + modified:=true; + SetLength(dragpoints, high(cobjs)+1); + j:=0; + for i:=low(cobjs) to high(cobjs) do + begin + ob:=Map.Obj.Add(cobjs[i].Struct); + ob.SetX(ob.x+pos_x); + ob.SetY(ob.y+pos_y); + if ob.mainpoint<>nil then + begin + dragpoints[j]:=TClickPoint(ob.mainpoint); + Inc(j); + end; + end; + SetLength(dragpoints, j); + drawnow:=true; + CheckObjs; + end; +end; + +procedure TMainForm.CopyBrk(cut: boolean); +begin + if BrkSel=nil then Exit; + if BrkBuf<>nil then + begin + BrkBuf.Free; + BrkBuf:=nil; + end; + if cut then + begin + BrkSel:=nil; + BrkBuf:=BrkSel; + end + else + BrkBuf:=TBricksEntry.Create(BrkSel); +end; + +procedure TMainForm.PasteBrk; +begin + PlaceBrk; + if BrkBuf<>nil then + begin + rx1:=pos_x; + ry1:=pos_y; + rx2:=pos_x+BrkBuf.Width-1; + ry2:=pos_y+BrkBuf.Height-1; + BrkSel:=TBricksEntry.Create(BrkBuf); + drawnow:=true; + modified:=true; + end; +end; + +procedure TMainForm.EditCopy1Execute(Sender: TObject); +begin + case Mode of + emObjects: CopyObjs(false); + emBricks: CopyBrk(false); + emLights: + if light<>nil then + begin + if lightbuf<>nil then + lightbuf.Free; + lightbuf:=TlightObj.Create(light.Obj.Struct); + end; + end; + CheckObjs; +end; + +procedure TMainForm.EditPaste1Execute(Sender: TObject); +var + l : TLightObj; +begin + case Mode of + emObjects: PasteObjs; + emBricks: PasteBrk; + emLights: + if lightbuf<>nil then + begin + l:=Map.Lights.Add(lightbuf.Struct);; + l.Struct.Pos.X:=absmousex; + l.Struct.Pos.Y:=absmousey; + light:=TLightClickPoint(l.centerpoint); + drawnow:=true; + end; + end; + CheckObjs; +end; + +procedure TMainForm.EditCut1Execute(Sender: TObject); +begin + case Mode of + emObjects: CopyObjs(true); + emBricks: CopyBrk(true); + emLights: + begin + EditCopy1Execute(Sender); + EditDelete1Execute(Sender); + drawnow:=true; + end; + end; + CheckObjs; +end; + +procedure TMainForm.PlaceBrk; +var + i, j: word; +begin + if BrkSel<>nil then + begin + modified:=true; + for i:=0 to BrkSel.Width-1 do + for j:=0 to BrkSel.Height-1 do + begin + Map.Brk[i+rx1, j+ry1]:=BrkSel[i, j]; + Map.Brk.Blocked[i+rx1, j+ry1]:=BrkSel.Blocked[i, j]; + Map.Brk.Front[i+rx1, j+ry1]:=BrkSel.Front[i, j]; + end; + UndoPut; + BrkSel.Free; + BrkSel:=nil; + end; +end; + +procedure TMainForm.GetBrk; +var + i, j: word; +begin + if BrkSel=nil then + begin + BrkSel:=TBricksEntry.Create(rx2-rx1+1, ry2-ry1+1); + for i:=0 to BrkSel.Width-1 do + for j:=0 to BrkSel.Height-1 do + begin + BrkSel[i, j]:=Map.Brk[i+rx1, j+ry1]; + BrkSel.Blocked[i, j]:=Map.Brk.Blocked[i+rx1, j+ry1]; + BrkSel.Front[i, j]:=Map.Brk.Front[i+rx1, j+ry1]; + Map.Brk[i+rx1, j+ry1]:=0; + Map.Brk.Blocked[i+rx1, j+ry1]:=false; + Map.Brk.Front[i+rx1, j+ry1]:=false; + end; + end; +end; + +procedure TMainForm.BrowsePalBtnClick(Sender: TObject); +begin + with LoadPalDlg, Map do + if Execute then + begin + modified:=true; + try + if BrkTex<>nil then + ClearPal; + BrkTex:=TBrkTexEntry.Create(FileName); + Map.Entries.Add(BrkTex); + if BrkTex<>nil then + LoadPaletteFromBitmap(BrkTex.Bitmap, CustomImg, clBlue, 0, 0); + ScrollBrkResize(self); + Paint1Paint(self); + PaintBrkPaint(self); + except + BrkTex:=nil; + end; + + BrowsePalBtn.enabled:=BrkTex=nil; + ClearPalBtn.enabled:=BrkTex<>nil; + SavePalBtn.enabled:=BrkTex<>nil; + end; +end; + +procedure TMainForm.ClearPalBtnClick(Sender: TObject); +begin + if Map.BrkTex<>nil then + modified:=true; + Map.ClearPal; + BrowsePalBtn.enabled:=true; + ClearPalBtn.enabled:=false; + SavePalBtn.enabled:=false; +end; + +procedure TMainForm.SavePalBtnClick(Sender: TObject); +begin +// + with SavePalDlg, Map do + if (BrkTex<>nil) and Execute then + begin + modified:=true; + BrkTex.Bitmap.SaveToFile(FileName); + end; +end; + +procedure TMainForm.FormCloseQuery(Sender: TObject; var CanClose: Boolean); +begin + CanClose:=SaveQuery; + ActionManager1.SaveToFile(menufile); +end; + +procedure TMainForm.Action1Execute(Sender: TObject); +begin + AboutBox.ShowModal; +end; + +procedure TMainForm.RunActExecute(Sender: TObject); +begin +//кнопка RUN!!! + ShellExecute(handle, 'open', PChar(tfkpath+'run.exe'), pchar(' +game TA +map '+ExtractFileName(file_name)), pchar(tfkpath), SW_HIDE); +end; + +procedure TMainForm.ShowLightProps(lightobj: TLightObj); +begin + if light<>nil then + begin + colorDlg.Color:=light.obj.WColor; + if ColorDlg.Execute then + begin + light.obj.WColor:=ColorDlg.Color; + drawnow:=true; + end; + end; +end; + +procedure TMainForm.AddLight1Click(Sender: TObject); +var + l: TLightObj; + struct: TLightObjStruct; +begin + with Map do + begin + Mode:=emLights; + LightsMode.Checked:=true; + if Lights=nil then + begin + Lights:=TLightsEntry.Create; + Entries.Add(Lights); + end; + struct.Pos.X:=absmousex; + struct.Pos.Y:=absmousey; + struct.Radius:=100; + Fillchar(struct.color, 3, 255); + l:=Lights.Add(struct); + light:=TLightClickPoint(l.centerpoint); + drawnow:=true; + end; +end; + +procedure TMainForm.GenLightExecute(Sender: TObject); +begin +//генерация лайтмапы. + LMFrm.ShowModal; +end; + +procedure TMainForm.GenMapExecute(Sender: TObject); +begin + NewFile1Execute(self); + if not modified then + GenMapFrm.ShowModal; + with Map do + begin + Scroll.MaxI:=Brk.Width; + Scroll.MaxJ:=Brk.Height; + FormResize(Self); + AdvScroll.MaxI:=Brk.Width; + AdvScroll.MaxJ:=Brk.Height; + Scroll.GX:=Scroll.gx; + Scroll.GY:=Scroll.gy; + end; + drawnow:=true; +end; + +procedure TMainForm.BrickBlActExecute(Sender: TObject); +begin + BrkModeExecute(BrkMode); + if Sender=BrickBlAct then + SetBrkMask(not brkblock, false); + if Sender=BrickFrontAct then + SetBrkMask(false, true); +end; + +procedure TMainForm.SetBrkMask(block, front: boolean); +begin + brkblock:=block; + brkfront:=front; + BrickBlAct.Checked:=block; + BrickFrontAct.Checked:=Front; +end; + +procedure TMainForm.SetDefBrkMask; +begin + if selbrk>0 then SetBrkMask(true, false) + else SetBrkMask(false, false); +end; + +//игры с Undo- буфером + +procedure TMainForm.UndoClear; +begin + undoind:=0; + UndoDeleteNext; +end; + +procedure TMainForm.UndoDeleteNext; +var + i: integer; +begin + for i:=undoind+1 to undocount do + if UndoBuf[i]<>nil then + UndoBuf[i].Free; + undocount:=undoind; +end; + +procedure TMainForm.UndoPut; +var + i: integer; +begin + if undoind=high(undobuf) then + begin + //циклический сдвиг + UndoBuf[1].Free; + for i:=1 to undocount-1 do + UndoBuf[i]:=UndoBuf[i+1]; + UndoBuf[undocount]:=nil; + dec(undoind); + dec(undocount); + end; + UndoDeleteNext; + Inc(undoind); + Inc(undocount); + UndoBuf[undocount]:=TBricksEntry.Create(Map.Brk); + undoind:=undocount; +end; + +procedure TMainForm.UndoSet(ind: integer); +begin + if (ind>=1) and (ind<=undocount) then + begin + Map.Brk.CopyFrom(UndoBuf[ind]); + undoind:=ind; + end; +end; + +//Undo Execute + +procedure TMainForm.EditUndoExecute(Sender: TObject); +var + ind: integer; +begin + if Mode<>emBricks then Exit; + ind:=undoind+TAction(Sender).Tag; + UndoSet(ind); + drawnow:=true; +end; + +procedure TMainForm.link_Double(cp: TClickPoint); +var + i: integer; +begin + for i:=0 to Length(dragpoints)-1 do + begin + dragpoints[i].obj.ActionLink(cp.obj); + cp.obj.ActionLink(dragpoints[i].obj); + end; + SetLength(dragpoints, 1); + dragpoints[0]:=cp; + drawnow:=true; +end; + +procedure TMainForm.link_OneWay(cp: TClickPoint); +var + i: integer; +begin + for i:=0 to Length(dragpoints)-1 do + dragpoints[i].obj.ActionLink(cp.obj); + SetLength(dragpoints, 1); + dragpoints[0]:=cp; + drawnow:=true; +end; + +procedure TMainForm.wpact_RemoveLinkExecute(Sender: TObject); +var + i, j: integer; +begin + if dragpoints<>nil then + for i:=0 to Length(dragpoints)-1 do + if dragpoints[i].Obj is TWPObj then + for j:=0 to Length(dragpoints)-1 do + TWPObj(dragpoints[i].Obj).way_Delete(TWPObj(dragpoints[j].obj)); + drawnow:=true; +end; + +procedure TMainForm.link_Delete(cp: TClickPoint); +var + j: integer; +begin + for j:=0 to Length(dragpoints)-1 do + if dragpoints[j].Obj is TWPObj then + begin +// TWPObj(cp.Obj).way_Delete(TWPObj(dragpoints[j].obj)); + TWPObj(dragpoints[j].obj).way_Delete(TWPObj(cp.Obj)); + end; + drawnow:=true; +end; + +end. diff --git a/Radiant_037b/main_v0.dfm b/Radiant_037b/main_v0.dfm new file mode 100644 index 0000000..8b2daa5 --- /dev/null +++ b/Radiant_037b/main_v0.dfm @@ -0,0 +1,124 @@ +object MainForm: TMainForm + Left = 192 + Top = 107 + Width = 696 + Height = 300 + Caption = 'MainForm' + Color = clBtnFace + Font.Charset = DEFAULT_CHARSET + Font.Color = clWindowText + Font.Height = -11 + Font.Name = 'MS Sans Serif' + Font.Style = [] + OldCreateOrder = False + Position = poScreenCenter + OnCreate = FormCreate + PixelsPerInch = 96 + TextHeight = 13 + object ActionMainMenuBar1: TActionMainMenuBar + Left = 0 + Top = 0 + Width = 688 + Height = 24 + ActionManager = ActionManager1 + Caption = 'ActionMainMenuBar1' + ColorMap.HighlightColor = 14410210 + ColorMap.BtnSelectedColor = clBtnFace + ColorMap.UnusedColor = 14410210 + Font.Charset = RUSSIAN_CHARSET + Font.Color = clMenuText + Font.Height = -11 + Font.Name = 'Tahoma' + Font.Style = [] + Spacing = 0 + end + object ActionManager1: TActionManager + ActionBars = < + item + Items = < + item + Items = < + item + Action = NewFile1 + end + item + Action = FileOpen1 + ImageIndex = 7 + ShortCut = 16463 + end + item + Action = FileSaveAs1 + ImageIndex = 30 + end + item + Action = FileRun1 + end + item + Action = FileExit1 + ImageIndex = 43 + end> + Caption = '&File' + end + item + Items = < + item + Action = CustomizeActionBars1 + end> + Caption = '&Tools' + end> + ActionBar = ActionMainMenuBar1 + end> + Left = 32 + Top = 48 + StyleName = 'XP Style' + object NewFile1: TAction + Category = 'File' + Caption = '&New' + Hint = 'New|Create new map' + OnExecute = NewFile1Execute + end + object FileOpen1: TFileOpen + Category = 'File' + Caption = '&Open...' + Hint = 'Open|Opens an existing file' + ImageIndex = 7 + ShortCut = 16463 + OnAccept = FileOpen1Accept + end + object FileSave1: TAction + Category = 'File' + Caption = 'Save' + Hint = 'Save|Saves current file' + OnExecute = FileSave1Execute + end + object FileSaveAs1: TFileSaveAs + Category = 'File' + Caption = 'Save &As...' + Hint = 'Save As|Saves the active file with a new name' + ImageIndex = 30 + BeforeExecute = FileSaveAs1BeforeExecute + OnAccept = FileSaveAs1Accept + end + object FileRun1: TFileRun + Category = 'File' + Browse = False + BrowseDlg.Title = 'Run' + Caption = '&Run...' + Hint = 'Run|Runs an application' + Operation = 'open' + ShowCmd = scShowNormal + end + object FileExit1: TFileExit + Category = 'File' + Caption = 'E&xit' + Hint = 'Exit|Quits the application' + ImageIndex = 43 + end + object CustomizeActionBars1: TCustomizeActionBars + Category = 'Tools' + Caption = '&Customize' + ActionManager = ActionManager1 + CustomizeDlg.StayOnTop = False + end + end +end diff --git a/Radiant_037b/main_v0.pas b/Radiant_037b/main_v0.pas new file mode 100644 index 0000000..1237b0a --- /dev/null +++ b/Radiant_037b/main_v0.pas @@ -0,0 +1,148 @@ +unit main_v0; + +interface + +uses + Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms, + Dialogs, ActnList, BandActn, ExtActns, StdActns, XPStyleActnCtrls, + ActnMan, ToolWin, ActnCtrls, ActnMenus, TFKEntries, NFKMap_Lib; + +const + DefaultExt = '.tm'; + +type + TMainForm = class(TForm) + ActionManager1: TActionManager; + FileOpen1: TFileOpen; + FileSaveAs1: TFileSaveAs; + FileRun1: TFileRun; + FileExit1: TFileExit; + CustomizeActionBars1: TCustomizeActionBars; + ActionMainMenuBar1: TActionMainMenuBar; + NewFile1: TAction; + FileSave1: TAction; + procedure NewFile1Execute(Sender: TObject); + procedure FileSave1Execute(Sender: TObject); + procedure FileSaveAs1BeforeExecute(Sender: TObject); + procedure FileSaveAs1Accept(Sender: TObject); + procedure FileOpen1Accept(Sender: TObject); + procedure FormCreate(Sender: TObject); + private + //переменные связанные с сохранением и загрузкой карт. + file_name: string; + newfile, modified: boolean; + function MapName: string; + function SaveQuery: boolean; + procedure SetInitialDirs; + public + { Public declarations } + end; + +var + MainForm: TMainForm; + +implementation + +{$R *.dfm} + +function TMainForm.MapName: string; +begin +//имя карты + if newfile then + Result:='newmap' + else + Result:=ExtractFileName(File_Name); +end; + +function TMainForm.SaveQuery: boolean; +var + res: integer; +begin + //спрашиваем надо ли сохранять файл + Result:=not modified; + if Result then Exit; + res:=Application.MessageBox( + PChar('Save changes to map "'+ExtractFileName(file_name)+'"'), + 'Query', + mb_YesNoCancel); + if res=mrYes then + begin + if FileSave1.Execute then + Result:=not modified; + end + else Result:=res=mrNo; +end; + +procedure TMainForm.SetInitialDirs; +begin + if not newfile then + begin + with FileOpen1.Dialog do + begin + InitialDir:=ExtractFilePath(file_name); + filename:=file_name; + end; + with FileSaveAs1.Dialog do + begin + InitialDir:=ExtractFilePath(file_name); + filename:=file_name; + end; + end; +end; + +procedure TMainForm.NewFile1Execute(Sender: TObject); +begin + newfile:=true; + modified:=false; +end; + +procedure TMainForm.FileSaveAs1BeforeExecute(Sender: TObject); +begin + if newfile then + with FileSaveAs1.Dialog do + filename:=InitialDir+'\'+MapName+DefaultExt; +end; + +procedure TMainForm.FileSaveAs1Accept(Sender: TObject); +begin + file_name:=FileSaveAs1.Dialog.FileName; + newfile:=false; + SetInitialDirs; + FileSave1.Execute; +end; + +procedure TMainForm.FileSave1Execute(Sender: TObject); +begin +//Если файлик неизвестен то вызываем FileSaveAs; иначе грузим карту... + if file_name='' then + begin + FileSaveAs1.Execute; + Exit; + end; +//сохранение файла + modified:=false; +end; + +procedure TMainForm.FileOpen1Accept(Sender: TObject); +begin +//загружаем карту + with FileOpen1.Dialog do + begin + if not SaveQuery then Exit; +//в случае удачи меняем имя файла и получаем ссылку на загрю карту, старую карту выгружаем. + newfile:=false; + file_name:=filename; + SetInitialDirs; + end; +end; + +//КОНЕЦ ОПЕРАЦИЙ С ФАЙЛАМИ +//*************************8 + +procedure TMainForm.FormCreate(Sender: TObject); +begin +//ГЛАВНАЯ ПРОЦЕДУРА- СОЗДАНИЕ ВСЕХ ОБЪЕКТОВ + NewFile1.Execute; +end; + +end.