Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

evaluate simulation time using parameter limits values #353

Open
EmadAlamoudi opened this issue Oct 21, 2020 · 0 comments
Open

evaluate simulation time using parameter limits values #353

EmadAlamoudi opened this issue Oct 21, 2020 · 0 comments

Comments

@EmadAlamoudi
Copy link
Collaborator

evaluate the external model using upper and lower values of parameters. This can be useful to test the effect of the boundary parameter value in the execution time.

@EmadAlamoudi EmadAlamoudi changed the title evaluate parameter limits values on the computation time evaluate simulation time using parameter limits values Oct 22, 2020
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

1 participant